KR20180114501A - 패턴 붕괴를 방지하기 위한 에칭 후 처리 - Google Patents

패턴 붕괴를 방지하기 위한 에칭 후 처리 Download PDF

Info

Publication number
KR20180114501A
KR20180114501A KR1020180028370A KR20180028370A KR20180114501A KR 20180114501 A KR20180114501 A KR 20180114501A KR 1020180028370 A KR1020180028370 A KR 1020180028370A KR 20180028370 A KR20180028370 A KR 20180028370A KR 20180114501 A KR20180114501 A KR 20180114501A
Authority
KR
South Korea
Prior art keywords
carbon
mask
post
features
mask layer
Prior art date
Application number
KR1020180028370A
Other languages
English (en)
Other versions
KR102595435B1 (ko
Inventor
미르자퍼 아바체브
첸 푸
야스시 이시카와
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180114501A publication Critical patent/KR20180114501A/ko
Application granted granted Critical
Publication of KR102595435B1 publication Critical patent/KR102595435B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Weting (AREA)
  • Bipolar Transistors (AREA)

Abstract

탄소계 마스크층 위에 패터닝된 하드마스크를 포함하는 스택의 피처들을 에칭하기 위한 방법이 제공된다. 패턴은 패터닝된 하드마스크로부터 탄소계 마스크층으로 전사되고, 방법은 산소 함유 컴포넌트 및 SO2 또는 COS 중 적어도 하나를 포함하는 전사 가스의 플로우를 제공하는 단계, 전사 가스를 플라즈마로 형성하는 단계, 10 V보다 큰 바이어스를 제공하는 단계, 및 전사 가스의 플로우를 중단하는 단계를 포함한다. He, Ar, N2, H2, 또는 NH3, 중 적어도 하나를 포함하는 후 처리 가스의 플로우를 제공하는 단계로서, 후 처리 가스 플로우는 50 mTorr 내지 500 mTorr 이내의 프로세싱 압력을 유지하도록 제공되는, 후 처리 가스 플로우를 제공하는 단계, 후 처리 가스를 플라즈마로 형성하는 단계, 20 V보다 큰 바이어스를 제공하는 단계, 및 후 처리 가스의 플로우를 중단하는 단계를 포함하는 후 처리가 제공된다.

Description

패턴 붕괴를 방지하기 위한 에칭 후 처리{POST ETCH TREATMENT TO PREVENT PATTERN COLLAPSE}
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들의 형성 방법에 관한 것이다. 보다 구체적으로, 본 개시는 탄소계 마스크층 위의 패터닝된 하드마스크를 사용하여 피처들을 에칭하는 것에 관한 것이다.
반도체 디바이스들의 형성시, 에칭층들은 다층 마스크들, 예컨대 탄소계 마스크층 위의 하드마스크 층을 사용하여 에칭될 수도 있다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 에칭층 위의 탄소계 마스크층 위에 패터닝된 하드마스크를 포함하는 스택의 피처들을 에칭하기 위한 방법이 제공된다. 패턴은 패터닝된 하드마스크로부터 탄소계 마스크층으로 전사되고, 방법은 산소 함유 컴포넌트 및 SO2 또는 COS 중 적어도 하나를 포함하는 전사 가스의 플로우를 제공하는 단계, 전사 가스를 플라즈마로 형성하는 단계, 탄소계 마스크층으로 패턴을 전사하는 이온들을 플라즈마로부터 탄소계 마스크층으로 가속화하도록, 10 V보다 큰 바이어스를 제공하는 단계, 및 전사 가스의 플로우를 중단하는 단계를 포함한다. He, Ar, N2, H2, 또는 NH3, 중 적어도 하나를 포함하는 후 처리 가스의 플로우를 제공하는 단계로서, 후 처리 가스 플로우는 50 mTorr 내지 500 mTorr 이내의 프로세싱 압력을 유지하도록 제공되는, 후 처리 가스 플로우를 제공하는 단계, 후 처리 가스를 플라즈마로 형성하는 단계, 이온들을 플라즈마로부터 탄소계 마스크층으로 가속화하도록, 20 V보다 큰 바이어스를 제공하는 단계, 및 후 처리 가스의 플로우를 중단하는 단계를 포함하는 후 처리가 제공된다.
본 발명의 이들 및 다른 특징들은 이하의 도면들과 함께 본 발명의 상세한 기술에 이하에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들에 제한이 아닌 예로서 예시된다.
도 1은 실시예의 고레벨 플로우차트이다.
도 2는 패터닝된 하드마스크로부터 탄소 함유 층으로 패턴을 전사하는 단계의 보다 상세한 플로우차트이다.
도 3은 후 처리를 제공하는 단계의 보다 상세한 플로우차트이다.
도 4a 내지 도 4d는 실시예에 따라 프로세싱된 스택의 개략적인 단면도들이다.
도 5는 실시예에 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 6은 실시예를 실시하는데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
본 발명은 이제 첨부된 도면들에 예시된 바와 같이, 몇몇 바람직한 실시예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 본 발명의 전체적인 이해를 제공하기 위해 다수의 구체적인 상세들이 언급된다. 그러나, 본 발명은 이들 구체적인 상세들 일부 또는 전부가 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 및/또는 구조들은 본 발명들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 실시예의 고레벨 플로우차트이다. 이 실시예에서, 패턴이 패터닝된 하드마스크로부터 탄소 함유 마스크층으로 전사된다 (단계 104). 후 처리가 제공된다 (단계 108). 에칭층이 탄소 함유 마스크층을 관통하여 에칭된다 (단계 112). 도 2는 패터닝된 하드마스크로부터 탄소 함유 층으로 패턴을 전사하는 단계의 보다 상세한 플로우 차트이다 (단계 104). 적어도 산소 함유 컴포넌트와 SO2 또는 COS 중 적어도 하나를 포함하는 전사 가스가 제공된다 (단계 204). 전사 가스가 플라즈마로 형성된다 (단계 208). 바이어스가 제공된다 (단계 212). 전사 가스의 플로우가 중단된다 (단계 216). 도 3은 후 처리를 제공하는 단계의 보다 상세한 플로우 차트이다 (단계 108). 후 처리 가스가 50 mTorr 내지 500 mTorr 이내의 압력으로 제공된다 (단계 304). 후 처리 가스가 플라즈마로 형성된다 (단계 308). 바이어스가 제공된다 (단계 312). 후 처리 가스의 플로우가 중단된다 (단계 316).
본 발명의 바람직한 실시예에서, 패턴이 패터닝된 하드마스크로부터 탄소 함유 마스크층으로 전사된다 (단계 104). 도 4a는 포토레지스트 마스크 (420) 아래에 배치되는 패터닝된 하드마스크 (416), 그 아래에 배치되는 탄소계 마스크층 (412), 그 아래에 배치되는 에칭층 (408) 과 기판 (404) 을 갖는 스택 (400) 의 개략적인 단면도이다. 이 예에서, 에칭층 (408) 은 Si이다. 다른 실시예들에서, 에칭층은 Si, SiO2, Si3N4, 텅스텐, 텅스텐 나이트라이드, 티타늄, 티타늄 나이트라이드, 알루미늄 옥사이드, 또는 하프늄 옥사이드와 같은 다른 재료들일 수도 있다. 이 예에서, 탄소계 하드마스크 (412) 는 비정질 탄소이다. 패터닝된 하드마스크 (416) 는 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드이다. 이 예에서, 하나 이상의 층들이 기판 (404) 과 에칭층 (408) 사이, 또는 에칭층 (408) 과 탄소계 마스크층 (412) 사이, 또는 탄소계 마스크층 (412) 과 패터닝된 하드마스크 (416) 사이 또는 패터닝된 하드마스크 (416) 와 포토레지스트 마스크 (420) 사이에 배치될 수도 있다. 포토레지스트 마스크 (420) 는 패터닝된 하드마스크 (416) 를 패터닝하도록 사용된다.
패터닝된 하드마스크 (416) 로부터 탄소계 마스크층으로 패턴이 전사된다 (단계 104). 패턴 전사를 제공하기 위해, 스택 (400) 이 플라즈마 프로세싱 챔버 내에 배치된다. 도 5는 본 발명의 일 실시예에 따라 스택 (400) 을 프로세싱하도록 사용될 수도 있는 플라즈마 프로세싱 시스템 (500) 의 예를 개략적으로 예시한다. 플라즈마 프로세싱 시스템 (500) 은 챔버 벽 (552) 에 의해 둘러싸인 플라즈마 프로세싱 챔버 (504) 를 갖는 플라즈마 반응기 (502) 를 포함한다. 매칭 네트워크 (508) 에 의해 튜닝된 플라즈마 전력 공급부 (506) 가 유도 결합 전력을 제공함으로써 플라즈마 프로세싱 챔버 (504) 내에 플라즈마 (514) 를 생성하도록 전력 윈도우 (512) 근방에 위치된 TCP 코일 (510) 로 전력을 공급한다. TCP 코일 (상부 전력 소스) (510) 은 플라즈마 프로세싱 챔버 (504) 내에서 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (510) 은 플라즈마 (514) 의 토로이달 전력 분포를 생성하도록 구성될 수도 있다. 전력 윈도우 (512) 는 에너지로 하여금 TCP 코일 (510) 로부터 플라즈마 프로세싱 챔버 (504) 로 통과하게 하는 동안 플라즈마 프로세싱 챔버 (504) 로부터 TCP 코일 (510) 을 분리하도록 제공된다. 매칭 네트워크 (518) 에 의해 튜닝된 웨이퍼 바이어스 전압 전력 공급부 (516) 가 전극 (520) 에 의해 지지된 스택 (400) 상에 바이어스 전압을 설정하도록 전극 (520) 으로 전력을 제공한다. 제어기 (524) 는 플라즈마 전력 공급부 (506) 및 웨이퍼 바이어스 전압 전력 공급부 (516) 에 대한 포인트들을 설정한다.
플라즈마 전력 공급부 (506) 및 웨이퍼 바이어스 전압 전력 공급부 (516) 는 13.56 ㎒, 27 ㎒, 2 ㎒, 400 ㎑, 또는 이들의 조합들과 같은 특정한 무선 주파수들로 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (506) 및 웨이퍼 바이어스 전압 전력 공급부 (516) 는 목표된 프로세스 성능을 달성하기 위한 범위의 전력들을 공급하도록 적절히 사이징될 수도 있다. 예를 들어, 본 발명의 일 실시예에서, 플라즈마 전력 공급부 (506) 는 50 내지 5000 W 범위의 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급부 (516) 는 20 내지 2000 V의 범위의 바이어스 전압을 공급할 수도 있다. 이에 더하여, TCP 코일 (510) 및/또는 전극 (520) 은 단일 전력 공급부에 의해 전력 공급될 수도 있고 또는 복수의 전력 공급부들에 의해 전력 공급될 수도 있는, 2 이상의 서브-코일들 또는 서브-전극들을 포함할 수도 있다.
도 5에 도시된 바와 같이, 플라즈마 프로세싱 시스템 (500) 은 가스 소스/가스 공급 메커니즘 (530) 을 더 포함한다. 가스 소스/가스 공급 메커니즘 (530) 은 노즐 형태의 가스 피드 (536) 로 가스를 제공한다. 프로세스 가스들 및 부산물들이 플라즈마 프로세싱 챔버 (504) 내에서 특정한 압력을 유지하도록 또한 기능하는, 압력 제어 밸브 (542) 및 펌프 (544) 를 통해 플라즈마 프로세싱 챔버 (504) 로부터 제거된다. 가스 소스/가스 공급 메커니즘 (530) 은 제어기 (524) 에 의해 제어된다. CA, Fremont 소재의 Lam Research Corp.의 Kiyo가 본 발명의 실시예를 실시하기 위해 사용될 수도 있다. 다양한 실시예들에서, 프로세스 챔버는 CCP (capacitive coupled plasma) 반응기 또는 ICP (inductive coupled plasma) 반응기일 수 있다.
도 6은 본 발명의 실시예들에서 사용된 제어기 (524) 를 구현하기에 적합한 컴퓨터 시스템 (600) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 휴대용 디바이스로부터 대형 슈퍼 컴퓨터까지의 범위의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (600) 은 하나 이상의 프로세서들 (602) 을 포함하고, (그래픽, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (602), 메인 메모리 (606) (예를 들어, RAM (random access memory)), 저장 디바이스 (608) (예를 들어, 하드 디스크 드라이브), 이동식 저장 디바이스 (610) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스 (612) (예를 들어, 키보드, 터치 스크린, 키패드, 마우스 또는 다른 포인팅 디바이스들, 등), 및 통신 인터페이스 (614) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (614) 는 소프트웨어 및 데이터로 하여금 링크를 통해 컴퓨터 시스템 (600) 과 외부 디바이스들 간에 이동되도록 한다. 시스템은 또한 전술한 디바이스들/모듈들이 접속된 통신 인프라스트럭처 (616) (예를 들어, 통신 버스, 크로스오버 바, 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (614) 를 통해 전달된 정보는 신호들을 반송하고, 유선 또는 케이블, 광 섬유, 전화선, 셀룰러 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있는 통신 링크를 통해 통신 인터페이스 (614) 에 의해 수신될 수 있는 전자, 전자기, 광학 또는 다른 신호들과 같은 신호들의 형태일 수도 있다. 이러한 통신 인터페이스를 사용하여, 하나 이상의 프로세서들 (602) 이 네트워크로부터 정보를 수신할 수도 있고, 또는 상기 기술된 방법 단계들을 수행하는 동안 네트워크로 정보를 출력할 수도 있다. 게다가, 본 발명의 방법 실시예들은 프로세서들 상에서만 실행될 수도 있고 또는 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크 상에서 실행될 수도 있다.
용어 "비일시적인 컴퓨터 판독가능 매체 (non-transient computer readable medium)" 는 일반적으로 메인 메모리, 2차 메모리, 이동식 저장장치, 및 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 지속적인 메모리의 다른 형태들과 같은 저장 디바이스들과 같은 매체를 지칭하는데 사용되고, 반송파 또는 신호들과 같은 임시적인 소재를 커버하는 것으로 해석되지 않아야 한다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 고 레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파 내에 포함되고 프로세서에 의해 실행가능한 인스트럭션들의 시퀀스를 나타내는 컴퓨터 데이터 신호에 의해 송신된 컴퓨터 코드일 수도 있다.
도 2는 패터닝된 하드마스크로부터 탄소 함유 층으로 패턴을 전사하는 단계 (단계 104) 의 보다 상세한 플로우차트이다. 적어도 산소 함유 컴포넌트와 SO2 또는 COS 중 적어도 하나를 포함하는 전사 가스가 제공된다 (단계 204). 이 예에서, 전사 가스는 8 mTorr 압력에서 80 sccm O2 및 90 sccm SO2를 포함한다. 전사 가스는 플라즈마로 형성된다 (단계 208). 이 예에서, 800 W가 TCP 코일 (510) 에 의해 13.56 ㎒의 코일에 의해 제공된다. 바이어스가 제공된다 (단계 212). 350 V의 바이어스가 바이어스 전압 전력 공급부 (516) 에 의해 제공된다. 전사 가스의 플로우가 중단된다 (단계 216). 이 예에서, 프로세스는 OES 엔드포인트 + 30 % 오버에칭에 도달한 후 중단된다.
도 4b는 패턴이 탄소계 마스크층 (412) 으로 전사된 후 스택 (400) 의 개략적인 단면도이다. 패턴 전사 프로세스는 황 함유 측벽 패시베이션 (424) 을 형성한다.
에칭 후 처리가 제공된다 (단계 108). 도 3은 후 처리를 제공하는 단계 (단계 108) 의 보다 상세한 플로우 차트이다. He, Ar, N2, H2, 또는 NH3 중 적어도 하나를 포함하는 후 처리 가스가 50 mTorr 내지 500 mTorr 이내의 압력으로 제공된다 (단계 304). 이 예에서, 후 처리 가스는 250 mTorr의 압력으로 제공된 400 sccm He 및 10 sccm N2 이다. 후 처리 가스는 플라즈마로 형성된다 (단계 308). 이 예에서, 2500 W가 TCP 코일 (510) 에 의해 13.56 ㎒의 코일에 의해 제공된다. 바이어스가 제공된다 (단계 312). 20 V의 바이어스가 바이어스 전압 전력 공급부 (516) 에 의해 제공된다. 후 처리 가스의 플로우가 중단된다 (단계 316). 이 예에서, 프로세스는 20 초 후에 중단된다.
도 4c는 에칭 후 처리 후에 스택 (400) 의 개략적인 단면도이다. 에칭 후 처리는 황 함유 측벽 패시베이션을 제거한다.
에칭층 (408) 은 탄소 함유 마스크층을 관통하여 에칭된다 (단계 112). 도 4d는 에칭층 (408) 이 에칭된 후 스택 (400) 의 개략적인 단면도이다.
에칭 후 처리를 사용하지 않고 상당한 양의 시간이 지나고 또는 패턴 전사 후 그리고 에칭층을 에칭하기 전 주변 분위기로 스택의 노출은 패턴 붕괴를 발생시킨다는 것을 알게 되었다. 패턴 붕괴를 방지하기 위한 다양한 프로세스들이 성공하지 못하고 시도되었다. 그러나, 황 함유 측벽들을 제거하는 동안, 적절한 압력을 제공하는 것이 패턴 붕괴를 방지한다는 것이 예기치 않게 발견되었다. 황 함유 측벽들이 완전히 제거되지 않으면, 황 함유 측벽들은 황과 반응하고, 패턴 붕괴를 유발하는 수분을 흡수한다고 여겨진다. 패턴 붕괴를 유발하는 방식으로 수분이 흡수되지 않도록 다양한 실시예들이 황 함유 측벽들을 완전히 제거하거나 황 함유 측벽들을 변화시킨다. 이론에 매이지 않고, 바람직하게, 패턴 붕괴를 유발하는 방식으로 수분이 흡수되지 않도록 에칭 후 처리는 황 함유 측벽 중 일부를 제거하고 남아 있는 황 함유 측벽들을 변화시킨다고 여겨진다. 패턴이 탄소계 층에 있기 때문에, 에칭 후 처리는 이러한 탄소계 층을 손상시키지 않아야 한다. 바람직하게, 후 처리 가스는 50 mTorr 내지 500 mTorr 이내의 압력으로 제공된다. 보다 바람직하게, 후 처리 가스는 100 mTorr 내지 400 mTorr 이내의 압력으로 제공된다. 가장 바람직하게, 후 처리 가스는 150 mTorr 내지 300 mTorr 이내의 압력으로 제공된다. 이론에 매이지 않고, 압력 범위는 측벽들의 등방성 처리에 영향을 준다고 여겨진다.
패터닝된 하드마스크로부터 탄소계 마스크층을 패턴을 전사하는 동안, 바람직하게 바이어스는 10 V보다 크다. 보다 바람직하게, 바이어스는 50 V 내지 400 V의 범위이다. 가장 바람직하게, 바이어스는 100 V 내지 300 V의 범위이다. 후 처리를 제공하는 동안, 바람직하게 바이어스는 20 V보다 크다. 보다 바람직하게, 바이어스는 20 V 내지 80 V의 범위이다. 가장 바람직하게, 바이어스는 25 V 내지 40 V의 범위이다. 이온들의 에너지는 측벽들로부터 황 함유 층을 변화시키고 또는/그리고 제거하기 충분해야 하지만, 층들 (416 및 408) 을 과도하게 스퍼터링할만큼 너무 높지 않다.
다양한 실시예들에서, 패터닝된 하드마스크 (416) 는 바람직하게 실리콘계, 예컨대 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 비정질 실리콘 또는 폴리실리콘이다. 불소 함유 건식 에칭이 포토레지스트 마스크 (420) 로부터 패터닝된 하드마스크 (416) 로 패턴을 전사하도록 사용될 수도 있다.
다양한 실시예들에서, 탄소계 마스크층 (412) 은 비정질 탄소를 포함할 수도 있고, 따라서 비정질 탄소, 수소화된 비정질 탄소, 또는 다층 레지스트 스킴에서 하부층으로 사용되는 스핀-코팅 유기 탄소 풍부 재료일 수도 있다. 일부 실시예들에서, 반사-방지 코팅 (BARC) 층이 포토마스크 (420) 아래에 배치될 수도 있다.
다양한 실시예들에서, 에칭층은 실리콘 옥사이드, 실리콘 나이트라이드, 텅스텐 나이트라이드, 실리콘, 텅스텐, 알루미늄 옥사이드, 하프늄 옥사이드, 티타늄, 또는 티타늄 나이트라이드일 수도 있다.
바람직하게, 탄소계 마스크층 (412) 의 에칭된 피처들은 2.5:1보다 큰 높이 대 폭 종횡비를 갖는다. 바람직하게, 탄소계 마스크층 (412) 의 피처들은 100 ㎚ 미만의 기간 또는 피치를 갖는다. 바람직하게, 탄소계 마스크층 (412) 의 그리고 궁극적으로 에칭층 (408) 의 에칭된 피처들은 에칭된 라인들 또는 필라들의 2-차원 어레이이다.
다양한 실시예들에서, 후 처리 가스는 He, Ar, N2, H2 또는 NH3 중 적어도 하나를 포함한다. 보다 바람직하게, 후 처리 가스는 He, H2, 및 N2를 포함한다.
본 발명이 몇몇 바람직한 실시예들로 기술되었지만, 본 발명의 범위 내의 대체, 수정, 치환, 및 다양한 대용 등가물들이 있다. 또한 본 발명의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서 이하의 첨부된 청구항들이 본 발명의 진정한 정신 및 범위 내에 있는 모든 이러한 대체, 수정, 치환, 및 다양한 대용 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (18)

  1. 에칭층 위의 탄소계 마스크층 위에 패터닝된 하드마스크를 포함하는 스택의 피처들을 에칭하기 위한 방법에 있어서,
    패터닝된 하드마스크로부터 탄소계 마스크층으로 패턴을 전사하는 단계로서,
    산소 함유 컴포넌트 및 SO2 또는 COS 중 적어도 하나를 포함하는 전사 가스의 플로우를 제공하는 단계;
    상기 전사 가스를 플라즈마로 형성하는 단계;
    상기 탄소계 마스크층으로 상기 패턴을 전사하는 이온들을 상기 플라즈마로부터 상기 탄소계 마스크층으로 가속화하도록, 10 V보다 큰 바이어스를 제공하는 단계; 및
    상기 전사 가스의 플로우를 중단하는 단계를 포함하는, 상기 패턴을 전사하는 단계; 및
    후 처리를 제공하는 단계로서,
    He, Ar, N2, H2, 또는 NH3, 중 적어도 하나를 포함하는 후 처리 가스의 플로우를 제공하는 단계로서, 상기 후 처리 가스 플로우는 50 mTorr 내지 500 mTorr 이내의 프로세싱 압력을 유지하도록 제공되는, 상기 후 처리 가스 플로우를 제공하는 단계;
    상기 후 처리 가스를 플라즈마로 형성하는 단계;
    이온들을 상기 플라즈마로부터 상기 탄소계 마스크층으로 가속화하도록 20 V보다 큰 바이어스를 제공하는 단계; 및
    상기 후 처리 가스의 상기 플로우를 중단하는 단계를 포함하는, 상기 후 처리를 제공하는 단계를 포함하는, 스택의 피처들을 에칭하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 탄소계 마스크층을 관통하여 상기 에칭층을 에칭하는 단계를 더 포함하는, 스택의 피처들을 에칭하기 위한 방법.
  3. 제 2 항에 있어서,
    패터닝된 하드마스크는 실리콘계 재료인, 스택의 피처들을 에칭하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 실리콘계 재료는 실리콘 나이트라이드, 실리콘 옥시나이트라이드, 비정질 실리콘, 또는 폴리실리콘 중 적어도 하나인, 스택의 피처들을 에칭하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 탄소계 마스크층은 비정질 탄소를 포함하는, 스택의 피처들을 에칭하기 위한 방법.
  6. 제 5 항에 있어서,
    상기 패턴을 전사하는 단계는 황 함유 측벽 패시베이션을 형성하는, 스택의 피처들을 에칭하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 후 처리 단계는 상기 황 함유 측벽 패시베이션을 제거하거나 변화시키는, 스택의 피처들을 에칭하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 에칭층은 실리콘 옥사이드, 실리콘 나이트라이드, 또는 텅스텐 나이트라이드 중 적어도 하나인, 스택의 피처들을 에칭하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 패터닝된 하드마스크로부터 상기 탄소계 마스크층으로 상기 패턴을 전사하는 단계는 상기 탄소계 마스크 내에 마스크 피처들을 형성하고, 상기 마스크 피처들은 라인들 또는 필라들의 2-차원 어레이인, 스택의 피처들을 에칭하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 마스크 피처들은 2.5:1보다 큰 높이 대 폭 종횡비를 갖는, 스택의 피처들을 에칭하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 마스크 피처들은 100 ㎚ 미만의 기간 또는 피치를 갖는, 스택의 피처들을 에칭하기 위한 방법.
  12. 제 1 항에 있어서,
    패터닝된 하드마스크는 실리콘계 재료의 하드마스크인, 스택의 피처들을 에칭하기 위한 방법.
  13. 제 1 항에 있어서,
    탄소계 마스크층은 비정질 탄소를 포함하는, 스택의 피처들을 에칭하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 패턴을 전사하는 단계는 황 함유 측벽 패시베이션을 형성하는, 스택의 피처들을 에칭하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 후 처리 단계는 상기 황 함유 측벽 패시베이션을 제거하거나 변화시키는, 스택의 피처들을 에칭하기 위한 방법.
  16. 제 1 항에 있어서,
    상기 패터닝된 하드마스크로부터 상기 탄소계 마스크층으로 상기 패턴을 전사하는 단계는 상기 탄소계 마스크 내에 마스크 피처들을 형성하고, 상기 마스크 피처들은 라인들 또는 필라들의 2-차원 어레이인, 스택의 피처들을 에칭하기 위한 방법.
  17. 제 1 항에 있어서,
    상기 마스크 피처들은 2.5:1보다 큰 높이 대 폭 종횡비를 갖는, 스택의 피처들을 에칭하기 위한 방법.
  18. 제 1 항에 있어서,
    상기 마스크 피처들은 100 ㎚ 미만의 기간 또는 피치를 갖는, 스택의 피처들을 에칭하기 위한 방법.
KR1020180028370A 2017-04-10 2018-03-12 패턴 붕괴를 방지하기 위한 에칭 후 처리 KR102595435B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/483,662 2017-04-10
US15/483,662 US9941123B1 (en) 2017-04-10 2017-04-10 Post etch treatment to prevent pattern collapse

Publications (2)

Publication Number Publication Date
KR20180114501A true KR20180114501A (ko) 2018-10-18
KR102595435B1 KR102595435B1 (ko) 2023-10-27

Family

ID=61801294

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180028370A KR102595435B1 (ko) 2017-04-10 2018-03-12 패턴 붕괴를 방지하기 위한 에칭 후 처리

Country Status (5)

Country Link
US (1) US9941123B1 (ko)
JP (1) JP7317470B2 (ko)
KR (1) KR102595435B1 (ko)
CN (1) CN108711552B (ko)
TW (1) TWI786101B (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112368805A (zh) 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
JP2021106212A (ja) * 2019-12-26 2021-07-26 東京エレクトロン株式会社 エッチング方法、基板処理装置、及び基板処理システム

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090016874A (ko) * 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
KR20100049491A (ko) * 2008-11-03 2010-05-12 램 리써치 코포레이션 이중층, 삼중층 마스크 cd 제어
KR20100088128A (ko) * 2007-09-27 2010-08-06 램 리써치 코포레이션 유전체 에칭에서의 프로파일 제어
KR20110108287A (ko) * 2010-03-26 2011-10-05 도쿄엘렉트론가부시키가이샤 기판 처리 방법
KR20120122908A (ko) * 2011-04-28 2012-11-07 램 리써치 코포레이션 3 중층 마스크를 이용하는 에칭에 대한 라인 벤딩 및 틸팅 예방
JP2013222852A (ja) * 2012-04-17 2013-10-28 Tokyo Electron Ltd 有機膜をエッチングする方法及びプラズマエッチング装置
JP2014096499A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
KR20150034660A (ko) * 2013-09-26 2015-04-03 램 리써치 코포레이션 조합형 마스크를 이용한 고 애스팩트 비 에칭
KR20170034346A (ko) * 2015-09-18 2017-03-28 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법 및 드라이 에칭제

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528432B1 (en) 2000-12-05 2003-03-04 Advanced Micro Devices, Inc. H2-or H2/N2-plasma treatment to prevent organic ILD degradation
US6849389B2 (en) 2001-07-12 2005-02-01 International Business Machines Corporation Method to prevent pattern collapse in features etched in sulfur dioxide-containing plasmas
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
EP1851794A1 (en) * 2005-02-22 2007-11-07 ASM America, Inc. Plasma pre-treating surfaces for atomic layer deposition
US7405139B2 (en) * 2006-08-03 2008-07-29 International Business Machines Corporation Prevention of backside cracks in semiconductor chips or wafers using backside film or backside wet etch
WO2009039552A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Reactive ion etching process for etching metals
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
WO2011042882A2 (en) 2009-10-07 2011-04-14 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude HIGH DEPOSITION RATE OF SiO2 USING ATOMIC LAYER DEPOSITION AT EXTRA LOW TEMPERATURE
US8778207B2 (en) * 2011-10-27 2014-07-15 Applied Materials, Inc. Plasma etch processes for boron-doped carbonaceous mask layers
US20150064880A1 (en) 2013-08-30 2015-03-05 Applied Materials, Inc. Post etch treatment technology for enhancing plasma-etched silicon surface stability in ambient
TWI612182B (zh) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 用蝕刻氣體蝕刻半導體結構的方法
TWI648320B (zh) * 2014-01-23 2019-01-21 東京應化工業股份有限公司 含相分離結構之結構體之製造方法、圖型形成方法、微細圖型形成方法
US9583337B2 (en) 2014-03-26 2017-02-28 Ultratech, Inc. Oxygen radical enhanced atomic-layer deposition using ozone plasma
US9478435B2 (en) * 2014-08-07 2016-10-25 Tokyo Electron Limited Method for directed self-assembly and pattern curing
JP6533576B2 (ja) * 2015-07-13 2019-06-19 富士フイルム株式会社 パターン構造の処理方法、電子デバイスの製造方法およびパターン構造の倒壊抑制用処理液

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100028544A (ko) * 2007-05-03 2010-03-12 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
KR20090016874A (ko) * 2007-08-13 2009-02-18 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR20100088128A (ko) * 2007-09-27 2010-08-06 램 리써치 코포레이션 유전체 에칭에서의 프로파일 제어
KR20100049491A (ko) * 2008-11-03 2010-05-12 램 리써치 코포레이션 이중층, 삼중층 마스크 cd 제어
KR20110108287A (ko) * 2010-03-26 2011-10-05 도쿄엘렉트론가부시키가이샤 기판 처리 방법
KR20120122908A (ko) * 2011-04-28 2012-11-07 램 리써치 코포레이션 3 중층 마스크를 이용하는 에칭에 대한 라인 벤딩 및 틸팅 예방
JP2013222852A (ja) * 2012-04-17 2013-10-28 Tokyo Electron Ltd 有機膜をエッチングする方法及びプラズマエッチング装置
JP2014096499A (ja) * 2012-11-09 2014-05-22 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
KR20150034660A (ko) * 2013-09-26 2015-04-03 램 리써치 코포레이션 조합형 마스크를 이용한 고 애스팩트 비 에칭
KR20170034346A (ko) * 2015-09-18 2017-03-28 샌트랄 글래스 컴퍼니 리미티드 드라이 에칭 방법 및 드라이 에칭제

Also Published As

Publication number Publication date
JP7317470B2 (ja) 2023-07-31
TWI786101B (zh) 2022-12-11
KR102595435B1 (ko) 2023-10-27
CN108711552A (zh) 2018-10-26
TW201903844A (zh) 2019-01-16
JP2018182315A (ja) 2018-11-15
CN108711552B (zh) 2024-03-15
US9941123B1 (en) 2018-04-10

Similar Documents

Publication Publication Date Title
KR102364485B1 (ko) 조합형 마스크를 이용한 고 애스팩트 비 에칭
KR102178834B1 (ko) 유전체 층들 내 피처들을 에칭하기 위한 방법
US9741563B2 (en) Hybrid stair-step etch
KR102070459B1 (ko) 평활 측벽의 급속 교번 에칭 프로세스를 위한 제어된 가스 혼합
KR20140082575A (ko) 인시츄 금속 잔유물 세정
KR102595435B1 (ko) 패턴 붕괴를 방지하기 위한 에칭 후 처리
US9673057B2 (en) Method for forming stair-step structures
TWI579910B (zh) 利用三層遮罩進行蝕刻時對於線彎曲及偏斜之防止
KR102139380B1 (ko) 제어된 위글링에 의한 에칭을 위한 방법
KR102658744B1 (ko) 섀도우 트림 라인 에지 거칠기 감소
KR102148036B1 (ko) 비아 제공 방법
KR102653067B1 (ko) 에지 거칠기 감소
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
CN111418046A (zh) 氧化硅氮化硅堆叠件楼梯踏步式蚀刻
KR20200130475A (ko) 인 시츄 (in situ) 역 마스크 패터닝
KR20190082970A (ko) 유기 층 에칭시 수직 프로파일들을 생성하기 위한 방법
TWI768026B (zh) 用於半導體處理之矽基沉積
CN107785253B (zh) 利用侧边溅射的线边缘粗糙表面改进
KR20200108361A (ko) 비정질 탄소 층 개방 프로세스

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant