KR20140082575A - 인시츄 금속 잔유물 세정 - Google Patents

인시츄 금속 잔유물 세정 Download PDF

Info

Publication number
KR20140082575A
KR20140082575A KR1020130161931A KR20130161931A KR20140082575A KR 20140082575 A KR20140082575 A KR 20140082575A KR 1020130161931 A KR1020130161931 A KR 1020130161931A KR 20130161931 A KR20130161931 A KR 20130161931A KR 20140082575 A KR20140082575 A KR 20140082575A
Authority
KR
South Korea
Prior art keywords
metal
oxide layer
cleaning
gas
processing chamber
Prior art date
Application number
KR1020130161931A
Other languages
English (en)
Inventor
칭후아 중
이펑 저우
밍슈 쿼
아르멘 키라코시안
시이 리
스리칸쓰 래거번
람쿠마르 빈나코타
요시에 키무라
김태원
고우리 카마르시
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140082575A publication Critical patent/KR20140082575A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

기판 위의 산화물 층에 디바이스들을 형성하기 위한 방법이 제공되며, 여기서, 금속 함유층은 산화물 층 아래에 에칭 중지층 또는 산화물 층 위에 패터닝된 마스크 중 적어도 어느 하나를 형성하고, 패터닝된 유기 마스크는 산화물 층 위에 존재한다. 기판은 플라즈마 프로세싱 챔버에 배치된다. 산화물 층은 패터닝된 유기 마스크를 통해 에칭되며, 여기서, 금속 함유층으로부터의 금속 잔류물은 산화물 층의 측벽들 상에 금속 잔류물을 형성한다. 패터닝된 유기 마스크는 스트리핑된다. 금속 잔류물은, BCl3를 포함하는 세정 가스를 제공하는 것 및 세정 가스로부터 플라즈마를 세정하는 것을 포함하는 단계들에 의해 세정된다. 기판은 플라즈마 프로세싱 챔버로부터 제거된다.

Description

인시츄 금속 잔유물 세정{IN-SITU METAL RESIDUE CLEAN}
본 발명은 반도체 웨이퍼 상에 반도체 디바이스들을 생성하는 방법에 관한 것이다. 더 상세하게, 본 발명은 유기 마스크 및 금속 함유 마스크 또는 에칭 중지에 관해 유전체 층을 선택적으로 에칭하는 것에 관한 것이다.
반도체 디바이스들을 형성할 시에, 몇몇 디바이스들은 유기 마스크 및 금속 함유 마스크 또는 에칭 중지에 관해 에칭층을 선택적으로 에칭함으로써 형성될 수도 있다.
전술한 것을 달성하기 위해 및 본 발명의 목적에 따르면, 기판 위의 산화물 층에서 디바이스들을 형성하기 위한 방법이 제공되며, 여기서, 금속 함유층은 산화물 층 아래에 에칭 중지층 또는 산화물 층 위에 패터닝된 마스크 중 적어도 어느 하나를 형성하고, 패터닝된 유기 마스크는 산화물 층 위에 있다. 기판은 플라즈마 프로세싱 챔버에 배치된다. 산화물 층은 패터닝된 유기 마스크를 통해 에칭되며, 여기서, 금속 함유층으로부터의 금속 잔류물은 산화물 층의 측벽들 상에 금속 잔류물을 형성한다. 패터닝된 유기 마스크는 스트리핑 (strip) 된다. 금속 잔류물은, BCl3를 포함하는 세정 가스를 제공하고 세정 가스로부터 플라즈마를 형성하는 것을 포함하는 단계들에 의해 세정된다. 기판은 플라즈마 프로세싱 챔버로부터 제거된다.
본 발명의 다른 표현에서, 기판 위의 산화물 층에서 디바이스들을 형성하기 위한 방법이 제공되며, 여기서, 금속 함유층은 산화물 층 아래에 에칭 중지층 또는 산화물 층 위에 패터닝된 마스크 중 적어도 어느 하나를 형성하고, 패터닝된 유기 마스크는 산화물 층 위에 있다. 기판은 플라즈마 프로세싱 챔버에 배치된다. 산화물 층은 패터닝된 유기 마스크를 통해 에칭되며, 여기서, 금속 함유 층으로부터의 금속 잔류물은 산화물 층의 측벽들 상에 금속 잔류물을 형성한다. 패터닝된 유기 마스크는 스트리핑된다. 금속 잔류물은 BCl3 및 Cl2를 포함하는 세정 가스를 제공하고 세정 가스로부터 플라즈마를 형성하는 것을 포함하는 단계들에 의해 세정되며, 여기서, 세정 가스는 2:1보다 큰 BCl3 Cl2의 흐름 비율을 갖는다. 기판은 플라즈마 프로세싱 챔버로부터 제거된다.
본 발명의 이들 및 다른 특성들은 본 발명의 상세한 설명에서 및 첨부한 도면들과 함께 더 상세히 후술될 것이다.
본 발명은 첨부한 도면들의 도들에서 제한이 아닌 예로서 도시되며, 여기서, 참조 번호들은 유사한 엘리먼트들을 지칭한다.
도 1은 본 발명의 일 실시형태의 흐름도이다.
도 2a-d는 본 발명의 일 실시형태에 따른 스택 에칭의 개략적인 단면도들이다.
도 3은 본 발명의 일 실시형태에서 사용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 4는 본 발명을 실시하는데 사용될 수도 있는 컴퓨터 시스템의 개략도이다.
도 5a-b는 본 발명의 일 실시형태 동안의 플라즈마 반응기의 개략도들이다.
도 6은 금속 잔류물 세정 단계의 더 상세한 흐름도이다.
이제, 본 발명은 첨부한 도면들에 도시된 바와 같은 본 발명의 몇몇 선호되는 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 설명에서, 다수의 특정한 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 기재된다. 그러나, 이들 특정한 세부사항들 중 일부 또는 전부 없이도 본 발명이 실시될 수도 있다는 것은 당업자에게 명백할 것이다. 다른 예시들에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않았다.
몇몇 반도체 디바이스들의 형성에서, 스핀 온 (spin on) 재료 또는 비정질 탄소와 같은 유기 마스크, 및 티타늄 질화물 (TiN) 또는 티타늄 (Ti) 과 같은 금속 함유 하드마스크에 관해 실리콘 산화물과 같은 유전체 층을 에칭하는 것이 바람직하다. 다른 반도체 프로세스들에서, 피쳐들을 갖는 패터닝된 유기 마스크 아래에 배치된 에칭층을 에칭하는 것이 바람직하며, 여기서, 금속 함유 하드마스크는 유기 마스크 피쳐들 중 몇몇의 바닥들 상에 형성된다. 포토레지스트 마스크는 유기 마스크를 형성하기 위해 유기층에서 패턴을 개방하는데 사용될 수도 있다.
도 1은 본 발명의 일 실시형태의 고레벨 흐름도이다. 이러한 실시형태에서, 피쳐들을 갖는 패터닝된 유기 마스크 아래에 배치된 산화물 에칭층 또는 금속 함유 에칭 중지를 갖는 기판이 에칭 챔버에 배치된다 (단계 (104)). 산화물 에칭층은, 패터닝된 유기 마스크 및 금속 함유 하드마스크 또는 에칭 중지에 관해 선택적으로 에칭된다 (단계 (108)). 패터닝된 유기 마스크는 스트리핑된다 (단계 (112)). 금속 잔류물은 세정된다 (단계 (116)). 기판은 에칭 챔버로부터 제거된다 (단계 (120)).
실시예
유기 마스크, 금속 함유 하드마스크, 및 금속 함유 에칭 중지를 갖는 에칭층
일 실시형태에서, 피쳐들을 갖는 패터닝된 유기 마스크 아래에 배치된 산화물 에칭층 및 패터닝된 유기물의 피쳐들의 바닥의 금속 함유 하드마스크 및 금속 함유 에칭 중지를 갖는 기판은 에칭 챔버에 배치된다 (단계 (104)). 도 2a는 유기 마스크 피쳐들 (220) 을 갖는 유기 마스크 (216) 아래에 배치되는, 산화물 에칭층 (212) 아래에 배치된 금속 함유 에칭 중지층 (208) 을 갖는 기판 (204) 을 가진 스택 (200) 의 개략적인 단면도이다. 유기 마스크 피쳐들 중 몇몇의 바닥에는 금속 함유 하드마스크 (224) 가 존재한다. 이러한 예에서, 하나 이상의 층들은 기판 (204) 과 에칭 중지층 (208) 사이, 또는 에징 중지층 (208) 과 에칭층 (212) 사이, 또는 에칭층 (212) 과 유기 마스크 (216) 또는 하드마스크 (224) 사이에 배치될 수도 있다. 이러한 예에서, 유기 마스크 (216) 는 비정질 탄소이고, 하드마스크 (224) 는 티타늄 질화물 (TiN) 이고, 금속 함유 중지층 (208) 은 또한 TiN이며, 산화물 에칭층 (212) 은 실리콘 산화물 (SiO) 이다.
도 3은 본 발명의 일 실시형태에서 사용될 수도 있는 플라즈마 프로세싱 시스템 (300) 의 일 예를 개략적으로 도시한다. 플라즈마 프로세싱 시스템 (300) 은 챔버 벽 (350) 에 의해 내부에 정의된 플라즈마 프로세싱 챔버 (304) 를 갖는 플라즈마 반응기 (302) 를 포함한다. 매칭 네트워크 (308) 에 의해 튜닝된 플라즈마 전력 공급부 (306) 는, 플라즈마 프로세싱 챔버 (304) 에서 플라즈마 (314) 를 생성하기 위해 플라즈마 프로세싱 챔버 (304) 에 전력을 제공하는 전력 윈도우 (312) 근처에 위치된 TCP 코일 (310) 에 전력을 공급한다. TCP 코일 (상부 전력 소스) (310) 은 플라즈마 프로세싱 챔버 (304) 내에서 균일한 확산 프로파일을 생성하도록 구성될 수도 있다. 예를 들어, TCP 코일 (310) 은 플라즈마 (314) 에서 토로이달 (toroidal) 전력 분포를 생성하도록 구성될 수도 있다. 전력 윈도우 (312) 는, 플라즈마 프로세싱 챔버 (304) 로부터 TCP 코일 (310) 을 분리시키면서, 에너지가 TCP 코일 (310) 로부터 플라즈마 프로세싱 챔버 (304) 로 전달되게 하도록 제공된다. 매칭 네트워크 (318) 에 의해 튜닝되는 웨이퍼 바이어스 전압 전력 공급부 (316) 는, 이러한 실시형태의 전극 (320) 이 또한 기판 지지부이므로, 전극 (320) 에 의해 지지되는 실리콘 기판 (204) 상의 바이어스 전압을 셋팅하도록 전극 (320) 에 전력을 제공한다. 펄스 제어기 (352) 는 바이어스 전압이 펄싱되게 한다. 펄스 제어기 (352) 는 매칭 네트워크 (318) 와 기판 지지부 사이, 또는 바이어스 전압 전력 공급부 (316) 와 매칭 네트워크 (318) 사이, 또는 제어기 (324) 와 바이어스 전압 전력 공급부 (316) 사이, 또는 바이어스 전압이 펄싱되게 하기 위한 몇몇 다른 구성에 있을 수도 있다. 제어기 (324) 는 플라즈마 전력 공급부 (306) 및 웨이퍼 바이어스 전압 공급부 (316) 에 대한 포인트들을 셋팅한다.
플라즈마 전력 공급부 (306) 및 웨이퍼 바이어스 전압 전력 공급부 (316) 는, 예를 들어, 13.56MHz, 27MHz, 2MHz, 400kHz, 또는 이들의 조합들과 같은 특정한 무선 주파수들에서 동작하도록 구성될 수도 있다. 플라즈마 전력 공급부 (306) 및 웨이퍼 바이어스 전력 공급부 (316) 는, 원하는 프로세스 성능을 달성하기 위해 일 범위의 전력들을 공급하도록 적절히 사이징될 수도 있다. 예를 들어, 본 발명의 일 실시형태에서, 플라즈마 전력 공급부 (306) 는 300 내지 10000와트의 범위로 전력을 공급할 수도 있고, 웨이퍼 바이어스 전압 전력 공급부 (316) 는 10 내지 2000V의 범위에서 바이어스 전압을 공급할 수도 있다. 부가적으로, TCP 코일 (310) 및/또는 전극 (320) 은, 단일 전력 공급부 또는 다수의 전력 공급부들에 의해 전력공급될 수도 있는 2개 이상의 서브-코일들 또는 서브-전극들로 이루어질 수도 있다.
도 3에 도시된 바와 같이, 플라즈마 프로세싱 시스템 (300) 은 가스 공급부/가스 공급 메커니즘 (330) 을 더 포함한다. 가스 소스는 산화물 에칭 가스 소스 (332), 스트립 가스 소스 (334), 및 잔류물 세정 가스 소스 (336) 를 포함한다. 가스 소스들 (332, 334, 및 336) 은 가스 유입구 (340) 를 통해 플라즈마 프로세싱 챔버 (304) 와 유체 접속된다. 가스 유입구는, 플라즈마 프로세싱 챔버 (304) 의 임의의 유리한 위치에 위치될 수도 있고, 가스를 주입하기 위한 임의의 형태를 취할 수도 있다. 그러나, 바람직하게, 가스 유입구는, 플라즈마 프로세싱 챔버 (304) 내의 다수의 구역들로의 가스들의 각각의 흐름의 독립적인 조정을 허용하는 "튜닝가능한" 가스 주입 프로파일을 생성하도록 구성될 수도 있다. 프로세스 가스들 및 부산물들은, 플라즈마 프로세싱 챔버 (304) 내의 특정ㅎ나 압력을 유지하도록 또한 기능하고 가스 유출구를 또한 제공하는 압력 조절기, 및 펌프 (344) 인 압력 제어 밸브 (342) 를 통해 플라즈마 프로세싱 챔버 (304) 로부터 제거된다. 가스 소스/가스 공급 메커니즘 (330) 은 제어기 (324) 에 의해 제어된다. 램 리써치 코포레이션에 의한 키요 (Kiyo) 시스템은 본 발명의 일 실시형태를 실시하는데 사용될 수도 있다.
도 4는 본 발명의 실시형태들에서 사용되는 제어기 (324) 를 구현하는데 적합한 컴퓨터 시스템 (400) 을 도시하는 고레벨 블록도이다. 컴퓨터 시스템은 집적 회로, 인쇄 회로 보드, 및 소형 핸드헬드 디바이스로부터 거대한 슈퍼 컴퓨터까지의 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서들 (402) 을 포함하고, (그래픽들, 텍스트, 및 다른 데이터를 디스플레이하기 위한) 전자 디스플레이 디바이스 (404), 메인 메모리 (406) (예를 들어, 랜덤 액세스 메모리 (RAM)), 저장 디바이스 (408) (예를 들어, 하드 디스크 드라이브), 착탈형 저장 디바이스 (410) (예를 들어, 광학 디스크 드라이브), 사용자 인터페이스 디바이스들 (412) (예를 들어, 키보드들, 터치 스크린들, 키패드들, 마우스들 또는 다른 포인팅 디바이스들 등), 및 통신 인터페이스 (414) (예를 들어, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 소프트웨어 및 데이터가 링크를 통해 컴퓨터 시스템 (400) 과 외부 디바이스들 사이에서 전달되게 한다. 시스템은 또한, 전술된 디바이스들/모듈들이 접속되는 통신 인프라구조 (416) (예를 들어, 통신 버스, 크로스-오버 바 (bar), 또는 네트워크) 를 포함할 수도 있다.
통신 인터페이스 (414) 를 통해 전달된 정보는, 전자, 전자기, 광학, 또는 유선 또는 케이블, 광섬유, 전화 라인, 셀룰러 전화 링크, 무선 주파수 링크, 및/또는 다른 통신 채널들을 사용하여 구현될 수도 있고 신호들을 운반하는 통신 링크를 통해, 통신 인터페이스 (414) 에 의하여 수신될 수 있는 다른 신호들과 같은 신호들의 형태로 존재할 수도 있다. 그러한 통신 인터페이스에 관해, 하나 이상의 프로세서들 (402) 이 네트워크로부터 정보를 수신할 수도 있거나, 상술된 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력할 수도 있음을 고려한다. 또한, 본 발명의 방법 실시형태들은, 프로세서들 상에서만 실행될 수도 있거나, 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크에 걸쳐 실행될 수도 있다.
"비-일시적인 컴퓨터 판독가능 매체" 라는 용어는, 메인 메모리, 보조 메모리, 착탈형 저장부, 및 하드 디스크들, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM 및 다른 형태의 영속적인 메모리와 같은 저장 디바이스들을 지칭하는데 일반적으로 사용되며, 캐리어파들 또는 신호들과 같은 일시적인 사항을 커버하도록 해석되지는 않아야 한다. 컴퓨터 코드의 예들은 컴파일러에 의해 생성된 것과 같은 머신 코드, 및 해석기를 사용하여 컴퓨터에 의해 실행되는 고레벨 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체들은 또한, 캐리어파에 수록된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행가능한 명령들의 시퀀스를 표현하는 컴퓨터 파일일 수도 있다.
산화물 에칭층은 패터닝된 유기 마스크 및 금속 함유 하드마스크 또는 에칭 중지에 관해 선택적으로 에칭된다 (단계 (108)). 일 실시형태에서, 산화물 에칭은 복수의 사이클들을 포함하며, 여기서, 각각의 사이클은 선택적인 마스크 증착 페이즈 및 선택적인 에칭층 에칭 페이즈를 포함한다.
선택적인 마스크 증착 페이즈를 제공하기 위한 레시피의 일 예는 3mTorr의 챔버 압력을 제공한다. 100sccm Ar, 50sccm H2, 및 15sccm C4F8의 증착 가스는 플라즈마 프로세싱 챔버 (304) 로 흐른다. 13.56MHz의 400와트의 RF가 RCP 코일 (310) 에 의해 제공되어, 증착 가스를 플라즈마 (314) 로 형성한다. 듀티 사이클이 순 (net) 증착을 제공하기 위해 선택적인 마스크 증착 페이즈 동안 오프되므로, 증착 바이어스가 웨이퍼 바이어스 전력 공급부 (316) 에 의해 제공되지 않는다. 이러한 예에서, 증착 가스가 에칭 가스와 동일한 레시피이므로, 증착 가스의 흐름은 중지될 필요가 없다.
에칭을 제공하기 위한 레시피의 일 예는 3mTorr의 챔버 압력을 제공한다. 100sccm Ar, 50sccm H2, 및 15sccm C4F8의 에칭 가스는 플라즈마 프로세싱 챔버 (304) 로 흐른다. 13.56MHz의 400와트의 RF가 RCP 코일 (310) 에 의해 제공되어, 에칭 가스를 플라즈마 (314) 로 형성한다. 13.56MHz로 RF를 제공함으로써 생성된 500볼트의 에칭 바이어스는, 펄싱된 바이어스 동안 웨이퍼 바이어스 전력 공급부 (316) 로부터 바이어스 전력을 턴 온함으로써 제공되며, 여기서, 에칭 페이즈는 듀티 사이클의 일부 동안 존재한다. 이러한 예에서, 에칭 가스가 증착 가스와 동일한 레시피이므로, 에칭 가스의 흐름은 중지될 필요가 없다. 이러한 페이즈 동안 몇몇 증착이 존재할 수도 있지만, 이러한 페이즈 동안 순 증착이 존재하지 않는다. 더 바람직하게, 증착의 순 제거가 존재한다.
증착이 유기 마스크 및 하드마스크 중 임의의 것이 에칭되는 것을 방지하므로, 에칭 페이즈가 모든 증착을 제거하지 않는다면, 결과적인 에칭은 유기 마스크 및 하드마스크 양자에 관해 에칭층을 에칭하기 위한 무한한 선택도를 가질 수도 있다.
도 2b는 산화물 에칭층 (212) 이 산화물 에칭층 (212) 에서 피쳐들 (232) 을 형성하도록 에칭된 이후의 스택 (200) 의 개략적인 단면도이다. 이러한 예에서, 금속 함유 하드마스크 (224) 및/또는 금속 함유 에칭 중지층 (208) 으로부터의 금속 잔류물이 에칭 및 재증착되어, 스택들의 측면들 상에 측벽 증착물들 (236) 을 형성한다. 이러한 예에서, 금속 잔류물은 Ti를 함유하거나 Ti이다.
도 5a는, 챔버 벽 (350) 및 챔버의 다른 부분들 상에서 에칭 및 재증착되는 금속 함유 에칭 중지층 (208) 및/또는 금속 함유 하드마스크 (224) 로부터의 금속 잔류물 (504) 을 개략적으로 도시하는 플라즈마 반응기 (302) 의 확대도이다.
유기 마스크가 스트리핑된다 (단계 (112)). 유기 마스크를 스트리핑하기 위한 레시피의 일 예는 5mTorr의 압력을 제공한다. 100sccm Cl2 및 100sccm O2의 스트립 가스가 플라즈마 프로세싱 챔버 (304) 로 흐른다. 50볼트의 바이어스가 제공된다. 1,000와트의 TCP 전력이 제공된다. 프로세스는 60초 동안 유지된다. 도 2c는 유기 마스크가 스트리핑된 이후 스택 (200) 의 개략적인 단면도이다. 스트리핑은 측벽 증착물들 (236) (도 2c) 또는 금속 잔류물 (504) (도 5a) 을 제거하지 않는다.
금속 잔류물 (504) 이 세정된다 (단계 (116)). 도 6은 금속 잔류물 (504) 을 세정하는 단계의 더 상세한 흐름도이다. 잔류물 세정 가스는 잔류물 세정 가스 소스 (336) 로부터 플라즈마 프로세싱 챔버 (304) 로 흐른다 (단계 (604)). 바람직하게, 잔류물 세정 가스는 BCl3를 포함한다. 더 바람직하게, 잔류물 세정 가스는 Cl2를 더 포함한다. 잔류물 세정 가스는 플라즈마 (314) 로 형성된다 (단계 (608)). 잔류물 세정 가스의 흐름은 중지된다 (단계 (612)).
세정을 위한 레시피의 일 예는 10mTorr의 챔버 압력을 제공한다. 200sccm BCl3 및 30sccm Cl2의 잔류물 세정 가스는 세정 가스 소스 (336) 로부터 플라즈마 프로세싱 챔버 (304) 로 흐른다 (단계 (604)). 잔류물 세정 가스는, 13.56MHz의 500와트 RF를 제공함으로써 플라즈마 (314) 로 형성된다 (단계 (608)). 프로세스는, 잔류물 세정 가스의 흐름이 중지되기 전에 5초 동안 유지된다 (단계 (612)). 도 2d는 잔류물 세정이 완료된 이후의 스택 (200) 의 개략도이다. 금속 함유 측벽 증착물들이 제거된다. 도 5b는 잔류물 세정 이후의 플라즈마 반응기 (302) 의 확대도이며, 플라즈마 프로세싱 챔버 (304) 의 챔버 벽 (350) 및 다른 부분들로부터 금속 잔류물이 세정된다는 것을 개략적으로 도시한다.
부가적인 프로세싱 단계들은 플라즈마 프로세싱 챔버 (304) 에서 기판이 유지되는 동안 수행될 수도 있다. 그 후, 기판은, 금속 잔류물이 세정된 이후 및 임의의 부가적인 프로세싱 단계들 이후 플라즈마 프로세싱 챔버 (304) 로부터 제거된다 (단계 (120)).
다른 실시형태에서, 잔류물 세정 레시피는 5mTorr의 압력을 제공할 수도 있다. 세정 가스는 100sccm BCl3 및 50sccm Cl2를 포함한다. 200와트의 RF가 13.56MHz로 제공된다. 프로세스는 5초 동안 유지된다.
금속 잔류물이 스택으로부터 제거되지 않으면, 금속 잔류물은 패턴 전달을 차단하고, 후속 프로세싱에서 결함 이슈들을 유도할 수 있다. 부가적으로, 금속 잔류물들은, 대기에 노출된 경우 부식 또는 응축 결함들을 또한 초래할 수 있다. 금속 잔류물이 챔버 벽들 (350) 로부터 제거되지 않으면, 플라즈마 프로세싱 챔버 (304) 는 프로세스 드리프트 및 결함을 겪는다. 따라서, 스택 (200) 및 챔버 벽들 (350) 로부터 금속 잔류물을 세정하는 것은, 디바이스 결함들 및 플라즈마 프로세싱 챔버 드리프트를 감소시킨다. 이들 실시형태들은 바람직하게, 금속 함유 하드마스크 (224) 또는 에칭 중지층 (208) 의 최소 에칭 또는 에칭 없음을 이용한 세정을 허용한다. 부가적으로, 이들 실시형태들은, 금속 함유 하드마스크 (224) 또는 에칭 중지층 (208) 의 최소 에칭 또는 에칭없음을 이용하는 스택 (200) 및 챔버 벽 (350) 상의 금속 증착물들의 동시 세정을 허용한다.
이전의 실시형태에서 하드마스크 및 에칭 중지 양자가 금속을 함유하지만, 다른 실시형태들에서, 하드마스크만이 금속을 함유하고 에칭 중지가 금속을 함유하지 않거나, 에징 중지가 금속을 함유하고 하드마스크가 금속을 함유하지 않는다. 다양한 실시형태들에서, 금속 함유 하드마스크 또는 에칭 중지는 TiN, Ta, Ti, Ta2O3, Ti2O3, Al2O3, 또는 Al일 수도 있다. 하드마스크 또는 에칭 중지가 금속을 함유하지 않으면, 그것은 SiN 또는 다른 질화물일 수도 있다. 바람직하게, 에칭층은 실리콘 산화물 계 층이다.
바람직하게, 잔류물 세정 가스는 BCl3를 포함한다. 더 바람직하게, 잔류물 세정 가스는 BCl3 및 Cl2를 포함한다. 바람직하게, BCl3의 흐름은 Cl2의 흐름보다 크다. 더 바람직하게, BCl3의 흐름은 Cl2의 흐름에 적어도 2배이다. 가장 바람직하게, BCl3의 흐름은 Cl2의 흐름에 적어도 5배이다. Cl2에 관한 BCl3의 더 높은 농도는, 금속 함유 하드마스크 또는 에칭 중지의 에칭을 감소시키면서 잔류물 제거를 증가시키는 것으로 발견되었다. 잔류물 세정 가스는 플루오르탄소가 없다.
바람직하게, 잔류물 세정은 20볼트 미만의 자체 바이어스를 갖는다. 더 상세하게, 잔류물 세정은 0볼트의 자체 바이어스를 가져서, RF 바이어스가 제로가 되게 한다. 낮은 바이어스들은, 에칭을 최소화시키면서 금속 잔류물을 제거하는 것을 허용한다.
일 실시형태에서, 금속 함유 하드마스크 (224) 는, 플라즈마 프로세싱 챔버 (304) 로부터 스택 (200) 을 제거하기 전에, 제거된다. 다른 실시형태에서, 금속 함유 하드마스크 (224) 는, 플라즈마 프로세싱 챔버 (304) 로부터 기판 (204) 을 제거한 이후 제거된다. 다른 실시형태들에서, 부가적인 단계들이 제공될 수도 있다. 예를 들어, 스택 (200) 은, 금속 하드마스크 (224) 가 제거되기 전에 플라즈마 프로세싱 챔버 (304) 로부터 제거된다. 그 후, 제 2 마스크가 더블 패터닝 프로세스에 대해 하드마스크 (224) 위에 형성될 수도 있다. 그 후, 스택 (200) 은 부가적인 에칭을 위해 플라즈마 프로세싱 챔버 (304) 에 배치될 수도 있다. 다른 실시형태에서, 부가적인 에칭 단계들은, 스택 (200) 이 플라즈마 프로세싱 챔버 (304) 로부터 제거되기 전에 수행될 수도 있다. 예를 들어, 후속 에칭은, 금속 함유 에칭 중지층을 에칭하기 위한 마스크로서 에칭층을 사용할 수도 있다.
본 발명이 수 개의 선호되는 실시형태들의 관점들에서 설명되었지만, 본 발명의 범위 내에 있는 수정들, 변형들, 치환들, 및 다양한 대체적인 등가물들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 많은 대안적인 방식들이 존재함을 유의해야 한다. 따라서, 다음의 첨부된 청구항들이, 본 발명의 실제 사상 및 범위 내에 있는 바와 같은 그러한 모든 수정들, 변형들, 치환들, 및 다양한 대체적인 등가물들을 포함하는 것으로서 해석됨이 의도된다.

Claims (18)

  1. 기판 위의 산화물 층에서 디바이스들을 형성하기 위한 방법으로서,
    금속 함유층은 상기 산화물 층 아래에 에칭 중지층 또는 상기 산화물 층 위에 패터닝된 마스크 중 적어도 어느 하나를 형성하고, 패터닝된 유기 마스크는 상기 산화물 층 위에 존재하며,
    상기 방법은,
    플라즈마 프로세싱 챔버에 상기 기판을 배치하는 단계;
    상기 패터닝된 유기 마스크를 통해 상기 산화물 층을 에칭하는 단계로서, 상기 금속 함유층으로부터의 금속 잔류물은 상기 산화물 층의 측벽들 상에 금속 잔류물을 형성하는, 상기 산화물 층을 에칭하는 단계;
    상기 패터닝된 유기 마스크를 스트리핑하는 단계;
    BCl3를 포함하는 세정 가스를 제공하는 단계, 및 상기 세정 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 금속 잔류물을 세정하는 단계; 및
    상기 플라즈마 프로세싱 챔버로부터 상기 기판을 제거하는 단계를 포함하는, 디바이스들을 형성하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 세정 가스는 Cl2를 더 포함하는, 디바이스들을 형성하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 세정 가스는 1:1보다 큰 BCl3 대 Cl2의 유동율을 갖는, 디바이스들을 형성하기 위한 방법.
  4. 제 3 항에 있어서,
    상기 세정 가스는 2:1보다 큰 BCl3 대 Cl2의 유동율을 갖는, 디바이스들을 형성하기 위한 방법.
  5. 제 3 항에 있어서,
    상기 세정 가스는 5:1보다 큰 BCl3 대 Cl2의 유동율을 갖는, 디바이스들을 형성하기 위한 방법.
  6. 제 3 항에 있어서,
    상기 금속 잔류물을 세정하는 단계는 제로의 RF 바이어스를 갖는, 디바이스들을 형성하기 위한 방법.
  7. 제 3 항에 있어서,
    상기 금속 잔류물을 세정하는 단계는 20볼트 미만의 RF 바이어스를 갖는, 디바이스들을 형성하기 위한 방법.
  8. 제 7 항에 있어서,
    상기 금속 잔류물을 세정하는 단계 동안, 상기 산화물 층이 에칭되지 않는, 디바이스들을 형성하기 위한 방법.
  9. 제 2 항에 있어서,
    상기 금속 함유층은 휘발성 염화물을 형성하는 금속을 포함하는, 디바이스들을 형성하기 위한 방법.
  10. 제 2 항에 있어서,
    상기 금속 함유층은 Al, Ti, 또는 Ta 중 적어도 하나를 포함하는, 디바이스들을 형성하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 산화물 층을 에칭하는 단계는,
    플루오로탄소를 포함하는 산화물 에칭 가스를 제공하는 단계로서, 상기 세정 가스는 플루오로탄소가 없는, 상기 산화물 에칭 가스를 제공하는 단계; 및
    상기 산화물 에칭 가스로부터 플라즈마를 형성하는 단계를 포함하는, 디바이스들을 형성하기 위한 방법.
  12. 제 2 항에 있어서,
    상기 산화물 층을 에칭하는 단계는,
    플루오로탄소를 포함하는 산화물 에칭 가스를 제공하는 단계로서, 상기 세정 가스는 플루오로탄소가 없는, 상기 산화물 에칭 가스를 제공하는 단계; 및
    상기 산화물 에칭 가스로부터 플라즈마를 형성하는 단계를 포함하는, 디바이스들을 형성하기 위한 방법.
  13. 제 1 항에 있어서,
    상기 금속 잔류물을 세정하는 단계 동안, 상기 산화물 층이 에칭되지 않는, 디바이스들을 형성하기 위한 방법.
  14. 제 1 항에 있어서,
    상기 산화물 층을 에칭하는 단계는 상기 플라즈마 프로세싱 챔버의 벽들 상에 추가적으로 금속 잔류물들을 형성하며,
    상기 금속 잔류물을 세정하는 단계는, 상기 플라즈마 프로세싱 챔버의 벽들 상의 금속 잔류물들을 세정하는, 디바이스들을 형성하기 위한 방법.
  15. 제 1 항에 있어서,
    상기 금속 함유 하드마스크를 제거하는 단계를 더 포함하는, 디바이스들을 형성하기 위한 방법.
  16. 기판 위의 산화물 층에서 디바이스들을 형성하기 위한 방법으로서,
    금속 함유층은 상기 산화물 층 아래에 에칭 중지층 또는 상기 산화물 층 위에 패터닝된 마스크 중 적어도 어느 하나를 형성하고, 패터닝된 유기 마스크는 상기 산화물 층 위에 존재하며,
    상기 방법은,
    플라즈마 프로세싱 챔버에 상기 기판을 배치하는 단계;
    상기 패터닝된 유기 마스크를 통해 상기 산화물 층을 에칭하는 단계로서, 상기 금속 함유층으로부터의 금속 잔류물은 상기 산화물 층의 측벽들 상에 금속 잔류물을 형성하는, 상기 산화물 층을 에칭하는 단계;
    상기 패터닝된 유기 마스크를 스트리핑하는 단계;
    BCl3 및 Cl2를 포함하는 세정 가스를 제공하는 단계로서 상기 세정 가스는 2:1보다 큰 BCl3 대 Cl2의 유동율을 갖는, 상기 세정 가스를 제공하는 단계, 및 상기 세정 가스로부터 플라즈마를 형성하는 단계를 포함하는, 상기 금속 잔류물을 세정하는 단계; 및
    상기 플라즈마 프로세싱 챔버로부터 상기 기판을 제거하는 단계를 포함하는, 디바이스들을 형성하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 금속 잔류물을 세정하는 단계 동안, 상기 산화물 층이 에칭되지 않는, 디바이스들을 형성하기 위한 방법.
  18. 제 16 항에 있어서,
    상기 산화물 층을 에칭하는 단계는 상기 플라즈마 프로세싱 챔버의 벽들 상에 금속 잔류물들을 추가적으로 형성하며,
    상기 금속 잔류물을 세정하는 단계는, 상기 플라즈마 프로세싱 챔버의 벽들 상의 금속 잔류물들을 세정하는, 디바이스들을 형성하기 위한 방법.
KR1020130161931A 2012-12-21 2013-12-23 인시츄 금속 잔유물 세정 KR20140082575A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/725,848 US20140179106A1 (en) 2012-12-21 2012-12-21 In-situ metal residue clean
US13/725,848 2012-12-21

Publications (1)

Publication Number Publication Date
KR20140082575A true KR20140082575A (ko) 2014-07-02

Family

ID=50975107

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130161931A KR20140082575A (ko) 2012-12-21 2013-12-23 인시츄 금속 잔유물 세정

Country Status (3)

Country Link
US (1) US20140179106A1 (ko)
KR (1) KR20140082575A (ko)
TW (1) TW201442108A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170051692A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 식각 후처리 방법

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6284786B2 (ja) * 2014-02-27 2018-02-28 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法
JP6630935B2 (ja) 2014-08-05 2020-01-15 東京エレクトロン株式会社 マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US10283369B2 (en) * 2016-08-10 2019-05-07 Tokyo Electron Limited Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
US11065654B2 (en) 2017-07-17 2021-07-20 Lam Research Corporation In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255226B1 (en) * 1998-12-01 2001-07-03 Philips Semiconductor, Inc. Optimized metal etch process to enable the use of aluminum plugs
US6770214B2 (en) * 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US20030127707A1 (en) * 2002-01-09 2003-07-10 Rohm Co., Ltd. Bipolar transistor and method of manufacturing the same
CN102318037B (zh) * 2007-12-21 2014-03-05 朗姆研究公司 利用arc层打开的cd偏置负载控制

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170051692A (ko) * 2015-10-30 2017-05-12 삼성전자주식회사 식각 후처리 방법

Also Published As

Publication number Publication date
TW201442108A (zh) 2014-11-01
US20140179106A1 (en) 2014-06-26

Similar Documents

Publication Publication Date Title
US9018103B2 (en) High aspect ratio etch with combination mask
TWI774742B (zh) 矽氮化物之原子層蝕刻
TWI596671B (zh) 具有混合模式脈動之蝕刻
KR20140082575A (ko) 인시츄 금속 잔유물 세정
US10658194B2 (en) Silicon-based deposition for semiconductor processing
US9530658B2 (en) Continuous plasma etch process
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
CN107919264B (zh) 有关有机掩模的用于选择性地蚀刻氧化硅的方法
KR101919641B1 (ko) 높은 에칭 레이트를 제공하기 위한 방법
TWI806871B (zh) 多孔低介電常數介電蝕刻
KR20160113980A (ko) 계단 구조체들을 형성하기 위한 방법
KR102595435B1 (ko) 패턴 붕괴를 방지하기 위한 에칭 후 처리
TWI591721B (zh) 用以提供介層窗之方法
KR102658744B1 (ko) 섀도우 트림 라인 에지 거칠기 감소
US9607848B2 (en) Etch process with pre-etch transient conditioning
KR102653067B1 (ko) 에지 거칠기 감소
TWI768026B (zh) 用於半導體處理之矽基沉積
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
US20140030893A1 (en) Method for shrink and tune trench/via cd
KR20200108361A (ko) 비정질 탄소 층 개방 프로세스

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid