TWI596671B - 具有混合模式脈動之蝕刻 - Google Patents

具有混合模式脈動之蝕刻 Download PDF

Info

Publication number
TWI596671B
TWI596671B TW102129192A TW102129192A TWI596671B TW I596671 B TWI596671 B TW I596671B TW 102129192 A TW102129192 A TW 102129192A TW 102129192 A TW102129192 A TW 102129192A TW I596671 B TWI596671 B TW I596671B
Authority
TW
Taiwan
Prior art keywords
bias
etching
pulse
mask
selective
Prior art date
Application number
TW102129192A
Other languages
English (en)
Other versions
TW201411720A (zh
Inventor
鍾青華
李思義
雅門 奇拉寇西恩
周軼峰
萊姆庫瑪 維納寇塔
郭銘書
司里坎 拉哈凡
木村啓惠
金泰源
葛瑞 卡麥希
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201411720A publication Critical patent/TW201411720A/zh
Application granted granted Critical
Publication of TWI596671B publication Critical patent/TWI596671B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Description

具有混合模式脈動之蝕刻
本發明有關在半導體晶圓上形成半導體裝置的方法。更具體而言,本發明有關相對於有機遮罩及硬遮罩而選擇性蝕刻介電層。
在形成半導體裝置中,可藉由相對於有機遮罩及硬遮罩而選擇性蝕刻介電層來形成一些裝置。
為達到前述目的並依據本發明之目的,故提供了一種蝕刻介電層的方法,介電層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部。提供蝕刻氣體。使蝕刻氣體形成為電漿。提供具有介於2與60MHz之間的頻率之偏壓RF電力,其提供具有介於10Hz與1kHz之間的脈衝頻率之脈衝偏壓,其中該脈衝偏壓在相對於介電層之該有機遮罩的頂部上進行選擇性沉積。
在本發明的另一表現形式中,提供了一種蝕刻介電層的方法,介電層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部,該方法包含複數循環。各循環包含:在相對於介電層之圖案化之有機遮罩的頂部上進行選擇性沉積;以及對相對於圖案化之有機遮罩及硬遮罩之該介電層進行選擇性蝕刻。
以下將在實施方式段落中並配合下列圖式更詳細地敘述本發明的這些及其他特徵。
104、108、112、116、120‧‧‧步驟
200‧‧‧堆疊
204‧‧‧基板
208‧‧‧蝕刻停止層
212‧‧‧蝕刻層
216‧‧‧有機遮罩
220‧‧‧有機遮罩特徵部
224‧‧‧硬遮罩
228、232‧‧‧沉積物
300‧‧‧電漿處理系統
302‧‧‧電漿反應器
304‧‧‧電漿處理腔室
306‧‧‧電漿電源
308‧‧‧匹配網路
310‧‧‧TCP線圈
312‧‧‧電力窗
314‧‧‧電漿
316‧‧‧偏壓電源
318‧‧‧匹配網路
320‧‧‧電極
324‧‧‧控制器
330‧‧‧氣體源
332‧‧‧第一成份氣體源
334‧‧‧第二成份氣體源
336‧‧‧添加成份氣體源
340‧‧‧氣體入口
342‧‧‧壓力控制閥
344‧‧‧泵
350‧‧‧腔室壁
352‧‧‧脈衝控制器
400‧‧‧電腦系統
402‧‧‧處理器
404‧‧‧顯示裝置
406‧‧‧記憶體
408‧‧‧儲存裝置
410‧‧‧可卸除式儲存裝置
412‧‧‧使用者介面裝置
414‧‧‧通信介面
416‧‧‧通信基礎設施
504、508、512、516‧‧‧步驟
604、608、612、616‧‧‧步驟
本發明係藉由舉例的方式而非限制的方式於附圖之圖式中加以說明,且其中相似的參考數字表示類似元件,且其中: 圖1係本發明之實施例的高階流程圖。
圖2A-C係根據本發明之實施例之堆疊蝕刻的示意橫剖面圖。
圖3係可用於本發明之實施例之電漿處理腔室的示意圖。
圖4係可用以實施本發明之電腦系統的示意圖。
圖5係選擇性遮罩沉積階段的更詳細流程圖。
圖6係選擇性蝕刻層蝕刻階段的更詳細流程圖。
現將參考如附圖所示之本發明的一些較佳實施例來詳細地描述本發明。在以下敘述中,為了提供對本發明的徹底瞭解而提出許多具體細節。然而,對於本領域中具有通常技術者將顯而易見,本發明可在不具有這些具體細節之部份或全部的情形下加以實施。在其他情況下,為了不非必要地混淆本發明,故已不詳細地描述熟知的製程步驟及/或結構。
在形成半導體裝置中(例如形成FinFET間隔物),須要相對於有機遮罩(如光阻)及硬遮罩(如氮化矽(SiN))而蝕刻介電層(如氧化矽)。在其他半導體製程中,須要蝕刻設置在具有特徵部之圖案化有機遮罩下方的蝕刻層,其中硬遮罩係形成在部份有機遮罩特徵部的底部。
圖1係本發明之實施例的高階流程圖。在此實施例中,將具有蝕刻層之基板設置在蝕刻腔室中,蝕刻層係設置在具有特徵部的圖案化之有機遮罩以及位於圖案化之有機遮罩的特徵部底部的硬遮罩下方(步驟104)。由蝕刻腔室提供脈衝偏壓選擇性蝕刻(步驟108),其中脈衝偏壓選擇性蝕刻(步驟108)包含複數循環,其中各循環包含選擇性遮罩沉積階段(步驟112)及選擇性蝕刻層蝕刻階段(步驟116)。將基板自蝕刻腔室移開(步驟120)。
範例 蝕刻層與有機遮罩及硬遮罩
在本發明之較佳實施例中,將具有蝕刻層之基板設置在蝕刻腔室中,蝕刻層係設置在具有特徵部的圖案化之有機遮罩以及位於圖案化之有機遮罩的特徵部底部的硬遮罩下方(步驟104)。圖2A係堆疊200的 示意橫剖面圖,堆疊200具有基板204,基板204具有蝕刻停止層208,蝕刻停止層208係設置在蝕刻層212下方,蝕刻層212係設置在有機遮罩216下方,有機遮罩216具有有機遮罩特徵部220。部份有機遮罩特徵部的底部為硬遮罩224。在此範例中,可將一或更多層設置在基板204與蝕刻停止層208之間、或設置在蝕刻停止層208與蝕刻層212之間、或設置在蝕刻層212與有機遮罩216或硬遮罩224之間。在此範例中,有機遮罩216為光阻、硬遮罩224為氮化鈦(TiN)、及蝕刻層212為氧化矽(SiO)。
圖3概要地繪示可用於本發明之實施例之電漿處理系統300 的範例。電漿處理系統300包括其中具有由腔室壁350所定義之電漿處理腔室304的電漿反應器302。由匹配網路308所調節之電漿電源306將電力供應至位於電力窗312附近的TCP線圈310,電力窗312將電力提供至電漿處理腔室304,以在電漿處理腔室304中產生電漿314。TCP線圈(上電源)310可配置成在電漿處理腔室304內產生均勻擴散曲線。例如,TCP線圈310可配置成在電漿314中產生環形電力分佈。電力窗312係設置成將TCP線圈310與電漿處理腔室304隔開,同時允許能量自TCP線圈310傳送至電漿處理腔室304。由匹配網路318所調節之晶圓偏壓電源316將電力提供至電極320,以設定基板204上的偏壓,其中基板204係由電極320所支撐,使得此實施例中的電極320亦為基板支撐件。脈衝控制器352使偏壓產生脈衝。脈衝控制器352可介於匹配網路318與基板支撐件之間、或介於偏壓電源316與匹配網路318之間、或介於控制器324與偏壓電源316之間、或以某種其他配置而使偏壓產生脈衝。控制器324設定電漿電源306及晶圓偏壓電源316的操作點。
電漿電源306及晶圓偏壓電源316可配置成操作在例如 13.56MHz、27MHz、2MHz、400kHz、或其組合之特定射頻。電漿電源306及晶圓偏壓電源316可製作成適當大小以便供應一範圍之電力,以達到期望之製程效果。舉例而言,在本發明之實施例中,電漿電源306可供應300至10000瓦之範圍中的電力,以及晶圓偏壓電源316可供應10至2000V之範圍中的偏壓。此外,TCP線圈310及/或電極320可由二或更多次線圈或次電極所組成,其可由單一電源供電或由多數電源供電。
如圖3所示,電漿處理系統300更包括氣體源/氣體供應機 構330。氣體源包括第一成份氣體源332、第二成份氣體源334、及選擇性的添加成份氣體源336。以下將討論各種成份氣體。氣體源332、334、及336係經由氣體入口340與電漿處理腔室304流體連接。可將氣體入口340設置在電漿處理腔室304中任何有利的位置,並可採取任何形式來注入氣體。然而較佳地,氣體入口340可配置成產生「可調」氣體注入曲線,其允許獨立調整流至電漿處理腔室304中之多數區域的各別氣體流量。處理氣體及副產物係經由壓力控制閥342(其係一壓力調節器)及泵344(其亦用以維持電漿處理腔室304內的特定壓力,並且亦提供氣體出口)而自電漿處理腔室304移除。氣體源/氣體供應機構330係由控制器324所控制。 蘭姆研究公司(Lam Research Corporation)的Kiyo系統可用以實施本發明之實施例。
圖4顯示電腦系統400之高階方塊圖,其適合實施用在本發 明之實施例中的控制器324。該電腦系統可具有範圍從積體電路、印刷電路板、及小型手持裝置以至於大型超級電腦的許多實體形式。電腦系統400包括一或更多處理器402,且更可包括電子顯示裝置404(用以顯示圖表、文字、和其他資料)、主記憶體406(例如隨機存取記憶體(RAM))、儲存裝置408(例如硬式磁碟機)、可卸除式儲存裝置410(例如光碟機)、使用者介面裝置412(例如鍵盤、觸控螢幕、按鍵、滑鼠、或其他指向裝置等等)、以及通信介面414(例如無線網路介面)。通信介面414允許軟體及資料經由連結而在電腦系統400和外部裝置之間傳輸。此系統也可包含上述裝置/模組所連接之通信基礎設施(communication infrastructure)416(例如通信匯流排、交越帶(cross-over bar)、或網路)。
經由通信介面414傳輸的資訊可為如電子、電磁、光學、或 其他能經由傳送信號且可使用電線或電纜、光纖、電話線、行動電話連結、射頻連結、及/或其他通信通道所實施之通信連結而由通信介面414接收之信號的信號形式。藉由如此的通信介面,預期一或更多之處理器402在執行上述方法步驟的過程中,可從網路接收資訊、或可輸出資訊到網路。此外,本發明之方法實施例可僅在此處理器上執行、或可在例如網際網路之 網路上連同分享處理之一部分的遠端處理器來執行。
用語「非暫時性電腦可讀媒體」一般用於指示如主記憶體、 輔助記憶體、可卸除式儲存器、以及儲存裝置(如硬式磁碟機、快閃記憶體、磁碟機記憶體、CD-ROM、以及其他形式之永久記憶體)之媒體,且不應理解為涵蓋例如載波或信號之短暫性標的物。電腦碼的例子包括:例如由編譯器產生之機器碼、以及由電腦使用直譯器執行的含有較高階編碼之檔案。電腦可讀媒體亦可為藉由體現在載波中之電腦資料信號所傳送並代表被處理器執行之指令序列的電腦碼。
由蝕刻腔室提供脈衝偏壓選擇性蝕刻(步驟108),其中脈 衝偏壓選擇性蝕刻(步驟108)包含複數循環,其中各循環包含選擇性遮罩沉積階段(步驟112)及選擇性蝕刻層蝕刻階段(步驟116)。圖5係選擇性遮罩沉積階段(步驟112)的更詳細流程圖。使沉積氣體自氣體源330流至電漿處理腔室304(步驟504)。使沉積氣體形成為電漿(步驟508)。提供沉積偏壓(步驟512)。停止沉積氣體的流量(步驟516)。
一用以提供選擇性遮罩沉積階段的配方範例提供3毫托耳 的腔室壓力。使100sccm Ar、50sccm H2、及15sccm C4F8的沉積氣體流入電漿處理腔室304中(步驟504)。由TCP線圈310提供13.56MHz、400瓦的RF電力,以使沉積氣體形成為電漿(步驟508)。由於為了提供淨沉積(net deposition)而在選擇性遮罩沉積階段期間使工作週期為關閉,故晶圓偏壓電源316不提供沉積偏壓(步驟512)。在此範例中,因為沉積氣體與蝕刻氣體的配方相同,所以不必停止沉積氣體的流量。
圖2B係選擇性遮罩沉積階段(步驟112)完成後之堆疊200 的示意橫剖面圖。已將沉積物228選擇性沉積在相對於蝕刻層212之有機遮罩216的頂部上。亦已將沉積物232選擇性沉積在相對於蝕刻層212之硬遮罩224的頂部上。
圖6係選擇性蝕刻階段(步驟116)的更詳細流程圖。使蝕 刻氣體自氣體源330流至電漿處理腔室304(步驟604)。使蝕刻氣體形成為電漿(步驟608)。提供蝕刻偏壓(步驟612)。停止蝕刻氣體的流量(步驟616)。
一用以提供蝕刻的配方範例提供3毫托耳的腔室壓力。使 100sccm Ar、50sccm H2、及15sccm C4F8的蝕刻氣體流入電漿處理腔室304中(步驟604)。由TCP線圈310提供13.56MHz、400瓦的RF電力,以使蝕刻氣體形成為電漿(步驟608)。於脈衝偏壓期間,由晶圓偏壓電源316開啟偏壓電力而提供500伏特的蝕刻偏壓(由提供13.56MHz之RF電力而產生),其中蝕刻階段是在工作週期的開啟部份(步驟612)。在此範例中,因為蝕刻氣體與沉積氣體的配方相同,所以不必停止蝕刻氣體的流量。在此階段期間可能會有一些沉積物,但在此階段期間絕無淨沉積。更佳地,有沉積物的淨移除(net removal)。
圖2C係蝕刻階段完成後之堆疊200的示意橫剖面圖。已將 蝕刻層212選擇性蝕刻,同時亦已移除部份沉積物228、232而保護有機遮罩216及硬遮罩224。
若蝕刻階段未移除所有的沉積物,以至於沉積物防止任何光 阻及硬遮罩受到蝕刻,則所產生之蝕刻在蝕刻該蝕刻層相對於光阻遮罩及硬遮罩兩者可具有無限大的蝕刻選擇率。較佳地,循環的頻率為10Hz至1kHz之間,因而需要介於10Hz與1kHz之間的脈衝偏壓。雖然在此範例中,RF偏壓為13.56MHz,但在各種實施例中,可將2至60MHz之間的RF電力提供至支撐基板的電極。在此實施例中,工作週期為75%,其中偏壓是在75%的期間。在其他實施例中,工作週期介於10%與90%之間。
形成FinFET間隔物
在本發明的另一表現形式中,利用本發明之實施例來形成FinFET間隔物。為形成FinFET間隔物,須要蝕刻SiN層,而不蝕刻矽鰭部或氧化矽。已發現到脈衝偏壓允許SiN層的選擇性蝕刻,而降低矽鰭部及SiO兩者的蝕刻。
其他實施例
本發明之另一實施例提供偏壓脈衝週期調整,其中工作週期隨時間改變。在另一實施例中,僅在有機遮罩的頂部上提供沉積物,於蝕刻蝕刻層期間,由於沉積層的保護而使有機遮罩的蝕刻降到最少,並且由於硬遮罩的深度或硬遮罩的材料而使硬遮罩的蝕刻降到最少。沉積選擇率 可基於對不同材料的沉積選擇率、或沉積選擇率基於深寬比。基於深寬比的沉積選擇率可在較高的有機遮罩頂部上沉積更多。在以上實施例中,沉積氣體與蝕刻氣體相同。在另一實施例中,沉積氣體可不同於蝕刻氣體。 在這樣的實施例中,蝕刻氣體與沉積氣體之間的差異可由產生單一氣體脈衝來提供。在另一實施例中,可切換不同的氣體。在一實施例中,蝕刻氣體及/或沉積氣體可包含氟碳化物(其包括氫氟碳化物),例如C4F6、C4F8、C5F8、CHF3、CH2F2、及CH3F。氟碳化物可與N2、H2、O2、或其他惰性氣體一起使用。一般而言,氣體脈衝無法像偏壓脈衝一樣快速切換。由於快速切換,因此越快速的偏壓脈衝提供越好的蝕刻。另一方面,氣體脈衝提供了額外的控制。
包含氣體及偏壓脈衝製程兩者的範例提供具有3毫托耳的 腔室壓力之沉積。使100sccm Ar及50sccm H2的沉積氣體流入電漿處理腔室304中(步驟504)。由TCP線圈310提供13.56MHz、400瓦的RF電力,以使沉積氣體形成為電漿(步驟508)。藉由將自晶圓偏壓電源316施加至電極320的偏壓電力開啟在20至200Hz的偏壓脈衝頻率及介於10%與90%之間的工作週期之情況下來提供13.56MHz的RF電力,而產生500伏特的偏壓(步驟512)。接著停止沉積氣體的流量(步驟516)。此步驟提供2至30秒。
一於混合模式製程期間用以提供蝕刻的配方範例提供3毫 托耳的腔室壓力。使100sccm Ar、50sccm H2、及15sccm C4F8的蝕刻氣體流入電漿處理腔室304中(步驟604)。由TCP線圈310提供13.56MHz、400瓦的RF電力,以使蝕刻氣體形成為電漿(步驟608)。藉由將自晶圓偏壓電源316施加至電極320的偏壓電力開啟在無脈衝之情況下來提供13.56MHz的RF電力,而產生500伏特的偏壓(步驟612)。接著停止蝕刻氣體的流量(步驟616)。在此階段期間可能會有一些沉積物,但在此階段期間絕無淨沉積。更佳地,有沉積物的淨移除。此步驟提供2至30秒。這二步驟重複循環複數次。
在各種實施例中,硬遮罩可為TiN、一些其他金屬或非金屬 硬遮罩(例如Ta、Ti、Ta2O3、Ti2O3、Al2O3)、或SiN。較佳地,蝕刻層為 介電層。較佳地,硬遮罩係由氮化物或含金屬材料所製成。
較佳地,執行製程超過至少50循環。更佳地,執行製程超過至少100循環。
雖然已就一些較佳實施例對本發明加以說明,惟仍有落於本發明之範圍內的修改、變化、置換、及各種替代相等者。亦應注意有許多實施本發明之方法及設備的替代方式。因此欲使以下隨附之申請專利範圍被理解成包括所有落於本發明之真正精神及範圍內的此類修改、變化、置換、及各種替代相等者。
104、108、112、116、120‧‧‧步驟

Claims (29)

  1. 一種蝕刻方法,包含:提供一基板,該基板具有介電層,該介電層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部;藉由下列步驟以對電漿處理系統中之該基板的該介電層進行選擇性蝕刻:提供蝕刻氣體;使該蝕刻氣體形成為電漿;以及在一序列的循環中以脈衝頻率及工作週期使偏壓RF電力產生脈衝,該脈衝頻率及工作週期係選定以在各循環中產生選擇性遮罩沉積階段及選擇性蝕刻階段,該選擇性遮罩沉積階段具有第一偏壓,以將材料沉積在該圖案化之有機遮罩及該硬遮罩的露出部份之頂部上,並且該選擇性蝕刻階段具有不同於該第一偏壓之第二偏壓,其中所沉積之材料在該選擇性蝕刻階段期間保護該圖案化之有機遮罩及該硬遮罩;其中脈衝之偏壓RF電力的脈衝條件係選定以控制交替之選擇性蝕刻階段及選擇性遮罩沉積階段之該序列的循環,並且提供具有介於2與60MHz之間的頻率之該偏壓RF電力,而其提供在該第一偏壓與該第二偏壓之間變化的脈衝偏壓,該脈衝偏壓的脈衝頻率係介於10Hz與1kHz之間。
  2. 如申請專利範圍第1項之蝕刻方法,其中在該選擇性遮罩沉積階段期間亦提供該蝕刻氣體,以使該選擇性蝕刻階段及該選擇性遮罩沉積階段使用相同的氣體配方。
  3. 如申請專利範圍第2項之蝕刻方法,其中該偏壓產生至少50循環之脈衝,且脈衝偏壓條件係選定以在該至少50循環期間達到高選擇性。
  4. 如申請專利範圍第3項之蝕刻方法,其中該蝕刻氣體包含氟碳化物。
  5. 如申請專利範圍第4項之蝕刻方法,其中該介電層為氧化矽基層。
  6. 如申請專利範圍第5項之蝕刻方法,其中該硬遮罩為含金屬或氮化物層。
  7. 如申請專利範圍第1項之蝕刻方法,其中該介電層為SiN,其具有複數嵌入式矽鰭部結構,其中該蝕刻步驟相對於該些矽鰭部結構而選擇性蝕刻SiN。
  8. 如申請專利範圍第1項之蝕刻方法,其中提供第一氣體,且其中該第一氣體包含H2
  9. 如申請專利範圍第8項之蝕刻方法,其中該第一氣體更包含C4F8
  10. 如申請專利範圍第9項之蝕刻方法,其中該偏壓係於0伏特與至少500伏特之間交替產生脈衝。
  11. 如申請專利範圍第1項之蝕刻方法,其中該偏壓脈衝頻率係於提供該偏壓RF電力的步驟期間,藉由一脈衝控制器進行調整。
  12. 如申請專利範圍第1項之蝕刻方法,其中該硬遮罩包含TiN。
  13. 如申請專利範圍第1項之蝕刻方法,更包含調整該脈衝偏壓的工作週期及頻率之其中至少一者,以使其於提供該偏壓RF電力的步驟期間隨著時間變化。
  14. 如申請專利範圍第1項之蝕刻方法,其中該第一偏壓具有偏壓脈衝頻率及第一工作週期,且該第二偏壓不產生脈衝。
  15. 如申請專利範圍第1項之蝕刻方法,其中該偏壓係於該選擇性遮罩沉積 階段產生具有第一偏壓脈衝頻率及第一工作週期之脈衝,且該偏壓係於該選擇性蝕刻階段產生具有第二偏壓脈衝頻率及第二工作週期之脈衝。
  16. 如申請專利範圍第1項之蝕刻方法,其中該工作週期係於該選擇性遮罩沉積階段期間關閉,且該工作週期係於該選擇性蝕刻階段期間開啟。
  17. 一種選擇性蝕刻介電層的方法,該介電層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部,該方法包含複數循環,其中各循環包含:在各循環的沉積階段中,利用第一偏壓條件使材料相對於該介電層而選擇性沉積在該圖案化之有機遮罩及該硬遮罩之露出區域的頂部上;以及在各循環的蝕刻階段中,利用不同於該第一偏壓條件之第二偏壓條件相對於該圖案化之有機遮罩及硬遮罩而對該介電層進行選擇性蝕刻;其中該蝕刻步驟並不將所有沉積在該圖案化之有機遮罩或該硬遮罩的頂部上之材料全部移除;其中該偏壓係藉由頻率介於2與60MHz之間的偏壓RF電力來提供;其中該偏壓係以介於10Hz與1kHz之間的脈衝頻率產生脈衝,以提供該選擇性沉積與該選擇性蝕刻之間的循環;且其中調整該脈衝偏壓的工作週期及脈衝頻率之其中至少一者,以使其於提供該偏壓RF電力的步驟期間隨著時間變化。
  18. 如申請專利範圍第17項之選擇性蝕刻介電層的方法,更包含:於該選擇性沉積期間提供沉積氣體;以及於該選擇性蝕刻期間提供蝕刻氣體,其中該沉積氣體具有與該蝕刻氣體相同的氣體配方。
  19. 如申請專利範圍第17項之選擇性蝕刻介電層的方法,其中提供第一氣體,且其中該第一氣體包含H2
  20. 如申請專利範圍第19項之選擇性蝕刻介電層的方法,其中該第一氣體 更包含C4F8
  21. 如申請專利範圍第20項之選擇性蝕刻介電層的方法,其中該偏壓係於0伏特與至少500伏特之間交替產生脈衝。
  22. 如申請專利範圍第17項之選擇性蝕刻介電層的方法,其中該偏壓脈衝頻率係於提供該偏壓RF電力的步驟期間,藉由一脈衝控制器進行調整。
  23. 如申請專利範圍第17項之選擇性蝕刻介電層的方法,其中該硬遮罩包括含氮化物或金屬材料。
  24. 如申請專利範圍第23項之選擇性蝕刻介電層的方法,其中該硬遮罩包含TiN。
  25. 如申請專利範圍第17項之選擇性蝕刻介電層的方法,其中在該蝕刻階段之該脈衝偏壓的工作週期及脈衝頻率之其中至少一者係與在該沉積階段不同。
  26. 一種蝕刻方法,用以蝕刻一蝕刻層,該蝕刻層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部,該方法包含:提供蝕刻氣體;使該蝕刻氣體形成為電漿;以及藉由選擇脈衝偏壓的脈衝頻率及工作週期來控制蝕刻處理的選擇性,而藉由具有頻率介於2與60MHz之間的偏壓RF電力來提供該脈衝偏壓,該偏壓RF電力提供具有介於10Hz與1kHz之間的脈衝頻率之該脈衝偏壓,其中該脈衝偏壓產生具有第一偏壓條件的沉積階段,該第一偏壓條件係選定以使材料相對於該蝕刻層而選擇性沉積在有機遮罩及該硬遮罩的頂部上,且其中該脈衝偏壓更包含具有第二偏壓條件的蝕刻階段,該第二偏壓 條件係選定以選擇性蝕刻該蝕刻層而不將所有在該沉積階段期間沉積在該有機遮罩或該硬遮罩的頂部上之材料全部移除。
  27. 如申請專利範圍第26項之蝕刻方法,更包含調整該脈衝偏壓的工作週期及/或頻率,以使其於提供該偏壓RF電力的步驟期間隨著時間變化。
  28. 一種蝕刻方法,包含:提供一基板,該基板具有介電層,該介電層係設置在具有特徵部的圖案化之有機遮罩下方,而硬遮罩位於部份有機遮罩特徵部的底部;藉由下列步驟以對電漿處理系統中之該基板的該介電層進行選擇性蝕刻:提供蝕刻氣體;使該蝕刻氣體形成為電漿;以及在一序列的循環中以脈衝頻率及工作週期使偏壓RF電力產生脈衝,該脈衝頻率及工作週期係選定以在各循環中產生選擇性遮罩沉積階段及選擇性蝕刻階段,該選擇性遮罩沉積階段具有第一偏壓,以將材料沉積在該圖案化之有機遮罩及該硬遮罩的露出部份之頂部上,並且該選擇性蝕刻階段具有不同於該第一偏壓之第二偏壓,其中所沉積之材料在該選擇性蝕刻階段期間保護該圖案化之有機遮罩及該硬遮罩;其中脈衝之偏壓RF電力的脈衝條件係選擇以控制交替之選擇性蝕刻階段及選擇性遮罩沉積階段之該序列的循環。
  29. 如申請專利範圍第28項之蝕刻方法,其中該脈衝偏壓的脈衝頻率係介於10Hz與1kHz之間。
TW102129192A 2012-08-15 2013-08-14 具有混合模式脈動之蝕刻 TWI596671B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/586,793 US20140051256A1 (en) 2012-08-15 2012-08-15 Etch with mixed mode pulsing

Publications (2)

Publication Number Publication Date
TW201411720A TW201411720A (zh) 2014-03-16
TWI596671B true TWI596671B (zh) 2017-08-21

Family

ID=50084442

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102129192A TWI596671B (zh) 2012-08-15 2013-08-14 具有混合模式脈動之蝕刻

Country Status (5)

Country Link
US (1) US20140051256A1 (zh)
KR (1) KR20140023219A (zh)
CN (1) CN103594351A (zh)
SG (1) SG2013059233A (zh)
TW (1) TWI596671B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6410592B2 (ja) * 2014-12-18 2018-10-24 東京エレクトロン株式会社 プラズマエッチング方法
KR102468781B1 (ko) 2015-07-01 2022-11-22 삼성전자주식회사 반도체 소자의 제조방법
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
JP6689674B2 (ja) * 2016-05-30 2020-04-28 東京エレクトロン株式会社 エッチング方法
US10002773B2 (en) * 2016-10-11 2018-06-19 Lam Research Corporation Method for selectively etching silicon oxide with respect to an organic mask
US10037890B2 (en) * 2016-10-11 2018-07-31 Lam Research Corporation Method for selectively etching with reduced aspect ratio dependence
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
KR102553117B1 (ko) * 2017-05-15 2023-07-06 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및 에칭
WO2020167765A1 (en) * 2019-02-14 2020-08-20 Lam Research Corporation Selective etch using a sacrificial mask
KR20210087352A (ko) 2020-01-02 2021-07-12 삼성전자주식회사 에어 스페이서를 가지는 반도체 소자
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer
US20230110474A1 (en) * 2021-10-13 2023-04-13 Applied Materials, Inc. Selective silicon deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200735210A (en) * 2006-02-17 2007-09-16 Lam Res Corp Infinitely selective photoresist mask etch
TW200818313A (en) * 2006-08-22 2008-04-16 Lam Res Corp Method for plasma etching performance enhancement
TW200931518A (en) * 2007-11-29 2009-07-16 Lam Res Corp Pulsed bias plasma process to control microloading

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010038972A1 (en) * 1998-11-20 2001-11-08 Christopher F. Lyons Ultra-thin resist shallow trench process using metal hard mask
US6784108B1 (en) * 2000-08-31 2004-08-31 Micron Technology, Inc. Gas pulsing for etch profile control
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
JP5220317B2 (ja) * 2007-01-11 2013-06-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
US9059116B2 (en) * 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
KR101511933B1 (ko) * 2008-10-31 2015-04-16 삼성전자주식회사 핀 전계 효과 트랜지스터의 제조방법
US8404598B2 (en) * 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20130224960A1 (en) * 2010-10-29 2013-08-29 Applied Materials, Inc. Methods for etching oxide layers using process gas pulsing
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200735210A (en) * 2006-02-17 2007-09-16 Lam Res Corp Infinitely selective photoresist mask etch
TW200818313A (en) * 2006-08-22 2008-04-16 Lam Res Corp Method for plasma etching performance enhancement
TW200931518A (en) * 2007-11-29 2009-07-16 Lam Res Corp Pulsed bias plasma process to control microloading

Also Published As

Publication number Publication date
CN103594351A (zh) 2014-02-19
SG2013059233A (en) 2014-03-28
KR20140023219A (ko) 2014-02-26
US20140051256A1 (en) 2014-02-20
TW201411720A (zh) 2014-03-16

Similar Documents

Publication Publication Date Title
TWI596671B (zh) 具有混合模式脈動之蝕刻
TWI559393B (zh) 三維快閃結構用之蝕刻製程
TW201841256A (zh) 氫活化原子層蝕刻
TWI596669B (zh) 鎢蝕刻之方法
US9640408B2 (en) Fast-gas switching for etching
TW201843701A (zh) 矽氮化物之原子層蝕刻
TWI605511B (zh) 進行蝕刻時利用頻譜以使射頻切換與氣體切換兩者同步
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
TWI552221B (zh) 高蝕刻速率之提供方法
TW201523726A (zh) 基於氟碳化合物之非依存於深寬比的蝕刻
TW201442108A (zh) 在原處之金屬殘餘物清潔
TW201820388A (zh) 用於半導體處理之矽基沉積
TWI591719B (zh) 用於平滑側壁快速交替式蝕刻程序之受控氣體混合
TW201906005A (zh) 多孔低介電常數介電蝕刻
TWI591721B (zh) 用以提供介層窗之方法
TW201306124A (zh) 藉由氬濺鍍之硬遮罩臨界尺寸控制方法
KR102164679B1 (ko) 선-에칭 일시적인 컨디셔닝을 갖는 에칭 프로세스
TW201828360A (zh) 高深寬比蝕刻
KR102626483B1 (ko) 반도체 프로세싱을 위한 실리콘-기반 증착
TW201413811A (zh) 用於縮小及調整溝槽/通孔臨界尺寸之方法
TW201903897A (zh) 用於半導體處理之矽基沉積