JP2014096499A - プラズマエッチング方法及びプラズマエッチング装置 - Google Patents

プラズマエッチング方法及びプラズマエッチング装置 Download PDF

Info

Publication number
JP2014096499A
JP2014096499A JP2012247781A JP2012247781A JP2014096499A JP 2014096499 A JP2014096499 A JP 2014096499A JP 2012247781 A JP2012247781 A JP 2012247781A JP 2012247781 A JP2012247781 A JP 2012247781A JP 2014096499 A JP2014096499 A JP 2014096499A
Authority
JP
Japan
Prior art keywords
gas
plasma
amorphous carbon
film
carbon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012247781A
Other languages
English (en)
Other versions
JP6035117B2 (ja
Inventor
Yuta Seya
祐太 瀬谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2012247781A priority Critical patent/JP6035117B2/ja
Priority to US14/073,996 priority patent/US9177823B2/en
Publication of JP2014096499A publication Critical patent/JP2014096499A/ja
Application granted granted Critical
Publication of JP6035117B2 publication Critical patent/JP6035117B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

【課題】エッチングにより形成されるラインの荒れを減少し、かつ、ラインの断面形状を改善すること。
【解決手段】プラズマエッチング方法は、所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングする。プラズマエッチング方法は、エッチングされたアモルファスカーボン膜上に残存するSiON膜を処理ガスのプラズマにより除去しつつアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする。プラズマエッチング方法は、アモルファスカーボン膜からSiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜を改質する。プラズマエッチング方法は、改質されたアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする。
【選択図】図3

Description

本発明の種々の側面及び実施形態はプラズマエッチング方法及びプラズマエッチング装置に関するものである。
従来、被処理体上に形成された多層膜をエッチングする技術が知られている。例えば、被処理体上に形成された所定パターンのSiON膜をマスクとして硫黄含有ガスのプラズマによりアモルファスカーボン膜をエッチングした後に、アモルファスカーボン膜をマスクとしてCF系ガスのプラズマによりシリコン酸化膜をエッチングする技術がある。
特開2011−216644号公報
しかしながら、従来技術では、エッチングによるアモルファスカーボン膜の荒れがその下層のシリコン酸化膜などに転写されるため、エッチングにより形成されるラインが荒れたり、ラインの断面形状が劣化したりするという問題がある。
例えば、従来技術では、バイアス用の高周波電力を供給することなくシリコン酸化膜をエッチングする場合には、エッチングにより形成されるラインの断面形状が先細り形状となる恐れがある。一方、従来技術では、バイアス用の高周波電力を供給しつつシリコン酸化膜をエッチングする場合には、エッチングにより形成されるラインのうねり(Wiggling)が発生する恐れがある。
本発明の一側面に係るプラズマエッチング方法は、アモルファスカーボン膜エッチング工程と、第1のシリコン酸化膜エッチング工程と、アモルファスカーボン膜改質工程と、第2のシリコン酸化膜エッチング工程とを含む。アモルファスカーボン膜エッチング工程は、被処理体上に形成された所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングする。第1のシリコン酸化膜エッチング工程は、エッチングされた前記アモルファスカーボン膜上に残存する前記SiON膜を処理ガスのプラズマにより除去しつつ前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマによりシリコン酸化膜をエッチングする。アモルファスカーボン膜改質工程は、前記アモルファスカーボン膜から前記SiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマにより前記アモルファスカーボン膜を改質する。第2のシリコン酸化膜エッチング工程は、改質された前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマにより前記シリコン酸化膜をエッチングする。
本発明の種々の側面及び実施形態によれば、エッチングにより形成されるラインの荒れを減少し、かつ、ラインの断面形状を改善することができるプラズマエッチング方法及びプラズマエッチング装置が実現される。
図1は、実施形態に係るプラズマエッチング方法に適用されるプラズマエッチング装置を示す概略断面図である。 図2は、本実施形態における被処理体の構造例を示す断面図である。 図3は、本実施形態に係るプラズマエッチング装置によるプラズマエッチング方法の処理の流れの一例を示すフローチャートである。 図4は、本実施形態に係るプラズマエッチング装置によるプラズマエッチング方法の処理の流れの一例を説明するための説明図である。 図5は、比較例1、実施例1及び実施例2における処理結果を示す図である。 図6は、比較例1、実施例1及び実施例2における処理結果を示す図である。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を付すこととする。
本実施形態に係るプラズマエッチング方法は、1つの実施形態において、被処理体上に形成された所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングするアモルファスカーボン膜エッチング工程と、エッチングされたアモルファスカーボン膜上に残存するSiON膜を処理ガスのプラズマにより除去しつつアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする第1のシリコン酸化膜エッチング工程と、アモルファスカーボン膜からSiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜を改質するアモルファスカーボン膜改質工程と、改質されたアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする第2のシリコン酸化膜エッチング工程とを含む。
また、本実施形態に係るプラズマエッチング方法は、1つの実施形態において、処理ガスは、CF系ガス、又はCF系ガス/CHF系ガスである。
また、本実施形態に係るプラズマエッチング方法は、1つの実施形態において、酸素含有ガスは、COS/O2ガス、CO/O2ガス及びO2ガスのうちいずれか一つのガスである。
また、本実施形態に係るプラズマエッチング方法は、1つの実施形態において、CF系ガスは、C4F6/Ar/O2ガス及びC4F8/Ar/O2ガスのうちいずれか一つのガスである。
また、本実施形態に係るプラズマエッチング方法は、1つの実施形態において、CF系ガス/CHF系ガスは、C4F8/CHF3/Ar/O2ガス、CF4/CHF3/Ar/O2ガス、及びCF4/CH2F2/Ar/O2ガスのうちいずれか一つのガスである。
また、本実施形態に係るプラズマエッチング方法は、1つの実施形態において、硫黄含有ガスは、COS/CF4/Arガスであり、水素含有ガスは、H2/Arガスである。
本実施形態に係るプラズマエッチング装置は、1つの実施形態において、被処理体に対してプラズマエッチング処理を行うための処理チャンバと、処理チャンバ内を減圧する減圧部と、処理チャンバ内に処理ガスを供給するガス供給部と、被処理体上に形成された所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングし、エッチングされたアモルファスカーボン膜上に残存するSiON膜を処理ガスのプラズマにより除去しつつアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングし、アモルファスカーボン膜からSiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜を改質し、改質されたアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする各工程を実行する制御部とを備えた。
図1は、実施形態に係るプラズマエッチング方法に適用されるプラズマエッチング装置を示す概略断面図である。図1に示すプラズマエッチング装置は、気密に構成され、電気的に接地電位とされた処理チャンバ1を有している。この処理チャンバ1は、円筒状とされ、例えば表面に陽極酸化被膜を形成されたアルミニウム等から構成されている。処理チャンバ1内には、被処理体である半導体ウエハWを水平に支持する載置台2が設けられている。
載置台2は、その基材2aが導電性の金属、例えばアルミニウム等で構成されており、下部電極としての機能を有する。この載置台2は、絶縁板3を介して導体の支持台4に支持されている。また、載置台2の上方の外周には、例えば単結晶シリコンで形成されたフォーカスリング5が設けられている。さらに、載置台2及び支持台4の周囲を囲むように、例えば石英等からなる円筒状の内壁部材3aが設けられている。
載置台2の基材2aには、第1の整合器11aを介して第1の高周波電源10aが接続され、また、第2の整合器11bを介して第2の高周波電源10bが接続されている。第1の高周波電源10aは、プラズマ発生用のものであり、この第1の高周波電源10aからは所定周波数(例えば60MHz)の高周波電力が載置台2の基材2aに供給されるようになっている。また、第2の高周波電源10bは、イオン行き込み用(バイアス用)のものであり、この第2の高周波電源10bからは第1の高周波電源10aより低い所定周波数(例えば、400kHz)の高周波電力が載置台2の基材2aに供給されるようになっている。一方、載置台2の上方には、載置台2と平行に対向するように、上部電極としての機能を有するシャワーヘッド16が設けられており、シャワーヘッド16と載置台2は、一対の電極(上部電極と下部電極)として機能するようになっている。
載置台2の上面には、半導体ウエハWを静電吸着するための静電チャック6が設けられている。この静電チャック6は絶縁体6bの間に電極6aを介在させて構成されており、電極6aには直流電源12が接続されている。そして電極6aに直流電源12から直流電圧が印加されることにより、クーロン力によって半導体ウエハWが吸着されるよう構成されている。
載置台2の内部には、冷媒流路2bが形成されており、冷媒流路2bには、冷媒入口配管2c、冷媒出口配管2dが接続されている。そして、冷媒流路2bの中にガルデンなどの冷媒を循環させることによって、支持台4及び載置台2を所定の温度に制御可能となっている。また、載置台2等を貫通するように、半導体ウエハWの裏面側にヘリウムガス等の冷熱伝達用ガス(バックサイドガス)を供給するためのバックサイドガス供給配管30が設けられている。このバックサイドガス供給配管30は、図示しないバックサイドガス供給源に接続されている。これらの構成によって、載置台2の上面に静電チャック6によって吸着保持された半導体ウエハWを、所定の温度に制御可能となっている。
上記したシャワーヘッド16は、処理チャンバ1の天壁部分に設けられている。シャワーヘッド16は、本体部16aと電極板をなす上部天板16bとを備えており、絶縁性部材45を介して処理チャンバ1の上部に支持されている。本体部16aは、導電性材料、例えば表面が陽極酸化処理されたアルミニウムからなり、その下部に上部天板16bを着脱自在に支持できるように構成されている。上部天板16bは、シリコン含有物質で形成され、例えばシリコンで形成される。
本体部16aの内部には、ガス拡散室16c,16dが設けられ、このガス拡散室16c,16dの下部に位置するように、本体部16aの底部には、多数のガス通流孔16eが形成されている。ガス拡散室は、中央部に設けられたガス拡散室16cと、周縁部に設けられたガス拡散室16dとに2分割されており、中央部と周縁部とで独立に処理ガスの供給状態を変更できるようになっている。
また、上部天板16bには、当該上部天板16bを厚さ方向に貫通するようにガス導入孔16fが、上記したガス通流孔16eと重なるように設けられている。このような構成により、ガス拡散室16c,16dに供給された処理ガスは、ガス通流孔16e及びガス導入孔16fを介して処理チャンバ1内にシャワー状に分散されて供給されるようになっている。なお、本体部16a等には、冷媒を循環させるための図示しない配管が設けられており、プラズマエッチング処理中にシャワーヘッド16を所望温度に温度制御できるようになっている。
上記した本体部16aには、ガス拡散室16c,16dへ処理ガスを導入するための2つのガス導入口16g,16hが形成されている。これらのガス導入口16g,16hにはガス供給配管15a,15bが接続されており、このガス供給配管15a,15bの他端には、エッチング用の処理ガスを供給する処理ガス供給源15が接続されている。処理ガス供給源15は、ガス供給部の一例である。ガス供給配管15aには、上流側から順にマスフローコントローラ(MFC)15c、及び開閉弁V1が設けられている。また、ガス供給配管15bには、上流側から順にマスフローコントローラ(MFC)15d、及び開閉弁V2が設けられている。
そして、処理ガス供給源15からはプラズマエッチングのための処理ガスが、ガス供給配管15a,15bを介してガス拡散室16c,16dに供給され、このガス拡散室16c,16dから、ガス通流孔16e及びガス導入孔16fを介して処理チャンバ1内にシャワー状に分散されて供給される。例えば、処理ガス供給源15からは、後述するように、アモルファスカーボン膜をエッチングする際に用いられる酸素含有ガス、及びシリコン酸化膜をエッチングする際に用いられる処理ガスなどが供給される。また、例えば、処理ガス供給源15からは、アモルファスカーボン膜を改質する際に用いられる硫黄含有ガス又は水素含有ガスなどが供給される。処理ガス供給源15により供給されるガスの詳細については、後述する。
上記した上部電極としてのシャワーヘッド16には、ローパスフィルタ(LPF)51を介して可変直流電源52が電気的に接続されている。この可変直流電源52は、オン・オフスイッチ53により給電のオン・オフが可能となっている。可変直流電源52の電流・電圧ならびにオン・オフスイッチ53のオン・オフは、後述する制御部60によって制御されるようになっている。なお、後述のように、第1の高周波電源10a、第2の高周波電源10bから高周波が載置台2に印加されて処理空間にプラズマが発生する際には、必要に応じて制御部60によりオン・オフスイッチ53がオンとされ、上部電極としてのシャワーヘッド16に所定の直流電圧が印加される。
処理チャンバ1の側壁からシャワーヘッド16の高さ位置よりも上方に延びるように円筒状の接地導体1aが設けられている。この円筒状の接地導体1aは、その上部に天壁を有している。
処理チャンバ1の底部には、排気口71が形成されており、この排気口71には、排気管72を介して排気装置73が接続されている。排気装置73は、真空ポンプを有しており、この真空ポンプを作動させることにより処理チャンバ1内を所定の真空度まで減圧することができるようになっている。排気装置73は、減圧部の一例である。一方、処理チャンバ1の側壁には、半導体ウエハWの搬入出口74が設けられており、この搬入出口74には、当該搬入出口74を開閉するゲートバルブ75が設けられている。
図中76,77は、着脱自在とされたデポシールドである。デポシールド76は、処理チャンバ1の内壁面に沿って設けられ、処理チャンバ1にエッチング副生物(デポ)が付着することを防止する役割を有している。このデポシールド76の半導体ウエハWと略同じ高さ位置には、直流的にグランドに接続された導電性部材(GNDブロック)79が設けられており、これにより異常放電が防止される。
上記構成のプラズマエッチング装置は、制御部60によって、その動作が統括的に制御される。この制御部60には、CPUを備えプラズマエッチング装置の各部を制御するプロセスコントローラ61と、ユーザインターフェース62と、記憶部63とが設けられている。
ユーザインターフェース62は、工程管理者がプラズマエッチング装置を管理するためにコマンドの入力操作を行うキーボードや、プラズマエッチング装置の稼動状況を可視化して表示するディスプレイ等から構成されている。
記憶部63には、プラズマエッチング装置で実行される各種処理をプロセスコントローラ61の制御にて実現するための制御プログラム(ソフトウエア)や処理条件データ等が記憶されたレシピが格納されている。そして、必要に応じて、ユーザインターフェース62からの指示等にて任意のレシピを記憶部63から呼び出してプロセスコントローラ61に実行させることで、プロセスコントローラ61の制御下で、プラズマエッチング装置での所望の処理が行われる。また、制御プログラムや処理条件データ等のレシピは、コンピュータで読み取り可能なコンピュータ記録媒体(例えば、ハードディスク、CD、フレキシブルディスク、半導体メモリ等)などに格納された状態のものを利用したり、或いは、他の装置から、例えば専用回線を介して随時伝送させてオンラインで利用したりすることも可能である。
例えば、制御部60は、後述するプラズマエッチング方法を行うようにプラズマエッチング装置の各部を制御する。詳細な一例を挙げると、制御部60は、被処理体上に形成された所定のパターンを有するSiON膜をマスクとして第1の硫黄ガスのプラズマによりアモルファスカーボン膜をエッチングする。そして、制御部60は、エッチングされたアモルファスカーボン膜上に残存するSiON膜を処理ガスのプラズマにより除去しつつアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする。そして、制御部60は、アモルファスカーボン膜からSiON膜が除去された後に、上部電極としてのシャワーヘッド16に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜を改質する。そして、制御部60は、改質されたアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする。プラズマエッチング方法の詳細については後述する。ここで、被処理体とは、例えば、半導体ウエハWである。また、シリコン酸化膜とは、例えば、SiO2膜である。
図2は、本実施形態における被処理体の構造例を示す断面図である。被処理体は、Si基板101と、Si基板101の上に形成されたSiO2膜102と、SiO2膜102の上に形成されたアモルファスカーボン膜103とを有する。アモルファスカーボン膜103の上には、所定のパターンを有するSiON膜104が形成されている。
次に、上記構成のプラズマエッチング装置で、半導体ウエハWをプラズマ処理する手順について説明する。まず、ゲートバルブ75が開かれ、半導体ウエハWが図示しない搬送ロボット等により、図示しないロードロック室を介して搬入出口74から処理チャンバ1内に搬入され、載置台2上に載置される。この後、搬送ロボットを処理チャンバ1外に退避させ、ゲートバルブ75を閉じる。そして、排気装置73の真空ポンプにより排気口71を介して処理チャンバ1内が排気される。
処理チャンバ1内が所定の真空度になった後、処理チャンバ1内には処理ガス供給源15から所定の処理ガス(エッチングガス)が導入され、処理チャンバ1内が所定の圧力に保持される。この時、処理ガス供給源15からの処理ガスの供給状態を、中央部と周縁部とで異ならせることができ、また、処理ガスの全体の供給量のうち、中央部からの供給量と周縁部からの供給量との比率を所望の値に制御することができる。
そして、この状態で第1の高周波電源10aから載置台2の基材2aに、周波数が例えば60MHzの高周波電力が供給される。また、第2の高周波電源10bからは、イオン引き込みのため、載置台2の基材2aに周波数が例えば400kHzの高周波電力(バイアス用)が供給される。このとき、直流電源12から静電チャック6の電極6aに所定の直流電圧が印加され、半導体ウエハWはクーロン力により静電チャック6に吸着される。
上述のようにして下部電極である載置台2に高周波電力が印加されることにより、上部電極であるシャワーヘッド16と下部電極である載置台2との間には電界が形成される。この電界により、半導体ウエハWが存在する処理空間には放電が生じ、それによって形成された処理ガスのプラズマにより、半導体ウエハWがプラズマ処理(エッチング処理、フォトレジスト膜の改質処理等)される。
また、前述したとおり、プラズマ処理中にシャワーヘッド16に直流電圧を印加することができるので次のような効果がある。すなわち、プロセスによっては、高い電子密度でかつ低いイオンエネルギーであるプラズマが要求される場合がある。このような場合に直流電圧を用いれば、半導体ウエハWに打ち込まれるイオンエネルギーが抑えられつつプラズマの電子密度が増加されることにより、半導体ウエハWのエッチング対象となる膜のエッチングレートが上昇すると共にエッチング対象の上部に設けられたマスクとなる膜へのスパッタレートが低下して選択性が向上する。
そして、上記したプラズマ処理が終了すると、高周波電力の供給、直流電圧の供給及び処理ガスの供給が停止され、上記した手順とは逆の手順で、半導体ウエハWが処理チャンバ1内から搬出される。
次に、本実施形態に係るプラズマエッチング装置によるプラズマエッチング方法について更に詳細に説明する。図3は、本実施形態に係るプラズマエッチング装置によるプラズマエッチング方法の処理の流れの一例を示すフローチャートである。図4は、本実施形態に係るプラズマエッチング装置によるプラズマエッチング方法の処理の流れの一例を説明するための説明図である。ここでは、プラズマエッチング装置が図2に示した被処理体に含まれるSiO2膜102をプラズマエッチングする例について説明する。
図3に示すように、プラズマエッチング装置は、所定のパターンを有するSiON膜104をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜103をエッチングするアモルファスカーボン膜エッチング工程を行う(ステップS101)。具体的には、制御部60は、排気装置73の真空ポンプにより排気口71を介して処理チャンバ1内を減圧し、処理ガス供給源15から酸素含有ガスを処理チャンバ1内に供給し、酸素含有ガスのプラズマによりアモルファスカーボン膜103をエッチングする。これにより、図4の(b)に示すように、SiON膜104をマスクとしてアモルファスカーボン膜103がエッチングされ、SiO2膜102を露出させる開口部105が形成される。なお、図4の(a)は、被処理体を示し、図2の構造例と同一である。ここで、酸素含有ガスは、例えば、COS/O2ガス、CO/O2ガス及びO2ガスのうちいずれか一つのガスである。
より詳細な一例を挙げて説明する。プラズマエッチング装置は、被処理体を静電チャック6の上に載置する。その後、制御部60は、シャワーヘッド16から処理チャンバ1内に酸素含有ガスを導入し、第1の高周波電源10aから処理チャンバ1内へプラズマ生成用の高周波電力を印加して酸素含有ガスからプラズマを生成する。
続いて、プラズマエッチング装置は、残存するSiON膜104を処理ガスのプラズマにより除去しつつアモルファスカーボン膜103をマスクとして処理ガスのプラズマによりSiO2膜102をエッチングする第1のシリコン酸化膜エッチング工程を行う(ステップS102)。具体的には、制御部60は、処理ガス供給源15から処理ガスを処理チャンバ1内に供給し、処理ガスのプラズマによりSiON膜104を除去しつつSiO2膜102をエッチングする。これにより、図4の(c)に示すように、アモルファスカーボン膜103の上部に残存するとともに開口部105を塞ぐ形で残存していたSiON膜104が除去される。さらに、図4の(c)に示すように、SiO2膜102のうち開口部105に位置する部分が凹状にエッチングされる。ここで、処理ガスは、例えば、CF系ガス、又はCF系ガス/CHF系ガスである。CF系ガスは、好ましくは、C4F6/Ar/O2ガス及びC4F8/Ar/O2ガスのうちいずれか一つのガスである。CF系ガス/CHF系ガスは、好ましくは、C4F8/CHF3/Ar/O2ガス、CF4/CHF3/Ar/O2ガス、及びCF4/CH2F2/Ar/O2ガスのうちいずれか一つのガスである。
より詳細な一例を挙げて説明する。プラズマエッチング装置の制御部60は、シャワーヘッド16から処理チャンバ1内にCF系ガス又はCF系ガス/CHF系ガスを含む処理ガスを導入し、可変直流電源52からシャワーヘッド16に所定の直流電圧を印加するとともに第1の高周波電源10aから処理チャンバ1内へプラズマ生成用の高周波電力を印加して処理ガスからプラズマを生成する。また、制御部60は、第2の高周波電源10bから静電チャック6へイオン引き込み用の高周波電力を印加することで、プラズマ中のイオンを半導体ウエハWに向けて引き込む。また、制御部60は、アモルファスカーボン膜103の上部に残存するとともに開口部105を塞ぐ形で残存していたSiON膜104が除去され、かつ、SiO2膜102のうち開口部105に位置する部分が凹状にエッチングされるまで処理を継続する。
続いて、プラズマエッチング装置は、SiON膜104が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜103を改質するアモルファスカーボン膜改質工程を行う(ステップS103)。具体的には、制御部60は、処理ガス供給源15から硫黄含有ガス又は水素含有ガスを処理チャンバ1内に供給し、シリコンを含む上部電極としてのシャワーヘッド16に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜103を改質する。これにより、図4の(d)に示すように、アモルファスカーボン膜103の表面に、CS系含有物質又はSi含有物質を含む改質膜103aが成膜される。改質膜103aの成膜例については、後述する。ここで、硫黄含有ガスは、例えば、COS/CF4/Arガスであり、水素含有ガスは、H2/Arガスである。
より詳細な一例を挙げて説明する。プラズマエッチング装置の制御部60は、シャワーヘッド16から処理チャンバ1内に硫黄含有ガス又は水素含有ガスを導入し、可変直流電源52からシャワーヘッド16に負の直流電圧を印加するとともに第1の高周波電源10aから処理チャンバ1内へプラズマ生成用の高周波電力を印加して硫黄含有ガス又は水素含有ガスからプラズマを生成する。
ここで、改質膜103aの成膜例についてさらに詳細に説明する。まず、改質膜103aの成膜例1について説明する。成膜例1では、硫黄含有ガスのプラズマによりアモルファスカーボン膜103が改質されることによって改質膜103aが成膜されるものとする。シリコンを含むシャワーヘッド16に負の直流電圧が印加されると、シャワーヘッド16の表面となる上部天板16bの表面に対するイオンの衝突が加速され、シャワーヘッド16に含まれるシリコンの降下量が増加する。例えば、アルゴンイオンがシャワーヘッド16の上部天板16bの表面に衝突し、シャワーヘッド16に含まれるシリコンがアモルファスカーボン膜103に向けて降下する。アモルファスカーボン膜103に向けて降下するシリコンは、プラズマ中のフッ素と結合する。すると、プラズマ中の硫黄と炭素とが結合してCS系含有物質が得られ、アモルファスカーボン膜103の表面にCS系含有物質が改質膜103aとして成膜される。この結果、アモルファスカーボン膜103の表面のプラズマ耐性が向上されるとともに、アモルファスカーボン膜103の表面荒れが改善される。
次いで、改質膜103aの成膜例2について説明する。成膜例2では、水素含有ガスのプラズマによりアモルファスカーボン膜103が改質されることによって改質膜103aが成膜されるものとする。シリコンを含むシャワーヘッド16に負の直流電圧が印加されると、シャワーヘッド16の表面となる上部天板16bの表面に対するイオンの衝突が加速され、シャワーヘッド16に含まるシリコンの降下量が増加する。例えば、アルゴンイオンがシャワーヘッド16の上部天板16bの表面に衝突し、シャワーヘッド16に含まれるシリコンがアモルファスカーボン膜103に向けて降下する。すると、アモルファスカーボン膜103の表面にシリコン含有物質が改質膜103aとして成膜される。この結果、アモルファスカーボン膜103の表面のプラズマ耐性が向上されるとともに、アモルファスカーボン膜103の表面荒れが改善される。
図3の説明に戻る。続いて、プラズマエッチング装置は、改質されたアモルファスカーボン膜103をマスクとして処理ガスのプラズマによりSiO2膜102をエッチングする第2のシリコン酸化膜エッチング工程を行う(ステップS104)。具体的には、制御部60は、処理ガス供給源15から処理ガスを処理チャンバ1内に供給し、処理ガスのプラズマによりSiO2膜102をエッチングする。これにより、図4の(e)に示すように、SiO2膜102のうち開口部105に位置する部分が、開口部105からSi基板101が露出されるまでエッチングされる。ここで、処理ガスは、例えば、CF系ガス、又はCF系ガス/CHF系ガスである。CF系ガスは、好ましくは、C4F6/Ar/O2ガス及びC4F8/Ar/O2ガスのうちいずれか一つのガスである。CF系ガス/CHF系ガスは、好ましくは、C4F8/CHF3/Ar/O2ガス、CF4/CHF3/Ar/O2ガス、及びCF4/CH2F2/Ar/O2ガスのうちいずれか一つのガスである。
より詳細な一例を挙げて説明する。プラズマエッチング装置の制御部60は、シャワーヘッド16から処理チャンバ1内にCF系ガス又はCF系ガス/CHF系ガスを含む処理ガスを導入し、可変直流電源52からシャワーヘッド16に所定の直流電圧を印加するとともに第1の高周波電源10aから処理チャンバ1内へプラズマ生成用の高周波電力を印加して処理ガスからプラズマを生成する。また、制御部60は、第2の高周波電源10bから静電チャック6へイオン引き込み用の高周波電力を印加することで、プラズマ中のイオンを半導体ウエハWに向けて引き込む。また、制御部60は、SiO2膜102のうち開口部105に位置する部分がエッチングされて開口部105からSi基板101が露出されるまで処理を継続する。
上述したように、本実施形態によれば、被処理体上に形成された所定のパターンを有するSiON膜をマスクとして第1の硫黄ガスのプラズマによりアモルファスカーボン膜をエッチングするアモルファスカーボン膜エッチング工程を行う。そして、本実施形態によれば、エッチングされたアモルファスカーボン膜上に残存するSiON膜を処理ガスのプラズマにより除去しつつアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする第1のシリコン酸化膜エッチング工程を行う。そして、本実施形態によれば、アモルファスカーボン膜からSiON膜が除去された後に、上部電極としてのシャワーヘッド16に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマによりアモルファスカーボン膜を改質するアモルファスカーボン膜改質工程を行う。そして、本実施形態によれば、改質されたアモルファスカーボン膜をマスクとして処理ガスのプラズマによりシリコン酸化膜をエッチングする第2のシリコン酸化膜エッチング工程を行う。このため、本実施形態によれば、アモルファスカーボン膜改質工程を行わない手法と比較して、アモルファスカーボン膜103の表面のプラズマ耐性を向上するとともに、アモルファスカーボン膜103の表面荒れを改善することが可能となる。言い換えると、エッチングによるアモルファスカーボン膜103の荒れが下層のシリコン酸化膜に転写されることを抑制することが可能となる。その結果、本実施形態によれば、エッチングにより形成されるラインの荒れを減少させ、かつ、ラインの断面形状を改善することができる。
また、本実施形態によれば、下地のシリコン酸化膜をエッチングする前に、マスクとなるアモルファスカーボン膜を改質するので、改質によってマスクの硬度を向上することが可能となり、ラインのうねり(Wiggling)を抑制することが可能となる。
また、本実施形態によれば、硫黄含有ガスは、COS/CF4/Arガスであり、水素含有ガスは、H2/Arガスである。その結果、本実施形態によれば、マスクとなるアモルファスカーボン膜の表面にCS系含有物質又はシリコン含有物質を改質膜103aとして効率良く成膜することができる。
以下に、本実施形態のプラズマエッチング方法について、実施例を挙げて更に詳細に説明する。ただし、本実施形態のプラズマエッチング方法は、下記の実施例に限定されるものではない。
(比較例1)
比較例1では、被処理体に対して、アモルファスカーボン膜をエッチングするアモルファスカーボン膜エッチング工程と、シリコン酸化膜をエッチングする第1のシリコン酸化膜エッチング工程と、シリコン酸化膜をエッチングする第2のシリコン酸化膜エッチング工程とを順に行った。アモルファスカーボン膜エッチング工程と、第1のシリコン酸化膜エッチング工程と、第2のシリコン酸化膜エッチング工程とは、それぞれ以下の条件を用いて行った。
(アモルファスカーボン膜エッチング工程)
処理ガス流量比:COS/O2=15:345
圧力:1.3Pa(10mTorr)
第1の高周波電源からの高周波電力:1200W
第2の高周波電源からの高周波電力:0W
上部電極への直流電圧:0V
(第1のシリコン酸化膜エッチング工程)
処理ガス流量比:C4F8/CHF3:Ar:O2=15:30:150:16
圧力:2.6Pa(20mTorr)
第1の高周波電源からの高周波電力:900W
第2の高周波電源からの高周波電力:350W
上部電極への直流電圧:−300V
(第2のシリコン酸化膜エッチング工程)
処理ガス流量比:C4F8/CHF3/Ar/O2=15:30:150:25
圧力:2.6Pa(20mTorr)
第1の高周波電源からの高周波電力:900W
第2の高周波電源からの高周波電力:1400W
上部電極への直流電圧:−300V
(実施例1)
実施例1では、被処理体に対して、アモルファスカーボン膜エッチング工程と、第1のシリコン酸化膜エッチング工程とを順に行った後に、アモルファスカーボン膜を改質するアモルファスカーボン改質工程を行い、その後、第2のシリコン酸化膜エッチング工程を行った。アモルファスカーボン膜エッチング工程、第1のシリコン酸化膜エッチング工程及び第2のシリコン酸化膜エッチング工程は、比較例1と同一の条件で行った。アモルファスカーボン膜改質工程は、以下の条件を用いて行った。
(アモルファスカーボン膜改質工程)
処理ガス流量比:COS/CF4/Ar=20:30:800
圧力:6.7Pa(50mTorr)
第1の高周波電源からの高周波電力:300W
第2の高周波電源からの高周波電力:0W
上部電極への直流電圧:−900V
(実施例2)
アモルファスカーボン膜改質工程において、以下に示す処理ガス及び処理ガス流量比を用いた。その他の条件は実施例1と同一である。
実施例2:H2/Ar=100:800
図5及び図6は、比較例1、実施例1及び実施例2における処理結果を示す図である。図5において、「Post ME1」は、比較例1及び実施例1における第1のシリコン酸化膜エッチング工程を行った後の被処理体を示す。「COS/CF4/Ar Hardening」は、実施例1における第2のシリコン酸化膜エッチング工程を行った後の被処理体を示し、「H2/Ar Hardening」は、実施例2における第2のシリコン酸化膜エッチング工程を行った後の被処理体を示す。「w/o Hardening」は、比較例1における第2のシリコン酸化膜エッチング工程を行った後の被処理体を示す。なお、図中における「断面」と「上面」とは、それぞれ被処理体の断面と上面とを拡大して得られた写真のトレース図である。
また、図5及び図6では、LWR(Line Width Roughness)、SWR(Space Width Roughness)及びLER(Line Edge Roughness)の値を示すとともに、LWRとSWRとLERとを合計した値である「Sum」を併せて示した。なお、LWR、SWR、LER及びSumは、それぞれ、ラインの不均一性の度合いを示す。また、図5では、アモルファスカーボン膜の底部のCD(Critical Dimension)、すなわち、シリコン酸化膜の上部のCDを示す「Top CD」を併せて示した。
図5及び図6に示すように、アモルファスカーボン改質工程を行わない比較例1と比較して、アモルファスカーボン改質工程を行った実施例1及び2では、LWRとSWRとLERとSumとが小さくなった。言い換えると、実施例1及び2では、比較例1と比較して、エッチングにより形成されるラインのうねり(Wiggling)が抑制された。また、アモルファスカーボン改質工程を行った実施例1及び2では、第2のシリコン酸化膜エッチング工程を行った後に形成されるラインの断面形状が矩形状に維持された。
また、図5に示すように、アモルファスカーボン改質工程を行わない比較例1と比較して、アモルファスカーボン改質工程を行った実施例1及び2では、Top CDが小さくなった。言い換えると、実施例1及び2では、比較例1と比較して、Top CDの広がりを抑制する効果(CD Shrink効果)が大きくなった。
このように、アモルファスカーボン膜改質工程の後にエッチング工程を実行することで、マスクとなるアモルファスカーボン膜の荒れを減少させ、かつ、断面形状を改善することが可能となる。言い換えると、マスクとなるアモルファスカーボン膜を適切な状態に維持しながらシリコン酸化膜をエッチングすることが可能となり、LWRなどを改善しつつWigglingを抑制することが可能となる。この結果、エッチング工程を継続したとしても、アモルファスカーボン膜改質工程の後にエッチング工程を実行する場合には、アモルファスカーボン膜改質工程を行わない場合と比較して、エッチング形状の荒れが抑制され綺麗にエッチングすることが可能となる。
1 処理チャンバ
10a 第1の高周波電源
10b 第2の高周波電源
15 処理ガス供給源(ガス供給部)
16 シャワーヘッド(上部電極)
16a 本体部
16b 上部天板
52 可変直流電源
60 制御部
61 プロセスコントローラ
62 ユーザインターフェース
63 記憶部
71 排気口
72 排気管
73 排気装置(減圧部)
101 Si基板
102 SiO2膜
103 アモルファスカーボン膜
103a 改質膜
104 SiON膜
105 開口部

Claims (7)

  1. 被処理体上に形成された所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングするアモルファスカーボン膜エッチング工程と、
    エッチングされた前記アモルファスカーボン膜上に残存する前記SiON膜を処理ガスのプラズマにより除去しつつ前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマによりシリコン酸化膜をエッチングする第1のシリコン酸化膜エッチング工程と、
    前記アモルファスカーボン膜から前記SiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマにより前記アモルファスカーボン膜を改質するアモルファスカーボン膜改質工程と、
    改質された前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマにより前記シリコン酸化膜をエッチングする第2のシリコン酸化膜エッチング工程と
    を含むことを特徴とするプラズマエッチング方法。
  2. 前記処理ガスは、CF系ガス、又はCF系ガス/CHF系ガスであることを特徴とする請求項1に記載のプラズマエッチング方法。
  3. 前記酸素含有ガスは、COS/O2ガス、CO/O2ガス及びO2ガスのうちいずれか一つのガスであることを特徴とする請求項1又は2に記載のプラズマエッチング方法。
  4. 前記CF系ガスは、C4F6/Ar/O2ガス及びC4F8/Ar/O2ガスのうちいずれか一つのガスであることを特徴とする請求項2に記載のプラズマエッチング方法。
  5. 前記CF系ガス/CHF系ガスは、C4F8/CHF3/Ar/O2ガス、CF4/CHF3/Ar/O2ガス、及びCF4/CH2F2/Ar/O2ガスのうちいずれか一つのガスであることを特徴とする請求項2に記載のプラズマエッチング方法。
  6. 前記硫黄含有ガスは、COS/CF4/Arガスであり、前記水素含有ガスは、H2/Arガスであることを特徴とする請求項1〜5のいずれか一つに記載のプラズマエッチング方法。
  7. 被処理体に対してプラズマエッチング処理を行うための処理チャンバと、
    前記処理チャンバ内を減圧する減圧部と、
    前記処理チャンバ内に処理ガスを供給するガス供給部と、
    前記被処理体上に形成された所定のパターンを有するSiON膜をマスクとして酸素含有ガスのプラズマによりアモルファスカーボン膜をエッチングし、エッチングされた前記アモルファスカーボン膜上に残存する前記SiON膜を処理ガスのプラズマにより除去しつつ前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマによりシリコン酸化膜をエッチングし、前記アモルファスカーボン膜から前記SiON膜が除去された後に、シリコンを含む上部電極に負の直流電圧を印加しながら硫黄含有ガス又は水素含有ガスのプラズマにより前記アモルファスカーボン膜を改質し、改質された前記アモルファスカーボン膜をマスクとして前記処理ガスのプラズマにより前記シリコン酸化膜をエッチングする各工程を実行する制御部と
    を備えたことを特徴とするプラズマエッチング装置。
JP2012247781A 2012-11-09 2012-11-09 プラズマエッチング方法及びプラズマエッチング装置 Active JP6035117B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2012247781A JP6035117B2 (ja) 2012-11-09 2012-11-09 プラズマエッチング方法及びプラズマエッチング装置
US14/073,996 US9177823B2 (en) 2012-11-09 2013-11-07 Plasma etching method and plasma etching apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012247781A JP6035117B2 (ja) 2012-11-09 2012-11-09 プラズマエッチング方法及びプラズマエッチング装置

Publications (2)

Publication Number Publication Date
JP2014096499A true JP2014096499A (ja) 2014-05-22
JP6035117B2 JP6035117B2 (ja) 2016-11-30

Family

ID=50682126

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012247781A Active JP6035117B2 (ja) 2012-11-09 2012-11-09 プラズマエッチング方法及びプラズマエッチング装置

Country Status (2)

Country Link
US (1) US9177823B2 (ja)
JP (1) JP6035117B2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101835683B1 (ko) * 2014-08-08 2018-03-07 도쿄엘렉트론가부시키가이샤 다층막을 에칭하는 방법
KR101847866B1 (ko) 2014-08-08 2018-04-11 도쿄엘렉트론가부시키가이샤 다층막을 에칭하는 방법
KR20180114501A (ko) * 2017-04-10 2018-10-18 램 리써치 코포레이션 패턴 붕괴를 방지하기 위한 에칭 후 처리
WO2020262039A1 (ja) * 2019-06-26 2020-12-30 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US11081351B2 (en) 2019-08-21 2021-08-03 Tokyo Electron Limited Method of processing substrate, device manufacturing method, and plasma processing apparatus
WO2024019124A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150214066A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
JP6408903B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
KR102657787B1 (ko) * 2016-10-12 2024-04-16 삼성전자주식회사 실리콘 막 형성 방법, 패턴 형성 방법 및 반도체 장치의 제조 방법
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2011192718A (ja) * 2010-03-12 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070026682A1 (en) * 2005-02-10 2007-02-01 Hochberg Michael J Method for advanced time-multiplexed etching
JP4827081B2 (ja) * 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
JP5571996B2 (ja) 2010-03-31 2014-08-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008028022A (ja) * 2006-07-19 2008-02-07 Tokyo Electron Ltd プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2011192718A (ja) * 2010-03-12 2011-09-29 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP2012204668A (ja) * 2011-03-25 2012-10-22 Tokyo Electron Ltd プラズマエッチング方法および記憶媒体

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101835683B1 (ko) * 2014-08-08 2018-03-07 도쿄엘렉트론가부시키가이샤 다층막을 에칭하는 방법
KR101847866B1 (ko) 2014-08-08 2018-04-11 도쿄엘렉트론가부시키가이샤 다층막을 에칭하는 방법
KR20180114501A (ko) * 2017-04-10 2018-10-18 램 리써치 코포레이션 패턴 붕괴를 방지하기 위한 에칭 후 처리
KR102595435B1 (ko) 2017-04-10 2023-10-27 램 리써치 코포레이션 패턴 붕괴를 방지하기 위한 에칭 후 처리
WO2020262039A1 (ja) * 2019-06-26 2020-12-30 東京エレクトロン株式会社 基板処理方法及び基板処理システム
US11081351B2 (en) 2019-08-21 2021-08-03 Tokyo Electron Limited Method of processing substrate, device manufacturing method, and plasma processing apparatus
WO2024019124A1 (ja) * 2022-07-22 2024-01-25 住友精化株式会社 炭素原子含有膜のドライエッチング方法

Also Published As

Publication number Publication date
US20140134847A1 (en) 2014-05-15
US9177823B2 (en) 2015-11-03
JP6035117B2 (ja) 2016-11-30

Similar Documents

Publication Publication Date Title
JP6035117B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP5102653B2 (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP4912907B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP5373669B2 (ja) 半導体装置の製造方法
JP4652140B2 (ja) プラズマエッチング方法、制御プログラム、コンピュータ記憶媒体
JP6141855B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP5568340B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP4663368B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
JP6298391B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2009193988A (ja) プラズマエッチング方法及びコンピュータ記憶媒体
JP6151215B2 (ja) プラズマエッチング方法
JP5226296B2 (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
JP2009193989A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
JP6096438B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP2019012732A (ja) プラズマエッチング方法及びプラズマエッチング装置
JP6030886B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TW201840893A (zh) 電漿處理方法及電漿處理裝置
JP2007116031A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2016167509A (ja) プラズマエッチング方法およびプラズマエッチング装置
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP4772456B2 (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2008187112A (ja) プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150714

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160506

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161031

R150 Certificate of patent or registration of utility model

Ref document number: 6035117

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250