TWI456656B - 介電蝕刻中的輪廓控制 - Google Patents

介電蝕刻中的輪廓控制 Download PDF

Info

Publication number
TWI456656B
TWI456656B TW097136482A TW97136482A TWI456656B TW I456656 B TWI456656 B TW I456656B TW 097136482 A TW097136482 A TW 097136482A TW 97136482 A TW97136482 A TW 97136482A TW I456656 B TWI456656 B TW I456656B
Authority
TW
Taiwan
Prior art keywords
gas
cos
etchant
computer readable
etchant gas
Prior art date
Application number
TW097136482A
Other languages
English (en)
Other versions
TW200933734A (en
Inventor
Kyeong-Koo Chi
Jonathan Kim
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200933734A publication Critical patent/TW200933734A/zh
Application granted granted Critical
Publication of TWI456656B publication Critical patent/TWI456656B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Claims (16)

  1. 一種用於蝕刻一介電層之方法,該介電層設置在一基材的上方並在具有一列間距圖案之一已圖案化遮罩的下方,該方法包含:提供包含CF4 、COS、及一含氧氣體之一蝕刻劑氣體;從該蝕刻劑氣體形成一電漿;以及藉由該遮罩及來自該蝕刻劑氣體之該電漿,將該介電層蝕刻為該列間距圖案,其中CF4 之一氣流率具有大於所有反應氣體成分的總氣流率之50%的比率,以及其中COS的一氣流率在CF4 之該氣流率的1%及50%之間。
  2. 如申請專利範圍第1項之方法,其中COS的該氣流率在CF4 之該氣流率的2%及20%之間。
  3. 如申請專利範圍第2項之方法,其中COS的該氣流率在CF4 之該氣流率的3%及15%之間。
  4. 如申請專利範圍第1項之方法,其中該蝕刻劑氣體另外包含Ar、He、或Xe之一者,或彼等之混合物,以作為一載體氣體。
  5. 如申請專利範圍第1項之方法,其中該蝕刻劑氣體另外包含一氫氟碳化合物氣體。
  6. 如申請專利範圍第1項之方法,其中該介電層係SiN、SiO2 、或正矽酸四乙酯(TEOS)之其中一者。
  7. 一種用於在蝕刻一介電層時減少弓形之方法,該介電層設置在一基材的上方並在具有一列間距圖案之一已圖 案化遮罩的下方,該方法包含:提供包含CF4 、COS、及一含氧氣體之一蝕刻劑氣體,CF4 之一氣流率具有大於所有反應氣體成分的總氣流率之50%的比率,且COS的一氣流率具有在CF4 之該氣流率的3%及15%之間的比率;從該蝕刻劑氣體形成一電漿;以及藉由該遮罩及來自該蝕刻劑氣體之該電漿,將該介電層蝕刻為該列間距圖案。
  8. 如申請專利範圍第7項之方法,其中該蝕刻劑氣體另外包含Ar、He、或Xe之一者,或彼等之混合物,以作為一載體氣體。
  9. 如申請專利範圍第7項之方法,其中該蝕刻劑氣體另外包含一氫氟碳化合物氣體。
  10. 如申請專利範圍第7項之方法,其中該介電層係SiN、SiO2 、或正矽酸四乙酯(TEOS)之其中一者。
  11. 一種用於蝕刻一介電層之設備,該介電層設置在一基材的上方並在具有一列間距之一已圖案化遮罩的下方,該設備包含:一電漿處理室,包含:一室壁,其形成一電漿處理室封閉體;一基材支撐,其用於在該電漿處理室封閉體內支撐一基材;一壓力調整器,其用於調整該電漿處理室封閉體內的壓力; 至少一電極,其用於提供電力至該電漿處理室封閉體以保持電漿;一氣體進氣口,其用於提供氣體進入該電漿處理室封閉體;以及一氣體出氣口,其用於從該電漿處理室封閉體排出氣體;一蝕刻劑氣體源,其與該氣體進氣口流體連接,包含:一CF4 源;一COS源;以及一含氧氣體源;一控制器,其可控制地連接至該氣體源及該至少一個電極,包含:至少一個處理器;以及電腦可讀媒體,其包含:用於藉由該遮罩將該介電層蝕刻為該列間距圖案的電腦可讀編碼,其中電腦可讀編碼包含:用於從該蝕刻劑氣體源提供包含CF4 、COS、及一含氧氣體之一蝕刻劑氣體的電腦可讀編碼,包括用於控制CF4 之一氣流率,以具有大於所有反應氣體成分的總氣流率之50%的比率的電腦可讀編碼,以及用於控制COS的一氣流率在CF4 之該氣流率的1%及50%之間的電腦可讀編碼;用於從該蝕刻劑氣體產生一蝕刻電漿的電腦可讀編碼;以及用於從該蝕刻劑氣體源停止該蝕刻劑氣體的電腦 可讀編碼;以及用於移除該遮罩的電腦可讀編碼。
  12. 如申請專利範圍第11項之設備,其中用於提供該蝕刻劑氣體之該電腦可讀編碼包含:用於控制COS的該氣流率在CF4 之該氣流率的2%及20%之間的電腦可讀編碼。
  13. 如申請專利範圍第12項之設備,其中用於提供該蝕刻劑氣體之該電腦可讀編碼包含:用於控制COS的該氣流率在CF4 之該氣流率的3%及15%之間的電腦可讀編碼。
  14. 一種用於蝕刻一介電層之設備,該介電層設置在一基材的上方並在具有一列間距圖案之一已圖案化遮罩的下方,該設備包含:用於提供包含CF4 、COS、及一含氧氣體之一蝕刻劑氣體的機構,包括;用於控制CF4 之一氣流率,以具有大於所有反應氣體成分的總氣流率之50%的比率的機構;以及用於控制COS的一氣流率以在CF4 之該氣流率的1%及50%之間的機構;用於從該蝕刻劑氣體形成一電漿的機構;以及用於藉由該遮罩及來自該蝕刻劑氣體之該電漿,將該介電層蝕刻為該列間距圖案的機構。
  15. 如申請專利範圍第14項之設備,其中用於提供蝕刻劑氣體之該機構另外包含: 用於控制COS的該氣流率以在CF4 之該氣流率的2%及20%之間的機構。
  16. 如申請專利範圍第15項之設備,其中用於提供蝕刻劑氣體之該機構另外包含:用於控制COS的該氣流率以在CF4 之該氣流率的3%及15%之間的機構。
TW097136482A 2007-09-27 2008-09-23 介電蝕刻中的輪廓控制 TWI456656B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US97575207P 2007-09-27 2007-09-27

Publications (2)

Publication Number Publication Date
TW200933734A TW200933734A (en) 2009-08-01
TWI456656B true TWI456656B (zh) 2014-10-11

Family

ID=40512082

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097136482A TWI456656B (zh) 2007-09-27 2008-09-23 介電蝕刻中的輪廓控制

Country Status (5)

Country Link
US (1) US8501627B2 (zh)
KR (1) KR101528947B1 (zh)
CN (1) CN101809721B (zh)
TW (1) TWI456656B (zh)
WO (1) WO2009042453A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009200080A (ja) * 2008-02-19 2009-09-03 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
KR102333443B1 (ko) 2014-10-24 2021-12-02 삼성전자주식회사 반도체 소자의 제조 방법
KR20160119329A (ko) 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
US9673058B1 (en) * 2016-03-14 2017-06-06 Lam Research Corporation Method for etching features in dielectric layers
CN109997212B (zh) 2016-11-29 2023-06-13 朗姆研究公司 在有机层蚀刻中生成竖直轮廓的方法
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
US10600648B2 (en) * 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
CN112368805A (zh) 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
TW200614369A (en) * 2004-06-30 2006-05-01 Lam Res Corp Methods and apparatus for the optimization of etch resistance in a plasma processing system
US20060226120A1 (en) * 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208241A (en) * 1978-07-31 1980-06-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
JP3220992B2 (ja) 1991-01-22 2001-10-22 ソニー株式会社 ドライエッチング方法
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
JPH04354331A (ja) 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
US5804088A (en) * 1996-07-12 1998-09-08 Texas Instruments Incorporated Intermediate layer lithography
WO1998032162A1 (fr) 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000277494A (ja) 1999-03-26 2000-10-06 Sony Corp 有機系反射防止膜のエッチング方法および半導体装置の製造方法
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
KR20020075005A (ko) * 2001-03-23 2002-10-04 삼성전자 주식회사 반도체 장치의 콘택 홀 형성 방법
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3883470B2 (ja) * 2002-05-14 2007-02-21 沖電気工業株式会社 半導体装置の製造方法
JP3913145B2 (ja) 2002-08-28 2007-05-09 松下電器産業株式会社 パターン形成方法
JP4034164B2 (ja) * 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
JP2004363150A (ja) 2003-06-02 2004-12-24 Matsushita Electric Ind Co Ltd パターン形成方法
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7566664B2 (en) * 2006-08-02 2009-07-28 Qualcomm Mems Technologies, Inc. Selective etching of MEMS using gaseous halides and reactive co-etchants
CN101809723B (zh) * 2007-09-27 2012-04-04 朗姆研究公司 蚀刻蚀刻层的方法和装置
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376228A (en) * 1992-06-29 1994-12-27 Sony Corporation Dry etching method
TW200614369A (en) * 2004-06-30 2006-05-01 Lam Res Corp Methods and apparatus for the optimization of etch resistance in a plasma processing system
US20060226120A1 (en) * 2005-03-30 2006-10-12 Lam Research Corporation Etch profile control

Also Published As

Publication number Publication date
WO2009042453A3 (en) 2009-06-11
WO2009042453A2 (en) 2009-04-02
TW200933734A (en) 2009-08-01
US8501627B2 (en) 2013-08-06
CN101809721A (zh) 2010-08-18
KR20100088128A (ko) 2010-08-06
US20110053379A1 (en) 2011-03-03
KR101528947B1 (ko) 2015-06-15
CN101809721B (zh) 2013-03-06

Similar Documents

Publication Publication Date Title
TWI456656B (zh) 介電蝕刻中的輪廓控制
TWI780145B (zh) 用於圖案化應用之原子層蝕刻、反應性前驅物及高能來源
JP2008524851A5 (zh)
JP2011504295A5 (zh)
JP2010518605A5 (zh)
WO2007030522B1 (en) Selectivity etch of films with high dielectric constant with h2 addition
TW201642339A (zh) 蝕刻氮化矽時達成超高選擇性之方法
JP2008060566A5 (zh)
JP2007258426A5 (zh)
JP2007511096A5 (zh)
TWI446436B (zh) Plasma etching method, plasma etching device, control program and computer memory media
JP2020527856A (ja) フォトレジストパターニングスカムの除去のための原子層洗浄
WO2009085564A4 (en) Etch with high etch rate resist mask
JP2007256950A (ja) プラズマcvdを使ったカーボンポリマー膜を形成する方法
KR20110091462A (ko) 반도체 장치의 제조 방법 및 플라즈마 에칭 장치
WO2009073361A4 (en) Pulsed bias plasma process to control microloading
JP2006049798A5 (zh)
JP2008060565A5 (zh)
WO2009114244A4 (en) Line width roughness improvement with noble gas plasma
US20150140828A1 (en) Etching method and plasma processing apparatus
JP4905253B2 (ja) レジスト除去方法及びその装置
JP2007528610A5 (zh)
CN102129983B (zh) 蚀刻方法及蚀刻处理装置
JP2011134896A5 (zh)
CN108172493A (zh) 等离子体处理装置和等离子体处理方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees