TW201642339A - 蝕刻氮化矽時達成超高選擇性之方法 - Google Patents

蝕刻氮化矽時達成超高選擇性之方法 Download PDF

Info

Publication number
TW201642339A
TW201642339A TW105105057A TW105105057A TW201642339A TW 201642339 A TW201642339 A TW 201642339A TW 105105057 A TW105105057 A TW 105105057A TW 105105057 A TW105105057 A TW 105105057A TW 201642339 A TW201642339 A TW 201642339A
Authority
TW
Taiwan
Prior art keywords
source
germanium
plasma
tantalum nitride
substrate
Prior art date
Application number
TW105105057A
Other languages
English (en)
Inventor
海倫 H 朱
琳達 馬克斯
費薩爾 雅各布
朴弼延
伊凡 L 貝里三世
伊弗霖 A 安格洛夫
朴准弘
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201642339A publication Critical patent/TW201642339A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本說明書提供藉由將矽提供至電漿以達到氮化矽相對於含矽材料之高選擇性而在半導體基板上選擇性蝕刻氮化矽的方法。該等方法涉及自固體或流體的矽源或兩者提供矽。固體矽源可位於基板上游,例如位於或靠近製程腔室之噴淋頭、或位於遠端電漿產生器中。矽氣體源可在蝕刻期間流至電漿。

Description

蝕刻氮化矽時達成超高選擇性之方法
本揭露內容係關於基板處理方法,而更具體而言係關於蝕刻氮化矽時達成超高選擇性之方法。
半導體製造往往涉及圖案化方案及其他製程,藉此選擇性地蝕刻氮化矽,以避免蝕刻基板的其他暴露表面。隨著裝置的幾何結構變得越來越小,需要高度蝕刻選擇性的製程以達到介電層(例如氮化矽)中開口的有效電漿蝕刻。
本說明書中所提供的係用於蝕刻膜的方法及設備。一態樣涉及蝕刻基板上之氮化矽的方法,該方法包含下列步驟:(a)將氟化氣體導入電漿產生器,並引燃電漿,以形成含氟之蝕刻物種;(b)自矽源將矽提供至該電漿;及(c)使該氮化矽暴露於該蝕刻物種,以相對於該基板上其他含矽材料而選擇性地蝕刻該氮化矽。
可於該基板之上游將該矽源提供至該電漿。在若干實施例中,於遠端電漿產生器中將該矽源提供至該電漿。替代地或額外地,可在該基板與容置該基板的腔室之噴淋頭間將該矽源提供至該電漿。可在容置該基板的腔室之噴淋頭處或其附近將該矽源提供至該電漿。
該矽源可包含兩或更多矽源。在各種實施例中,該矽源為固體。矽源之範例包括含矽之化合物,例如石英、矽、矽鍺、矽碳化物、及矽氧化物。在若干實施例中,該矽源為含矽之接合環。在若干實施例中,該矽源為含矽之氣體擴散器。
該基板可容置於包含噴淋頭的腔室中,且其中該噴淋頭包含矽。在若干實施例中,該矽源矽附接至該電漿產生器之壁體。
在各種實施例中,該矽源為流體的,且可為含矽之化合物。例如,流入容置該基板之腔室的總氣流之中至少約0.5%(以體積計)可為該矽源。流體矽源之範例包含甲矽烷、乙矽烷、四氟化矽、四氯矽烷、四乙氧基矽烷、及四甲基矽烷。
在若干實施例中,該氟化氣體包含非聚合之含氟化合物。範例氟化氣體包含F2 、SiF6 、CF4 、CHF3 、CH2 F2 、NF3 、及其組合。
該矽源可清除該蝕刻物種中所產生之原子態氟。在各種實施例中,該其他含矽材料可為矽氧化物、多晶矽、或矽。
在各種實施例中,該方法亦包含在步驟(b)期間導入一或更多氧化劑氣體。範例氧化物氣體包含氧、一氧化二氮、一氧化氮、二氧化氮、氮、及其組合。
另一態樣涉及處理基板之方法,該方法包含下列步驟:藉由自矽源將矽提供至電漿,以相對於該基板上其他含矽材料而選擇性地蝕刻氮化矽,其中該電漿形成蝕刻物種,其用以選擇性地蝕刻該氮化矽。
另一態樣涉及用於處理半導體基板的設備,該設備包含:(a)一或更多站,各站包含噴淋頭及基座,該基座係用於固持包含氮化矽之基板;(b)至少一出口,其用於連接至真空裝置;(c)一或更多製程氣體入口,其用於連接至氣體源;(d)矽源;(e)電漿產生器;及(f)控制器,其用於控制該設備中之操作,且包含用於下列操作的機器可讀取指令:(i)將包含氟化氣體的氣體導入該電漿產生器,並引燃電漿,以形成蝕刻物種;及(ii)將該蝕刻物種導入該一或更多站,其中在操作(i)及操作(ii)期間,將該矽源提供至該電漿。
該矽源可包含兩或更多矽源,其中各者為固體或者氣體。在若干實施例中,該矽源位於該基板之上游。該矽源可位於或靠近該一或更多站的該噴淋頭。
在各種實施例中,該矽源為固體。例如,該矽源可為氣體擴散器。在若干實施例中,該矽源為石英。範例矽源包括含矽之化合物,例如矽、矽鍺、矽碳化物、及矽氧化物。
在各種實施例中,該矽源為流體的。例如,該矽源可為含矽之化合物,例如甲矽烷、乙矽烷、四氟化矽、四氯矽烷、四乙氧基矽烷、及四甲基矽烷。在若干實施例中,操作(i)中所使用的氣體成分至少有約9%包含該矽源。
範例氟化氣體包含F2 、SiF6 、CF4 、CH2 F2 、NF3 、及其組合。在若干實施例中,該電漿產生器包含多區線圈,且該矽源包含該電漿產生器中的一或更多接合環,其位於或靠近該多區線圈的一或更多區。
在各種實施例中,操作(i)中的該氣體包含氧化劑。範例氧化劑包含氧、氮、一氧化二氮、二氧化氮、及一氧化氮。此等或其他態樣
以下參考圖來進一步說明此等與其他態樣。
在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之若干或全部的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。
半導體製造過程往往涉及各種材料的圖案化及蝕刻,例如氮化矽。舉例而言,於半導體裝置結構或接點的製造期間,在圖案化製程中使用氮化矽作為蝕刻停止層或遮罩之後,可利用氮化矽相對於下伏層及/或上覆層的蝕刻選擇性來蝕刻氮化矽。然而,許多習知氮化矽蝕刻製程(例如使用熱正磷酸的濕式蝕刻方法、離子轟擊、或濺擊)可能引起對基板之暴露介電元件(例如矽氧化物)的損害。若干習知乾式蝕刻製程涉及在蝕刻期間引入高度聚合的碳類氣體以在暴露之矽氧化物或矽層表面上形成薄保護層,但此類製程可能會引起缺陷且增加圖案之上至下的比例而增加圖案負載。缺陷可能會導致圖案消失至可能使裝置變得無效的程度。因此,習知的技術往往不適用於選擇性地蝕刻氮化矽。
本說明書中所提供的係藉由在蝕刻製程期間將矽提供至電漿以選擇性地蝕刻氮化矽的方法。本說明書中所提供的方法亦可減少聚合作用之使用,以達到高蝕刻選擇性。矽係自矽源而提供至電漿,矽源可為固體源、流體源、或兩者之組合。所揭露之實施例針對各種蝕刻化學物且在各種壓力、溫度、及電漿功率下,改善氮化矽相對於含矽材料(例如矽氧化物及矽(包含多晶矽、非晶矽、及結晶矽))之蝕刻選擇性。例如,在若干實施例中,氮化矽相對於矽氧化物或多晶矽的蝕刻選擇性可大於100:1、1000:1、或5000:1。在最相關的應用中,可達到1000:1或更高的選擇性。
所揭露之實施例在生產包含各種介電質、半導體、或金屬層的多層結構方面係有用的。範例介電材料包含矽氮化物、矽氮氧化物、二氧化矽、摻雜的矽氧化物(例如氟化的矽氧化物(FSG, fluorinated silicon oxides))、矽酸鹽玻璃(例如硼磷矽酸鹽玻璃(BPSG, boron phosphate silicate glass)及磷矽酸鹽玻璃(PSG, phosphate silicate glass))、原子層沉積法(ALD, atomic layer deposition)所沉積的矽氧化物、ALD所沉積的矽氮化物之層、有機矽氧烷聚合物、碳摻雜的矽酸鹽玻璃、氟化的碳摻雜矽酸鹽玻璃、倍半矽氧烷玻璃、類鑽非晶碳、及碳摻雜的矽玻璃。其他合適的介電材料可在該多層結構中形成一或更多層。半導體層的範例包含矽、矽鍺、及鍺。此類多層結構可覆在中間層上,該中間層例如阻障層及導電層或半導電層,例如:多晶矽、金屬(例如鋁、銅、鈦、鎢、鉬、或其合金)、氮化物(例如鈦氮化物)、及金屬矽化物(例如鈦矽化物、鈷矽化物、鎢矽化物、及鉬矽化物)。
圖1依據所揭露之實施例,係為描繪方法之操作的製程流程圖。在各種實施例中,在圖1之操作期間,可選擇性地流動惰性氣體。範例惰性氣體包含氬、氦、氖、氪、氮、及氙。可在例如可容置基板之製程腔室的設備中執行操作。製程腔室可包含噴淋頭(可使蝕刻劑透過該噴淋頭而導入腔室或導入腔室之處理區域)及用以支撐基板的基座。製程腔室可包含電漿產生區域或可連接至遠端電漿產生器。範例製程腔室係描繪於圖3、圖4、及圖5中,以下將更詳細地敘述範例製程腔室。
基板可為矽晶圓,例如200-mm 晶圓、300-mm晶圓、450-mm晶圓,且包含具有一或更多材料(例如介電材料、導電材料、或半導體的材料)層沉積在其上的晶圓。例如,基板可為具有至少一氮化矽層及至少一矽氧化物層沉積在其上的矽晶圓。基板亦可包含各種表面構形的特徵部。此類特徵部的特徵在於一或更多狹窄的及/或內凹角的開口、該特徵部內之縮窄,以及高縱橫比。在若干實施例中,特徵部可具有至少約2:1、至少約10:1、至少約20:1、至少約50:1或更高的縱橫比。
在圖1之操作102中,將來自矽源的矽提供至電漿,該電漿一般含有一或更多蝕刻劑物種或其前驅物。電漿中可存在許多物種,例如離子、電子、自由基、中性物種、亞穩態的物種、及其他物種。可將矽源提供至電漿區域,其被界定為電漿產生及/或流動的區域或者電漿在該區域附近產生及/或流動。在若干實施例中,電漿區域位於基板上游,且可位於製程腔室內或位於製程腔室外。例如,位於基板上游且在製程腔室內的電漿區域可為噴淋頭與基板間的區域、或者可為位於或靠近噴淋頭的區域。替代地,位於基板上游且在製程腔室外的電漿區域,舉例而言,可位於遠端電漿產生器中。在若干實施例中,電漿區域可位於遠端電漿產生器的下游,如此一來,矽被導入該遠端電漿產生器之下游的電漿。以下提供另外的範例。
在若干實施例中,矽係提供自多個來源,例如,來自固體矽源及流體矽源兩者。流體矽源包含氣態及液態的矽源。液態矽源可被挾帶在蒸汽中而輸送至腔室。在若干實施例中,藉由使用多於一個的矽源,氮化矽相對於矽氧化物及矽的蝕刻選擇性會增加。
固體矽源可為任何固體含矽化合物。範例固體矽源包含矽、石英、矽氧化物、矽鍺、矽碳化物、及其組合。(在替代的實施例中,可使用其他類型的固體來替代含矽來源,例如鋁或鈦,但可能要謹慎使用此類固體源以避免基板上的污染。)在各種實施例中,固體矽源可位於電漿區域或靠近電漿區域。在若干實施例中,可選擇固體矽源在硬體中的位置以改善選擇性。可配置固體矽源之位置的範例包含製程腔室中基板的上方、基板與電極間、基板與噴淋頭間、位於或靠近噴淋頭、噴淋頭之上游、製程腔室之電漿產生區域中、及遠端電漿產生器中。在若干實施例中,固體矽源係設置於製程腔室中的一或更多位置。
在若干實施例中,固體矽源可為製程腔室的元件。由固體矽源組成或包括固體矽源的製程腔室元件之範例包含氣體擴散環、接合環、及噴淋頭。矽源亦可為另外的非功能性元件,其附接於製程腔室壁或以其他方式配置於製程腔室中、電漿產生器、或其他合適的電漿區域中。舉例而言,可將矽棒配置在腔室中。在若干實施例中,固體矽源係為區域改裝套件(field retrofit kit)的元件、或為附加元件,該附加元件可固緊至事先安裝之蝕刻工具中的製程腔室。在若干實施例中,固體矽源可為蝕刻設備硬體之功能性或非功能性的犧牲性元件,如此一來,其在蝕刻製程期間完全地或部分地消耗。
在操作102中,可以流體矽源取代固體矽源或除了固體矽源以外亦自流體矽源提供矽。範例流體矽源包含矽烷,例如甲矽烷(SiH4 )、乙矽烷(Si2 H6 )、四氟矽烷或四氟化矽(SiF4 );及四氯矽烷(SiCl4 );四乙氧基矽烷(TEOS);及四甲基矽烷((CH3 )4 Si)。如上所述,可以氣體或液體來提供流體矽源。在若干實施例中,將液體矽源以汽相提供至電漿。例如,在將如TEOS的液體矽源以汽相提供至電漿之前,可在起泡器中使其汽化。
流體矽源的流率可取決於蝕刻期間與電漿一起使用的製程氣體之類型及流率、製程腔室容積、及基板上欲蝕刻之氮化矽的量。若蝕刻製程涉及較高的製程氣體流率,則可使用較高的流體矽源流率。在若干實施例中,高度稀釋的流體矽源(例如具有低於約1%矽的氣體)可能不足以改善選擇性。在若干實施例中,流至電漿的氣體成分(包含矽源、氟化氣體、惰性氣體、及氧化劑)至少有約9%為流體矽源。在若干實施例中,製程腔室中含矽氣體的流率係小於約10%或約5%之流入蝕刻設備之電漿區域的氣體之總流率。在若干實施例中,流入容置基板之腔室的總氣流至少約有0.5%(以體積計)為矽源。在若干實施例中,可使其他類型之氣體(例如一氧化碳)結合矽源一起流動,可謹慎地流動此類氣體以避免基板上的污染。
在操作104中,使基板暴露於含氟蝕刻劑物種。當使用氟化氣體以產生蝕刻物種時,所揭露之實施例適用於改善蝕刻選擇性。氟化氣體可為任何合適的含氟蝕刻劑,例如氟(F2 )、四氟化碳(CF4 ) 、六氟乙烷(C2 F6 ) 、六氟丙烯(C3 F6 )、八氟丙烷(C3 F8 )、1,3‑六氟丁二烯(C4 F6 )、八氟環丁烷(C4 F )、全氟環戊烯(C5 F8 )、六氟化矽(SiF6 )、及三氟化氮(NF3 )。在各種實施例中,氟化氣體為非含烴的氟化氣體。當引燃電漿時,電漿產生器中的氟化氣體及(在若干情況下)其他氣體會形成蝕刻物種。蝕刻物種可包含離子、電子、自由基、中性物種、亞穩態物種、其他物種、及其組合。在各種實施例中,主要的蝕刻物種包含離子及自由基。
在若干實施例中,可在操作104中使用具有化學式CFx 或CHx Fy 的含烴氟化氣體,其中x及y為整數。範例包含CH2 F2 、CH3 F、及CHF3 。在若干此類實施例中,除了非含烴的氟化氣體以外,亦額外使用含烴的氟化氣體。例如,在若干實施例中,氟化氣體包含CF4 及CH2 F2 的組合。在若干實施例中,氟化氣體包含CF4 ,其作為唯一的含氟化合物。被導入電漿產生器的氣體混合物中可能存在或不存在惰性氣體。例如,可將CF4 、CH2 F2 、CH3 F、CHF3 、及氬的組合導入電漿產生器中。
儘管先前的選擇性蝕刻技術有賴於聚合物之形成以保護某些表面免於蝕刻,但所述方法的各種實施例可避免使用聚合氣體。例如,若有使用,氣體混合物中含烴的氟化氣體或含碳的氟化氣體之百分比可小於約5%,同時仍維持相對於氧化物及矽的高蝕刻選擇性。
在若干實施例中,本說明書中所述之方法並不會在基板上形成聚合層或保護層,該聚合層或保護層可促進更有效率的蝕刻製程。然而,在若干實施例中,可使用所揭露之實施例俾以亦涉及聚合作用之方法來改善氮化矽的蝕刻選擇性。例如,在使用CH3 F以在基板表面上進行聚合作用以保護暴露之矽氧化物或多晶矽層的製程中,自矽源將矽提供至電漿可改善氮化矽的蝕刻選擇性。
亦可使基板暴露於額外的製程氣體以促進蝕刻製程,例如一或更多氧化劑及/或載氣。在操作104期間,亦可將用以促進氮化矽之選擇性蝕刻的額外製程氣體導入腔室。在各種實施例中,一或更多氧化劑係與氟化氣體一起流動或在流動氟化氣體前流動。若干氧化劑可具有化學式NOx 。氧化劑之範例包含O2 、N2 、N2 O、NO、NO2 、及其組合。在各種實施例中,在操作104中,可流動氧化劑與氟化氣體之組合,其中氧化劑係例如O2 及N2 O,而氟化氣體係例如CFx ,其中x為整數(如CF4 );CHx Fy ,其中x及y為整數(如CH2 F2 ­) ;CH3 F;NF3 ;或其組合。在若干實施例中,可將NO直接加入腔室,或可藉由使用兩或更多反應物之反應來形成NO。使用NO且利用高選擇性來蝕刻氮化矽的範例係詳加描述於申請於2014年12月1日,發明名稱為「SELECTIVE NITRIDE ETCH」(代理人案號:LAMRP146/3526-1US)的美國專利申請案第14/576,020號中,該申請案整體併入本說明書中以供參照。有別於一般對蝕刻氮化矽之物種沒有貢獻的載氣(例如氬),氧化劑可對蝕刻物種有貢獻。
依據各種實施例,電漿可在或不在導入矽源之區域中產生。例如,電漿可在矽源之上游產生,並且藉由使電漿流經固體矽源、使流體矽源與電漿產生器下游的電漿混合等方式而使矽源導入電漿。在若干實施例中,可在相對於電漿產生器的不同位置導入多個矽源。例如,流體矽源可與氟化氣體一起導入製程腔室之處理區域上游的電漿產生器,其中矽源係位於製程腔室內部。
在各種實施例中,電漿可為包含任何合適的電漿,其包含感應耦合電漿、電容耦合電漿、微波激發電漿、或任何類型的下游電漿。在各種實施例中,利用感應耦合電漿依據所揭露之實施例來執行操作可為更有效率的。感應耦合電漿的電漿密度可大於電容耦合電漿的電漿密度。相較於電容耦合電漿,感應耦合電漿可具有較低的電漿能量。
電漿中高濃度的原子態氟可能會降低蝕刻選擇性。固體矽源的存在可減少電漿中原子態氟的量,而使自電漿產生之原子態氟與固體矽源反應,而不與基板上之材料反應。例如,自氟化氣體產生之蝕刻物種可蝕刻來自固體矽源之表面的矽。來自固體矽源之矽可與電漿中所產生的原子態氟反應,而使存在於電漿中之原子態氟的量減少。類似地,當引燃電漿時,自氟化氣體所產生之電漿可與流體矽源反應,以使存在於電漿中之原子態氟的量減少。
可控制電漿之條件以調整蝕刻物種與矽源間的反應。例如,在若干實施例中,可控制固體矽源的溫度以調整選擇性氮化矽蝕刻期間自固體矽源所蝕刻之矽的量。可藉由改變處理期間固持矽源或附接於矽源之元件的溫度來控制溫度。取決於電漿產生及蝕刻製程的條件,來自若干固體矽源的微粒可能會引起少量的缺陷,而就此而言,可調整電漿以藉此調整固體矽源之蝕刻速率並減少缺陷。在所揭露之實施例中,可控制參數(例如電漿功率及頻率、流體矽源及蝕刻製程期間所使用之氣體的流率、溫度、壓力、矽源之位置、固體矽源之表面積、及固體矽源之組成)以減少基板上之缺陷的量,並達到氮化矽相對於矽氧化物、矽、及/或其他暴露之基板表面材料的高蝕刻選擇性。
在操作106中,利用相對於基板上其他暴露之材料(例如矽氧化物及矽)具選擇性的蝕刻作用,而選擇性地蝕刻基板上之氮化矽。自矽源導入矽可能會增加或減少蝕刻速率。例如,蝕刻物種之若干可與矽源反應,藉此減少氮化矽之蝕刻速率。在其他實施例中,蝕刻速率可能會增加,例如若所使用之矽源為矽氟化物(SiFx )氣體時。當引燃電漿時,SiFx 會產生矽及氟電漿,藉此使用若干氟電漿作為用於選擇性蝕刻氮化矽之蝕刻物種。
用於針對圖1所描述之方法的製程條件取決於基板尺寸、基板組成、蝕刻化學物之數量、腔室容積、及所使用之電漿處理工具之類型。腔室之壓力可取決於電漿腔室之類型。可將腔室壓力維持在適用於在腔室中維持電漿的位準。在若干實施例中,可監測固體矽源之溫度且將其控制為約0°C與約500°C間的溫度、或約10°C與約400°C間的溫度、或約100°C的溫度、或約200°C的溫度、或約300°C的溫度、或約400°C的溫度。在若干實施例中,在大於約100°C的溫度下執行所揭露之實施例。例如,在固體矽源位於或靠近電漿產生器的線圈之情況下,可將固體矽源加熱至大於約300°C的溫度。
在各種實施例中,製程腔室中的蝕刻製程係執行於例如基板或基座之溫度,其不同或相似於固體矽源之溫度。該溫度可為基板溫度,其可與基座溫度耦合。針對所揭露之實施例,可使用基座溫度來取代基板溫度。在若干實施例中,蝕刻製程係於約0°C與約80°C的基座溫度下執行。在若干實施例中,取決於腔室容積、氟化氣體的量、矽源的量、所使用之矽源的類型、及電漿處理條件,溫度可能為更高或更低。
轉向圖1,在操作108中,可選擇性地、循環性地重複操作102-106以選擇性地蝕刻氮化矽。所達到的蝕刻選擇性可為至少約100:1、或至少約500:1、至少約1000:1。在若干實施例中,蝕刻選擇性為無限大的。
在若干實施例中,在選擇性蝕刻氮化矽之後,在基板上執行後處理。例如脫氟作用之範例後處理操作係描述於申請於2014年12月1日,發明名稱為「SELECTIVE NITRIDE ETCH」(代理人案號:LAMRP146/3526-1US)的美國專利申請案第14/576,020號中。
圖2A及2B提供所揭露之實施例可執行之圖案化方案的範例。圖2A顯示具有基底層201、氮化矽層202、二氧化矽層203、及遮罩層204之半導體基板的橫剖面圖。描繪於圖2A中的橫剖面圖已部分圖案化以形成兩溝槽或介層孔205。基底層201可為矽基板、矽層、或另外之材料的頂部部分。
圖2B顯示基板,其中遮罩層204下方之氮化矽層202的暴露部分,相對於二氧化矽層203、相對於遮罩層204、及相對於基底層201,選擇性地被蝕刻。可使用例如參考圖1描述於前文的方法,以高選擇性來蝕刻氮化矽而形成例如圖2B中所示之結構。設備
可在製程腔室中執行所揭露之實施例,例如電漿蝕刻腔室。例如,可在感應耦合電漿或電容耦合電漿腔室中、或在下游電漿腔室中執行上述方法。
圖3依據各種實施例,係為感應耦合電漿蝕刻腔室之範例的示意繪圖。電漿蝕刻腔室300包含上電極302及下電極304,可在該上電極及該下電極之間產生電漿。在若干實施例中,上電極302與下電極304間的區域為電漿區域305a。可將來自矽源的矽提供至電漿區域305a中所產生的電漿。在若干實施例中,例如矽接合環350b、或電漿腔室之其他元件的固體矽源可位於或靠近上電極302。
其上具有如上述之氮化矽膜的基板399可定位於下電極304上,且由靜電卡盤(ESC, electrostatic chuck)固持在適當位置。亦可利用其他夾持機制。電漿蝕刻腔室300包含電漿侷限環306,其使電漿保持在基板上方並遠離腔室壁。可利用其他電漿侷限結構,例如:作為壁體的圍板或圓頂。在若干實施例中,電漿蝕刻腔室300可不包含任何此類電漿侷限結構。
在圖3之範例中,電漿蝕刻腔室300包含兩射頻(RF, radio frequency)源,其中RF源310連接至上電極302,而RF源312連接至下電極304。RF源310及312其中各者可包含包括2 MHz、13.56 MHz、27 MHz、及60 MHz之任何合適頻率的一或更多來源。可自一或更多氣體源314、316、及318將氣體導入腔室300。例如,氣體源314可包含惰性氣體,氣體源316可包含氧化劑(例如一氧化二氮(N2 O)及氧(O2 )),而氣體源318可包含氟化氣體。在另外的範例中,氣體源314可包含惰性氣體,氣體源316可包含O2 及N2 O或NOx (例如NO或NO2 ) ,而氣體源318可包含氟化氣體(例如CF4 、CH2 F2 )。在若干實施例中,矽可自流體矽源例如透過氣體入口320流至電漿區域350a而提供至電漿。例如,氣體源314可包括含矽氣體,氣體源316可包含N2 O及O2 ,而氣體源318可包含氟化氣體。可透過入口320將氣體導入腔室,且經由排氣泵浦322將多餘氣體及反應副產物排出。可利用之電漿蝕刻腔室的一範例為2300® Flex™反應性離子蝕刻工具,其可從位於加州費利蒙(Fremont, CA)的Lam Research Corp.取得。電漿蝕刻腔室之進一步描述可在美國專利第6,841,943號及第8,552,334號中尋得,其整體併入本說明書中以供參照。
轉向圖3,控制器330係連接至RF源310及312,亦連接至與氣體源314、316、及318相連的閥,及連接至排氣泵浦322。在若干實施例中,控制器330控制電漿蝕刻腔室300的所有活動。控制器330可執行控制軟體338,控制軟體338係儲存在大量儲存裝置340中、被載入記憶體裝置342、且在處理器344上執行。或者,可將控制邏輯硬碼化於控制器330中。特殊應用積體電路、可程式化邏輯裝置(例如:現場可程式化閘陣列,或FPGA)、及類似裝置可用於此等目的。在以下討論中,無論在何處使用「軟體」或「編碼」,皆可使用功能上可相比之硬編碼邏輯取而代之。控制軟體338可包含用以控制下列各者之指令:時程、氣體之混合物、氣體流率、腔室壓力、腔室溫度、固體矽源溫度、晶圓或基座溫度、RF頻率、RF功率位準、基板基座、卡盤及/或承受器位置、及藉由電漿蝕刻腔室300所執行之特定製程的其他參數。可以任何合適方式配置控制軟體338。例如,可撰寫不同的製程工具元件副程式或控制物件,以控制用以執行各種製程工具製程之製程工具元件的操作。可以任何合適的電腦可讀取程式化語言來為控制軟體338編碼。
在若干實施例中,控制軟體338可包含用於控制上述各種參數的輸入/輸出控制(IOC, input/output control)定序指令。在若干實施例中,可使用儲存於與控制器330相連之大量儲存裝置340及/或記憶體裝置342上的其他電腦軟體及/或程式。用於此目的之程式或程式片段的範例包含製程氣體控制程式、壓力控制程式、及RF源控制程式。
製程氣體控制程式可包含用於控制氣體組成物(例如本說明書中所述之氟化氣體、流體矽源、氧化劑)及流率、與選擇性地用於在蝕刻前使氣體流入腔室以穩定腔室中之壓力的編碼。壓力控制程式可包含用於藉由調節例如腔室之排放系統中的節流閥、流入腔室之氣體等而控制腔室中之壓力的編碼。RF源控制程式可包含用於依據本說明書中之實施例而設定施加至電極之RF功率位準的編碼。
在若干實施例中,可存在有與控制器330相連之使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在若干實施例中,由控制器330所調整之參數可與製程條件有關。非限制性之範例包含製程氣體組成物及流率、基座溫度、固體矽源溫度、壓力、電漿條件(如RF偏壓功率位準、多區線圈之區域中的電流)等。可以配方(可利用使用者介面來輸入)的形式將此等參數提供給使用者。
可藉由系統控制器330之類比及/或數位輸入連接部,而自各種製程工具感測器提供用於監測製程的信號。可於電漿蝕刻腔室300之類比及數位輸出連接部上輸出用於控制製程之信號。可受監測之製程工具感測器的非限制性範例包含質量流量控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自此等感測器的資料一起使用以維持製程條件。
控制器330可提供用於實施上述選擇性蝕刻製程的程式指令。該等程式指令可控制多種製程參數,如RF偏壓功率位準、多區線圈之區域中的電流、壓力、基座溫度、固體矽源溫度、氣體流率等。該等指令可控制該等參數,以依據本說明書中所述之各種實施例來選擇性地蝕刻氮化矽膜。
控制器330通常包含一或更多記憶體裝置及一或更多處理器,其配置以執行該等指令俾使設備將依據所揭露之實施例而實施方法。可將包含用於依據所揭露之實施例而控制操作之指令的機器可讀取媒體耦接至控制器330(如上所述)。
在若干實施例中,控制器330可為或形成系統控制器的部分,該系統控制器係為系統的部分,該系統可為上述範例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子裝置整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之條件及/或系統之類型,可將系統控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將系統控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到系統控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在若干實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或基板之晶粒的製造期間,完成一或更多的處理步驟。
在若干實施中,系統控制器可為電腦的部分或耦接至電腦,該電腦係與系統整合、耦接至系統、或透過網路連接至系統、或上述之組合。舉例而言,系統控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許基板處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在若干範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供給系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在若干範例中,系統控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(系統控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
範例系統可包含(但不限於)電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、剝除腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),系統控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、群集工具、其他工具介面、鄰接工具、附近工具、位於整個工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
或者,可在電容耦合電漿蝕刻腔室(例如圖4中所示之腔室400)中執行所揭露之實施例。腔室400包含內部402,其由真空泵浦維持在所需之真空壓力下,而該真空泵浦係連接至腔室之下壁中的出口404。內部402包含電漿區域450,電漿可於該電漿區域產生。固體矽源可為腔室400之元件,或可附接至腔室400之元件。在若干實施例中,可將例如接合環450a的固體矽源設置於氣體分配板424或其附近,或者設置於柱狀或錐狀的加熱襯墊426或其附近。在若干實施例中,固體矽源可為腔室400中的擴散環(未顯示)、棒(未顯示)、或矽件(未顯示)。
可將例如氟化氣體之蝕刻氣體供應至噴淋頭裝置,以將氣體自一或更多氣體源406供應至在介電窗410之下側周圍延伸的充氣部408。亦可將流體矽源供應至連至充氣部408的噴淋頭裝置。可藉由將RF能量自RF源412供應至在介電窗410外側且在腔室頂部的外部RF天線414(例如具有一或更多匝的平面螺旋線圈),而在腔室中產生高密度電漿。產生電漿的來源可為模組式安裝裝置的部分,該模組式安裝裝置以真空密封的方式而可移除式地安裝於腔室的上端。
將半導體基板416(例如包含氮化矽的基板)放置於腔室內的基板支撐體418(例如懸臂式夾持裝置)上,該基板支撐體由腔室側壁上的模組式安裝裝置可移除式地支撐。基板支撐體418可包含底部電極,其用於在基板的處理期間將RF偏壓供應至基板。
基板支撐體418係位於以懸臂方式安裝之支撐臂的一端上,俾可藉由將整個基板支撐體/支撐臂組件移動通過腔室側壁中的開口,而將該組件從腔室移開。基板支撐體418可包含夾持設備(例如靜電卡盤420),而基板416可被介電聚焦環422圍繞。該卡盤可包含RF偏壓電極,其用於在蝕刻製程期間將RF偏壓施加至基板。由一或更多氣體源406所供應的蝕刻氣體及流體矽源可流經介電窗410與下層的氣體分配板424間的通道、透過氣體分配板424中的氣體出口而進入內部402。例如,可使流體矽源(例如矽烷氣體)自氣體源406、透過氣體分配板424,而流至電漿區域450。該腔室亦可包含柱狀或錐狀的加熱襯墊426,其延伸自氣體分配板424。
如參考圖3描述於前文的系統控制器可與圖4中的蝕刻腔室一起實施。
圖5為替代的蝕刻反應器501之各種元件的簡化圖,該蝕刻反應器可用於執行所揭露之實施例。如所顯示,反應器501包含製程腔室503,其包圍反應器501的其他元件且用以容納電漿。在一範例中,製程腔室壁係由鋁、氧化鋁、及/或其他合適的材料所製成。圖5中所示之實施例具有兩電漿源:頂部RF線圈505及側部RF線圈507。在若干實施例中,此線圈配置可為多區線圈,其中頂部RF線圈505構成一區,而側部RF線圈507構成另一區。頂部RF線圈505為中頻或MFRF線圈,而側部RF線圈507為低頻或LFRF線圈。在圖5所示之實施例中,MFRF頻率可從430 kHz分布至470 kHz,而LFRF頻率可從340 kHz分布至370 kHz。然而,可使用具有單一電漿源的設備。
可將固體矽源550a及550b沿反應器之壁體555而設置於頂部RF線圈505及側部RF線圈507或其附近。在若干實施例中,固體矽源550a及550b為接合環。在若干實施例中,固體矽源為矽擴散環550c。在各種實施例中,可自一或更多氣體源(未顯示)將氣體導入反應器501。例如,可將流體矽源導入反應器501而進入電漿區域550d。氣體源亦可包含惰性氣體,另一氣體源可包含氧化劑(例如N2 O及O2 ),而另一氣體源可包含氟化氣體(例如CF4 )。可將此類氣體導入反應器501,並在電漿區域550d中產生電漿。在一範例中,將含矽氣體(例如矽烷)、惰性氣體、氧化劑、及氟化氣體導入反應器501以在電漿區域550d或其附近產生電漿。
在該反應器內,晶圓基座509支撐基板511。包含用於供應熱傳流體之管線513的熱傳子系統控制基板511的溫度。晶圓卡盤及熱傳流體系統可促進維持適當的晶圓溫度。
在若干實施例中,HFRF源515的高頻RF用以電性偏壓基板511並將帶電蝕刻物種吸引至基板上,以進行蝕刻操作。例如,經由電極或電容耦合而使來自來源515的電能耦合至基板511。應注意到,施加至基板的偏壓不必為RF偏壓。亦可使用其他頻率與DC偏壓。在許多實施例中,在蝕刻期間不使用偏壓。
如參考圖3描述於前文的系統控制器可與圖5中的蝕刻腔室一起實施。
例如參考圖3、圖4、及圖5描述於前文的反應器及模組可位於設備或工具中。一般而言,設備可包含一或更多腔室或「反應器」(有時包含複數站),其容置一或更多晶圓且適用於晶圓處理。各腔室可容置一或更多用於處理的晶圓。該一或更多腔室將晶圓維持在定義之位置或複數位置(在該位置內有或無運動,例如轉動、振動、或其它擾動)。當進行製程時,各晶圓係藉由基座、晶圓卡盤、及/或其他晶圓固持設備而固持在適當位置。針對其中欲加熱晶圓之某些操作,設備可包含加熱器,例如加熱板。
本說明書中所描述之裝置/製程可與例如用以製造或生產半導體裝置、顯示器、LED、光伏面板等之微影圖案化工具或製程結合使用。一般而言(儘管非必然),此類工具/製程將於共同的製造設施中一起使用或執行。膜的微影圖案化一般包含下列操作(各操作係以若干合適的工具來達成)的若干或全部:(1)使用旋轉塗佈或噴霧塗佈工具將光阻塗佈於工件(例如基板)上;(2)使用加熱板、或加熱爐、或UV固化工具將光阻固化;(3)以例如晶圓步進機之工具將光阻曝露於可見光、或UV光、或x射線光;(4)使用例如溼式清洗台之工具將光阻顯影以選擇性地移除光阻,且藉此將之圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉移至下層之膜或工件中;及(6)使用例如RF或微波電漿光阻剝除機之工具將光阻移除。實驗 實驗 1
進行顯示矽源之存在會改善氮化矽相對於矽氧化物及多晶矽之蝕刻選擇性的實驗。試驗三個製程,且在兩不同腔室環境中試驗各製程。其中一腔室環境係用以執行不具有矽源的製程。另一腔室環境係用以執行具有矽源的製程。在該矽源腔室中,將10個固體矽片固緊至線圈附近之電漿產生器的壁體(類似於參考圖5描述於前文的情況)。
在製程A中,在10°C的基座溫度及1.5 Torr的腔室壓力下,且在使用2000 W之電漿功率的感應耦合電漿之情況下,將包含氮化矽、矽氧化物、及多晶矽之基板暴露於N2 O、O2 、及CF4
在製程B中,在10°C的基座溫度及1.5 Torr的腔室壓力下,且在使用設定於2000 W之電漿功率的感應耦合電漿之情況下,將包含氮化矽、矽氧化物、及多晶矽之基板暴露於N2 O、O2 、CF4 、及CH2 F2
在製程C中,在10°C的基座溫度及1.5 Torr的腔室壓力下,且在使用設定於2000 W之電漿功率的感應耦合電漿之情況下,將包含氮化矽、矽氧化物、及多晶矽之基板暴露於N2 O、O2 、CF4 、及不同比例之CF4 /CH2 F2
氮化矽相對於氧化物的蝕刻選擇性係總結於圖6A中的表及長條圖中。如所顯示,對於每一製程,在存在矽源的情況下,蝕刻選擇性會改善 ─ 對於製程A,蝕刻選擇性自33改善為47;對於製程B,蝕刻選擇性自56改善為2000;而對於製程C,蝕刻選擇性自57改善為1168。
氮化矽對於多晶矽的蝕刻選擇性係總結於圖6B中的表及長條圖中。類似於圖6A中所示相對於氧化物的選擇性之結果,對於每一製程,在存在矽源的情況下,蝕刻選擇性會改善 ─ 對於製程A,蝕刻選擇性自5改善為115;對於製程B,蝕刻選擇性自2改善為2000;而對於製程C,蝕刻選擇性自3.4改善為908。實驗 2
進行比較在使用及不使用矽源的情況下、氮化矽相對於TEOS及多晶矽之蝕刻選擇性的實驗。第一組試驗的實驗係於1.5 Torr的壓力下、利用設定於2000 W之功率的感應耦合電漿(ICP, inductively coupled plasma)來進行。在10°C之基座溫度下,流動N2 O及O2 以促進蝕刻。
在第一組試驗中,在不具有矽源的情況下,將包含由電漿輔助化學氣相沉積法(PECVD, plasma-enhanced chemical vapor deposition)所沉積之氮化矽層、四乙氧基矽烷(TEOS, tetraethyl orthosilicate)、及多晶矽的基板暴露於四氟化碳(CF4 )、及氧與一氧化二氮(O2 /N2 O)之混合物。此等六個試驗之選擇性係總結於表1中。 1. 不具有矽源時的氮化矽蝕刻選擇性
第二組試驗的實驗係於至少1 Torr的壓力下、利用約1000 W的ICP功率來進行。對於該蝕刻製程,使約10,000 sccm的N2 O及O2 一起流至腔室以促進蝕刻。
在第二組試驗中,在具有附接於線圈附近且緊靠著遠端電漿產生器壁體的10個固體矽片的腔室中,將包含PECVD-沉積的氮化矽層、TEOS、及多晶矽的基板暴露於CF4 、及O2 /N2 O。此等試驗之蝕刻選擇性係總結於表2中。 2. 具有矽源時的氮化矽蝕刻選擇性
應注意表2中所示之選擇性相對於表1所示者實質增加。表2中氮化矽相對於TEOS及多晶矽兩者的蝕刻選擇性至少為400,且在若干試驗中係大於1000。實驗 3
進行比較在使用及不使用矽擴散器來源的情況下、氮化矽相對於TEOS及多晶矽之蝕刻選擇性的實驗。進行第一組試驗,其測定在不含矽源的情況下氮化矽相對於TEOS之蝕刻選擇性。在1.5 Torr的腔室壓力及10°C的基座溫度下,且在2000 W的ICP電漿下,將包含氮化矽及TEOS的基板暴露於100 sccm的NF3 、2000 sccm的N2 、3000 sccm的N2 O、及4900 sccm的O2 達45秒。接著,在2000 W的ICP電漿功率下,將該基板暴露於4750 sccm的N2 O及4750 sccm的O2 ,同時在相同的腔室壓力及基座溫度下施加1000 W的偏壓達45秒的持續時間。SiN相對於 TEOS的選擇性被測定為65:1。
進行第二組試驗,其測定在電漿產生器中具有矽源擴散環的情況下(類似於參考圖5描述於前文的情況)氮化矽相對於TEOS之蝕刻選擇性。在1.5 Torr的腔室壓力及10°C的基座溫度下,且在2000 W的ICP電漿下,將包含氮化矽及TEOS的基板暴露於NF3 、N2 、N2 O、及O2 達45秒。接著,在2000 W的ICP電漿功率下,將該基板暴露於相同的氣體流,同時在相同的腔室壓力及基座溫度下施加1000 W的偏壓達45秒的持續時間。SiN相對於 TEOS的選擇性被測定為無限大。實驗 4
在另一實驗中,於電容耦合電漿中、在次托耳(sub-torr)壓力下,使用非以N2 O為主的化學物,且不具有ICP功率。將腔室壓力設定為500 mTorr,使100 sccm 的CF4 流至腔室,且以200 W的功率、在100°C下施加偏壓達30秒。在一試驗中,於陶瓷圓頂中產生電漿,且不提供矽源。氮化矽相對於氧化物的選擇性為2.3,而氮化矽相對於多晶矽的選擇性為0.85(亦即,多晶矽較氮化矽更快被蝕刻)。在第二試驗中,於陶瓷圓頂中產生電漿,且包含矽源。氮化矽相對於氧化物的選擇性為2.9,而氮化矽相對於多晶矽的選擇性為1.56(多晶矽較氮化矽更慢被蝕刻)。多晶矽的蝕刻速率減少44%。此等結果指示,所揭露之實施例會改善非以N2 O為主之氮化矽蝕刻製程的蝕刻選擇性。結論
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所附請求項之範圍內,可實施某些變更及修改。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。
102‧‧‧操作
104‧‧‧操作
106‧‧‧操作
108‧‧‧操作
201‧‧‧基底層
202‧‧‧氮化矽層
203‧‧‧二氧化矽層
204‧‧‧遮罩層
205‧‧‧溝槽或介層孔
300‧‧‧電漿蝕刻腔室/腔室
302‧‧‧上電極
304‧‧‧下電極
306‧‧‧電漿侷限環
310‧‧‧射頻源
312‧‧‧射頻源
314‧‧‧氣體源
316‧‧‧氣體源
318‧‧‧氣體源
320‧‧‧氣體入口/入口
322‧‧‧排氣泵浦
330‧‧‧控制器
338‧‧‧控制軟體
340‧‧‧大量儲存裝置
342‧‧‧記憶體裝置
344‧‧‧處理器
350a‧‧‧電漿區域
350b‧‧‧接合環
399‧‧‧基板
400‧‧‧腔室
402‧‧‧內部
404‧‧‧出口
406‧‧‧氣體源
408‧‧‧充氣部
410‧‧‧介電窗
412‧‧‧射頻源
414‧‧‧外部射頻天線
416‧‧‧基板
418‧‧‧基板支撐體
420‧‧‧靜電卡盤
422‧‧‧介電聚焦環
424‧‧‧氣體分配板
426‧‧‧加熱襯墊
450‧‧‧電漿區域
450a‧‧‧接合環
501‧‧‧反應器
503‧‧‧製程腔室
505‧‧‧頂部射頻線圈
507‧‧‧側部射頻線圈
509‧‧‧晶圓基座
511‧‧‧基板
513‧‧‧管線
515‧‧‧高頻射頻源
550a‧‧‧固體矽源
550b‧‧‧固體矽源
550c‧‧‧擴散環
550d‧‧‧電漿區域
555‧‧‧壁體
圖1依據所揭露之實施例,係為描述方法之操作的製程流程圖。
圖2A及2B依據所揭露之實施例,係為蝕刻方案的示意圖。
圖3、4、及5依據所揭露之實施例,係為用於執行方法的製程腔室之範例的示意圖。
圖6A及6B依據所揭露之實施例,係為來自所進行的實驗之結果的長條圖。

Claims (35)

  1. 一種蝕刻基板上之氮化矽的方法,該方法包含下列步驟: (a)將氟化氣體導入電漿產生器,並引燃電漿,以形成含氟之蝕刻物種; (b)自矽源將矽提供至該電漿;及 (c)使該氮化矽暴露於該蝕刻物種,以相對於該基板上之其他含矽材料而選擇性地蝕刻該氮化矽。
  2. 如申請專利範圍第1項之蝕刻基板上之氮化矽的方法,其中於該基板之上游將該矽源提供至該電漿。
  3. 如申請專利範圍第1項之蝕刻基板上之氮化矽的方法,其中於遠端電漿產生器中將該矽源提供至該電漿。
  4. 如申請專利範圍第1項之蝕刻基板上之氮化矽的方法,其中在該基板與容置該基板的腔室之噴淋頭間將該矽源提供至該電漿。
  5. 如申請專利範圍第1項之蝕刻基板上之氮化矽的方法,其中在容置該基板的腔室之噴淋頭處或其附近將該矽源提供至該電漿。
  6. 如申請專利範圍第1項之蝕刻基板上之氮化矽的方法,其中該矽源包含兩或更多矽源。
  7. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該矽源為固體。
  8. 如申請專利範圍第7項之蝕刻基板上之氮化矽的方法,其中該矽源為選自由石英、矽、矽鍺、矽碳化物、及矽氧化物所構成之群組的含矽之化合物。
  9. 如申請專利範圍第7項之蝕刻基板上之氮化矽的方法,其中該矽源為含矽之接合環。
  10. 如申請專利範圍第7項之蝕刻基板上之氮化矽的方法,其中該矽源為含矽之氣體擴散器。
  11. 如申請專利範圍第7項之蝕刻基板上之氮化矽的方法,其中該基板係容置於包含噴淋頭的腔室中,且其中該噴淋頭包含矽。
  12. 如申請專利範圍第7項之蝕刻基板上之氮化矽的方法,其中該矽源係附接至該電漿產生器之壁體。
  13. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該矽源為流體的。
  14. 如申請專利範圍第13項之蝕刻基板上之氮化矽的方法,其中流入容置該基板之腔室的總氣流之中至少約0.5%(以體積計)為該矽源。
  15. 如申請專利範圍第13項之蝕刻基板上之氮化矽的方法,其中該矽源為選自由甲矽烷、乙矽烷、四氟化矽、四氯矽烷、四乙氧基矽烷、及四甲基矽烷所構成之群組的含矽之化合物。
  16. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該氟化氣體包含非聚合之含氟化合物。
  17. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該矽源清除該蝕刻物種中所產生之原子態氟。
  18. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該其他含矽材料係選自由矽氧化物、多晶矽、及矽所構成之群組。
  19. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,更包含在步驟(b)期間導入一或更多氧化劑氣體。
  20. 如申請專利範圍第19項之蝕刻基板上之氮化矽的方法,其中該氧化劑氣體係選自由氧、一氧化二氮、一氧化氮、氮、及其組合所構成之群組。
  21. 如申請專利範圍第1-6項其中任一項之蝕刻基板上之氮化矽的方法,其中該氟化氣體係選自由F2 、SF6 、CF4 、CHF3 、CH2 F2 、NF3 、及其組合所構成之群組。
  22. 一種處理基板之方法,該方法包含下列步驟: 藉由自矽源將矽提供至電漿,以相對於該基板上其他含矽材料而選擇性地蝕刻氮化矽, 其中該電漿形成蝕刻物種,其用以選擇性地蝕刻該氮化矽。
  23. 一種用於處理半導體基板的設備,該設備包含: (a)一或更多站,各站包含噴淋頭及基座,該基座係用於固持包含氮化矽之基板; (b)至少一出口,其用於連接至真空裝置; (c)一或更多製程氣體入口,其用於連接至氣體源; (d)矽源; (e)電漿產生器;及 (f)控制器,其用於控制該設備中之操作,且包含用於下列操作的機器可讀取指令: (i)將包含氟化氣體的氣體導入該電漿產生器,並引燃電漿,以形成蝕刻物種;及 (ii)將該蝕刻物種導入該一或更多站, 其中在操作(i)及操作(ii)期間,將該矽源提供至該電漿。
  24. 如申請專利範圍第23項之用於處理半導體基板的設備,其中該矽源包含兩或更多矽源,其中各者為固體或者氣體。
  25. 如申請專利範圍第23項之用於處理半導體基板的設備,其中該矽源位於該基板之上游。
  26. 如申請專利範圍第23項之用於處理半導體基板的設備,其中該矽源位於或靠近該一或更多站的該噴淋頭。
  27. 如申請專利範圍第23-26項其中任一項之用於處理半導體基板的設備,其中該矽源為固體。
  28. 如申請專利範圍第27項之用於處理半導體基板的設備,其中該矽源為氣體擴散器。
  29. 如申請專利範圍第27項之用於處理半導體基板的設備,其中該矽源為石英。
  30. 如申請專利範圍第27項之用於處理半導體基板的設備,其中該矽源為選自矽、矽鍺、矽碳化物、及矽氧化物的含矽之化合物。
  31. 如申請專利範圍第23-25項其中任一項之用於處理半導體基板的設備,其中該矽源為流體的。
  32. 如申請專利範圍第31項之用於處理半導體基板的設備,其中該矽源為選自由甲矽烷、乙矽烷、四氟化矽、四氯矽烷、四乙氧基矽烷、及四甲基矽烷所構成之群組的含矽之化合物。
  33. 如申請專利範圍第23-26項其中任一項之用於處理半導體基板的設備,其中該氟化氣體係選自由F2 、SF6 、CF4 、CH2 F2 、NF3 、及其組合所構成之群組。
  34. 如申請專利範圍第23-26項其中任一項之用於處理半導體基板的設備,其中該電漿產生器包含多區線圈,且該矽源包含該電漿產生器中的一或更多接合環,其位於或靠近該多區線圈的一或更多區。
  35. 如申請專利範圍第23-26項其中任一項之用於處理半導體基板的設備,其中操作(i)中的該氣體包含氧化劑。
TW105105057A 2015-02-23 2016-02-22 蝕刻氮化矽時達成超高選擇性之方法 TW201642339A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562119670P 2015-02-23 2015-02-23
US14/676,710 US9911620B2 (en) 2015-02-23 2015-04-01 Method for achieving ultra-high selectivity while etching silicon nitride

Publications (1)

Publication Number Publication Date
TW201642339A true TW201642339A (zh) 2016-12-01

Family

ID=56693170

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105105057A TW201642339A (zh) 2015-02-23 2016-02-22 蝕刻氮化矽時達成超高選擇性之方法

Country Status (6)

Country Link
US (2) US9911620B2 (zh)
JP (1) JP2016157940A (zh)
KR (1) KR20160103184A (zh)
CN (1) CN105914146B (zh)
SG (1) SG10201601329UA (zh)
TW (1) TW201642339A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754212B (zh) * 2019-02-28 2022-02-01 日商斯庫林集團股份有限公司 基板處理方法及基板處理系統
TWI775819B (zh) * 2017-03-10 2022-09-01 日商東京威力科創股份有限公司 蝕刻方法

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US9934942B1 (en) * 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
TWI761461B (zh) 2017-02-23 2022-04-21 日商東京威力科創股份有限公司 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
US10431470B2 (en) 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
KR102594444B1 (ko) * 2017-06-08 2023-10-25 도쿄엘렉트론가부시키가이샤 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR102440367B1 (ko) 2017-06-22 2022-09-05 삼성전자주식회사 Rps를 이용한 식각 방법 및 그 식각 방법을 포함한 반도체 소자 제조방법
CN111066129B (zh) * 2018-06-04 2024-04-05 东京毅力科创株式会社 蚀刻处理方法和蚀刻处理装置
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
US20210320004A1 (en) * 2018-11-08 2021-10-14 Lam Research Corporation Nitride films with improved etch selectivity for 3d nand integration
CN111696863B (zh) * 2019-03-15 2024-04-12 北京北方华创微电子装备有限公司 硅介质材料刻蚀方法
TW202117847A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理
US11651969B2 (en) * 2019-07-18 2023-05-16 Kioxia Corporation Etching method, semiconductor manufacturing apparatus, and method of manufacturing semiconductor device
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
US12009218B2 (en) * 2022-05-06 2024-06-11 Applied Materials, Inc. Pulsed etch process

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
CN102754201A (zh) 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US9324572B2 (en) * 2010-03-04 2016-04-26 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
CN103779203B (zh) * 2012-10-17 2016-11-02 株式会社日立高新技术 等离子蚀刻方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI775819B (zh) * 2017-03-10 2022-09-01 日商東京威力科創股份有限公司 蝕刻方法
TWI754212B (zh) * 2019-02-28 2022-02-01 日商斯庫林集團股份有限公司 基板處理方法及基板處理系統

Also Published As

Publication number Publication date
KR20160103184A (ko) 2016-08-31
JP2016157940A (ja) 2016-09-01
US20180158692A1 (en) 2018-06-07
US9911620B2 (en) 2018-03-06
CN105914146B (zh) 2019-03-15
SG10201601329UA (en) 2016-09-29
US20160247688A1 (en) 2016-08-25
CN105914146A (zh) 2016-08-31

Similar Documents

Publication Publication Date Title
TW201642339A (zh) 蝕刻氮化矽時達成超高選擇性之方法
EP3038142A1 (en) Selective nitride etch
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
JP6218836B2 (ja) ラジカル構成要素の酸化物エッチング
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
JP2018186269A (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
JP2022092006A (ja) 単一プラズマチャンバにおける、限界寸法制御のための原子層堆積及びエッチング
JP2020529736A (ja) 水平表面上におけるSiNの選択的堆積
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
US10446394B2 (en) Spacer profile control using atomic layer deposition in a multiple patterning process
TWI759516B (zh) 變壓器耦合的電漿蝕刻室中的整合式原子層鈍化及原位原子層鈍化蝕刻方法
KR20210011493A (ko) 고 종횡비 구조체들의 효율적인 세정 및 에칭
KR20230057305A (ko) 유전체에 대한 선택도를 갖는 반도체, 금속 또는 금속 옥사이드의 원자 층 에칭
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
CN110998790B (zh) 在水平表面上的选择性沉积SiN
US20230260798A1 (en) Chemistry for high aspect ratio etch for 3d-nand
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模