KR20160103184A - 실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법 - Google Patents

실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법 Download PDF

Info

Publication number
KR20160103184A
KR20160103184A KR1020160020748A KR20160020748A KR20160103184A KR 20160103184 A KR20160103184 A KR 20160103184A KR 1020160020748 A KR1020160020748 A KR 1020160020748A KR 20160020748 A KR20160020748 A KR 20160020748A KR 20160103184 A KR20160103184 A KR 20160103184A
Authority
KR
South Korea
Prior art keywords
silicon
plasma
substrate
silicon source
source
Prior art date
Application number
KR1020160020748A
Other languages
English (en)
Inventor
헬렌 에이치. 주
린다 마르케스
파이살 야큐브
박필연
3세 이반 엘. 베리
이블린 에이. 앤젤로브
박준홍
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160103184A publication Critical patent/KR20160103184A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

실리콘-함유 재료들에 대한 실리콘 나이트라이드의 고 에칭 선택도를 달성하도록 플라즈마에 실리콘을 제공함으로써 반도체 기판 상의 실리콘 나이트라이드를 선택적으로 에칭하는 방법들이 제공된다. 방법들은 고체 실리콘 소스 또는 유체 실리콘 소스로부터 또는 양자로부터 실리콘을 제공하는 단계를 수반한다. 고체 실리콘 소스는 프로세스 챔버의 샤워헤드에 또는 샤워헤드 근방에와 같이, 기판의 업스트림, 또는 리모트 플라즈마 생성기 내에 있을 수도 있다. 실리콘 가스 소스는 에칭 동안 플라즈마로 흐를 수도 있다.

Description

실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법{METHOD FOR ACHIEVING ULTRA-HIGH SELECTIVITY WHILE ETCHING SILICON NITRIDE}
반도체 제조는 종종 실리콘 나이트라이드가 기판의 다른 노출된 표면들의 에칭을 방지하도록 선택적으로 에칭되는, 패터닝 스킴들 및 다른 프로세스들을 수반한다. 디바이스의 기하학적 구조가 갈수록 작아지기 때문에, 고 에칭 선택도 프로세스들은 실리콘 나이트라이드와 같은 유전체 층들 내의 개구들의 효과적인 플라즈마 에칭을 달성하도록 목표된다.
막들을 에칭하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는 기판 상의 실리콘 나이트라이드를 에칭하는 방법을 수반하고, 상기 방법은, (a) 플라즈마 생성기에 플루오르화 가스를 도입하는 단계 및 불소-함유 에칭 종을 형성하도록 플라즈마를 점화하는 단계; (b) 실리콘 소스로부터 실리콘을 상기 플라즈마에 제공하는 단계; 및 (c) 상기 기판 상의 다른 실리콘-함유 재료들에 대해 상기 실리콘 나이트라이드를 선택적으로 에칭하도록 상기 불소-함유 에칭 종에 상기 실리콘 나이트라이드를 노출시키는 단계를 포함한다.
상기 실리콘 소스는 상기 기판의 업스트림에서 상기 플라즈마에 제공될 수도 있다. 일부 실시예들에서, 상기 실리콘 소스는 리모트 플라즈마 생성기 내의 상기 플라즈마에 제공된다. 대안적으로 또는 추가로, 상기 실리콘 소스는 상기 기판과 상기 기판을 하우징하는 챔버의 샤워헤드 사이의 상기 플라즈마에 제공될 수도 있다. 상기 실리콘 소스는 상기 기판을 하우징하는 챔버의 샤워헤드에 또는 샤워헤드 근방에서 플라즈마에 제공될 수도 있다.
상기 실리콘 소스는 2개 이상의 실리콘 소스들을 포함할 수도 있다. 다양한 실시예들에서, 상기 실리콘 소스는 고체이다. 실리콘 소스들의 예들은 석영, 실리콘, 실리콘 게르마늄, 실리콘 카바이드, 및 실리콘 옥사이드와 같은 실리콘-함유 화합물들을 포함한다. 일부 실시예들에서, 상기 실리콘 소스는 실리콘을 포함한 어댑터 링이다. 일부 실시예들에서, 상기 실리콘 소스는 실리콘을 포함한 가스 디퓨저이다.
상기 기판은 실리콘을 포함할 수도 있는 샤워헤드를 포함한 챔버 내에 하우징될 수도 있다. 일부 실시예들에서, 상기 실리콘 소스는 상기 플라즈마 생성기의 벽에 부착된다.
다양한 실시예들에서, 상기 실리콘 소스는 유동성이고, 실리콘-함유 화합물일 수도 있다. 예를 들어, 상기 기판을 하우징하는 챔버 내로의 가스들의 총 플로우의 적어도 약 0.5 % (체적) 는 상기 실리콘 소스일 수도 있다. 유동성인 실리콘 소스들의 예들은 실란, 디실란, 실리콘 테트라플루오라이드, 테트라클로로실란, 테트라에틸 오소실리케이트, 및 테트라메틸실란을 포함한다.
일부 실시예들에서, 상기 플루오르화 가스는 비-중합 불소-함유 화합물을 포함한다. 예시적인 플루오르화 가스들은 F2, SF6, CF4, CHF3, CH2F2, NF3, 및 이들의 조합들을 포함한다.
상기 실리콘 소스는 상기 에칭 종 내에 생성된 원자 불소를 청소할 (scavenge) 수도 있다. 다양한 실시예들에서, 다른 실리콘-함유 재료들은 실리콘 옥사이드, 폴리-실리콘, 또는 실리콘일 수도 있다.
다양한 실시예들에서, 방법은 또한 상기 단계 (b) 동안 하나 이상의 산화제 가스들을 도입하는 단계를 포함한다. 예시적인 산화제 가스들은 산소, 아산화질소, 일산화질소, 이산화질소, 질소, 및 이들의 조합들을 포함한다.
또 다른 양태는 기판을 프로세싱하는 방법을 수반하고, 상기 방법은, 실리콘 소스로부터 실리콘을 플라즈마에 제공함으로써 상기 기판 상의 다른 실리콘-함유 재료들에 대해 실리콘 나이트라이드를 선택적으로 에칭하는 단계를 포함하고, 상기 플라즈마는 상기 실리콘 나이트라이드를 선택적으로 에칭하도록 사용된 에칭 종을 형성한다.
또 다른 양태는 반도체 기판들을 프로세싱하기 위한 장치를 수반하고, 상기 장치는, (a) 실리콘 나이트라이드를 포함한 기판을 홀딩하기 위한 샤워헤드 및 페데스탈을 각각 포함한, 하나 이상의 스테이션들; (b) 진공에 커플링하기 위한 적어도 하나의 유출부; (c) 가스 소스들에 커플링하기 위한 하나 이상의 프로세스 가스 유입부들; (d) 실리콘 소스; (e) 플라즈마 생성기; 및 (f) 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고, 상기 제어기는, (i) 상기 플라즈마 생성기에 플루오르화 가스를 포함한 가스들을 도입하고 에칭 종을 형성하도록 플라즈마를 점화하기 위한 머신-판독 가능 인스트럭션; 및 (ii) 상기 하나 이상의 스테이션들에 상기 에칭 종을 도입하기 위한 머신-판독 가능 인스트럭션을 포함하고, 상기 실리콘 소스는 상기 인스트럭션들 (i) 및 (ii) 중 적어도 하나 동안 상기 플라즈마에 제공된다.
상기 실리콘 소스는 2개 이상의 실리콘 소스들을 포함할 수도 있고, 상기 실리콘 소스 각각은 고체 또는 가스이다. 일부 실시예들에서, 상기 실리콘 소스는 상기 기판의 업스트림에 있다. 상기 실리콘 소스는 상기 하나 이상의 스테이션들의 상기 샤워헤드에 또는 상기 샤워헤드 근방에 위치될 수도 있다.
다양한 실시예들에서, 상기 실리콘 소스는 고체이다. 예를 들어, 상기 실리콘 소스는 가스 디퓨저일 수도 있다. 일부 실시예들에서, 상기 실리콘 소스는 석영이다. 예시적인 실리콘 소스들은 실리콘, 실리콘 게르마늄, 실리콘 카바이드, 및 실리콘 옥사이드와 같은 실리콘-함유 화합물들을 포함한다.
다양한 실시예들에서, 상기 실리콘 소스는 유동성이다. 예를 들어, 상기 실리콘 소스는 실란, 디실란, 실리콘 테트라플루오라이드, 테트라클로로실란, 테트라에틸 오소실리케이트, 및 테트라메틸실란과 같은 실리콘-함유 화합물일 수도 있다. 일부 실시예들에서, 인스트럭션 (i) 에서 사용된 가스들의 조성의 적어도 약 9 %는 실리콘 소스를 포함한다.
예시적인 플루오르화 가스들은 F2, SF6, CF4, CH2F2, NF3, 및 이들의 조합들을 포함한다. 일부 실시예들에서, 상기 플라즈마 생성기는 멀티-존 코일을 포함하고, 상기 실리콘 소스는 상기 멀티-존 코일의 하나 이상의 존들에 또는 상기 하나 이상의 존들 근방에 위치된 상기 플라즈마 생성기 내에 하나 이상의 어댑터 링들을 포함한다.
다양한 실시예들에서, 상기 인스트럭션 (i) 에서 상기 가스들은 산화제를 포함한다. 예시적인 산화제들은 산소, 질소, 아산화질소, 이산화질소, 및 일산화질소를 포함한다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들에 따른, 방법의 동작들을 도시하는 프로세스 흐름도이다.
도 2a 및 도 2b는 개시된 실시예들에 따른, 에칭 스킴의 개략도들이다.
도 3, 도 4 및 도 5는 개시된 실시예들에 따른, 방법들을 수행하기 위한 프로세스 챔버들의 예들의 개략도들이다.
도 6a 및 도 6b는 개시된 실시예들에 따라 실시된 실험들로부터의 결과들의 막대 그래프들이다.
다음의 기술에서, 수많은 특정한 상세사항들이 제공된 실시예들의 철저한 이해를 제공하도록 제시된다. 개시된 실시예들은 이들 특정한 상세사항들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 알려진 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 개시된 실시예들은 특정한 실시예들과 함께 기술될 것이지만, 개시된 실시예들을 제한하도록 의도되지 않음이 이해될 것이다.
반도체 제조 프로세스들은 종종 실리콘 나이트라이드와 같은 다양한 재료들의 패터닝 및 에칭을 수반한다. 예를 들어, 실리콘 나이트라이드는 실리콘 나이트라이드가 패터닝 프로세스에서 에칭 정지 층 또는 마스크로서 사용된 후 반도체 디바이스 구조체 또는 콘택트의 제조 동안, 하부 층 및/또는 상부 층에 대해 선택적인 에칭으로 에칭될 수도 있다. 그러나, 고온의 오소인산, 이온 충격, 또는 스퍼터링을 사용한 습식 에칭 방법들과 같은 다양한 종래의 실리콘 나이트라이드 에칭 프로세스들은, 실리콘 옥사이드와 같은, 기판의 노출된 유전체 컴포넌트들 (components) 에 대미지를 유발할 수도 있다. 일부 종래의 건식 에칭 프로세스들은 노출된 실리콘 옥사이드 또는 실리콘 층의 표면 상에 얇은 보호 층을 형성하도록 에칭 동안 고분자, 탄소계 가스들을 도입하는 것을 수반하지만, 이러한 프로세스들은 디펙트들을 유발할 수도 있고 패턴의 상단-대-하단 비를 증가시킬 수도 있고 패턴 로딩을 증가시킬 수도 있다. 디펙트들은 디바이스가 쓸모없게 만들어질 수도 있는 정도로 패턴-누락을 야기할 수도 있다. 그 결과, 종래의 기법들은 종종 실리콘 나이트라이드를 선택적으로 에칭하는데 적합하지 않다.
에칭 프로세스 동안 플라즈마에 실리콘을 제공함으로써 실리콘 나이트라이드를 선택적으로 에칭하는 방법들이 본 명세서에 제공된다. 본 명세서에 제공된 방법들은 또한 고 에칭 선택도를 달성하도록 중합 반응의 사용을 감소시킬 수 있다. 실리콘은 고체 소스, 유체 소스, 또는 양자의 조합일 수도 있는 실리콘 소스로부터 플라즈마에 제공된다. 개시된 실시예들은 다양한 에칭 화학물질들에 대해 그리고 다양한 압력들, 온도들, 및 플라즈마 전력들에서, 실리콘 나이트라이드 대 실리콘 옥사이드 및 실리콘 (폴리실리콘, 비정질 실리콘, 및 결정질 실리콘 포함) 과 같은 실리콘-함유 재료들의 에칭 선택도를 개선한다. 예를 들어, 일부 실시예들에서, 실리콘 나이트라이드 대 실리콘 옥사이드 또는 폴리-실리콘의 에칭 선택도는 100:1, 1000:1 또는 5000:1 초과일 수도 있다. 가장 적절한 애플리케이션들에서, 1000:1 또는 심지어 보다 고 선택도가 달성될 수 있다.
개시된 실시예들은 다양한 유전체 층, 반도체 층, 또는 금속 층을 포함하는 다층 구조체들을 제작하는데 유용하다. 예시적인 유전체 재료들은 실리콘 나이트라이드들, 실리콘 옥시-나이트라이드들, 실리콘 다이옥사이드, FSG (fluorinated silicon oxides) 와 같은 도핑된 실리콘 옥사이드들, BPSG (boron phosphate silicate glass) 및 PSG (phosphate silicate glass) 와 같은 실리케이트 유리들, ALD (atomic layer deposition) 에 의해 증착된 실리콘의 옥사이드들, 실리콘의 나이트라이드들의 ALD-증착된 층들, 유기 실록산 폴리머들, 탄소-도핑된 실리케이트 유리들, 플루오르화 탄소-도핑된 실리케이트 유리들, 실세퀴옥산 (silsequioxane) 유리들, 다이아몬드-같은 비정질 탄소, 및 탄소-도핑된 실리카 유리들을 포함한다. 다른 적합한 유전체 재료들은 다층 구조체들에서 하나 이상의 층들을 형성할 수도 있다. 반도체 층들의 예들은 실리콘, 실리콘 게르마늄, 및 게르마늄을 포함한다. 이러한 다층 구조체들은 배리어 층과 같은 중간 층 및 다결정질 실리콘, 알루미늄, 구리, 티타늄, 텅스텐, 몰리브덴, 또는 이들의 합금들과 같은 금속들; 티타늄 나이트라이드와 같은 나이트라이드들; 및 티타늄 실리사이드, 코발트 실리사이드, 텅스텐 실리사이드, 및 몰리브덴 실리사이드와 같은 금속 실리사이드들과 같은 전도성 또는 반도체 층 위에 놓일 수 있다.
도 1은 개시된 실시예들에 따른, 방법의 동작들을 도시하는 프로세스 흐름도이다. 다양한 실시예들에서, 불활성 가스는 도 1의 동작들 동안 선택 가능하게 흐를 수도 있다. 예시적인 불활성 가스들은 아르곤, 헬륨, 네온, 크립톤, 질소, 및 크세논을 포함한다. 동작들은 기판이 하우징될 수도 있는 프로세스 챔버와 같은 장치 내에서 수행될 수도 있다. 프로세스 챔버는 에천트들을 챔버에 또는 챔버의 프로세싱 구역에 도입할 수도 있는 샤워헤드 및 기판을 지지하기 위한 페데스탈을 포함할 수도 있다. 프로세스 챔버는 플라즈마 생성 구역을 포함할 수도 있거나 리모트 플라즈마 생성기에 연결될 수도 있다. 예시적인 프로세스 챔버들은 이하에 더 상세히 기술되는, 도 3, 도 4, 및 도 5에 도시된다.
기판은 실리콘 웨이퍼, 예를 들어, 상부에 증착된 유전체, 전도성, 또는 반도체 재료와 같은 재료의 하나 이상의 층들을 가진 웨이퍼들을 포함한, 200-㎜ 웨이퍼, 300-㎜ 웨이퍼, 450-㎜ 웨이퍼일 수도 있다. 예를 들어, 기판은 상부에 증착된 적어도 하나의 실리콘 나이트라이드 층 및 적어도 하나의 실리콘 옥사이드 층을 가진 실리콘 웨이퍼일 수도 있다. 기판은 또한 다양한 토포그래픽 (topographical) 피처들을 포함할 수도 있다. 이러한 피처들은 좁고 그리고/또는 재차 들어간 개구들, 피처 내의 압축부들, 및 고 종횡비들 중 하나 이상을 특징으로 할 수 있다. 일부 실시예들에서, 피처는 적어도 약 2:1, 적어도 약 10:1, 적어도 약 20:1, 적어도 약 50:1 또는 그 이상의 종횡비를 가질 수도 있다.
도 1의 동작 102에서, 실리콘 소스로부터 실리콘은 하나 이상의 에천트 종 또는 에천트 종의 전구체들을 일반적으로 포함한 플라즈마에 제공된다. 이온들, 전자들, 라디칼들, 중성 종, 준-안정 종, 및 다른 종과 같은 다양한 종이 플라즈마 내에 존재할 수도 있다. 실리콘 소스는 플라즈마가 생성되고 그리고/또는 흐르는 곳 또는 그곳 근방에서의 구역으로서 규정된 플라즈마 구역에 제공될 수도 있다. 일부 실시예들에서, 플라즈마 구역은 기판의 업스트림에, 프로세스 챔버 내부 또는 프로세스 챔버 외부에 있다. 예를 들어, 기판의 업스트림 및 프로세스 챔버 내부의 플라즈마 구역은 샤워헤드와 기판 사이의 구역, 또는 샤워헤드에서의 또는 샤워헤드 근방의 구역일 수도 있다. 대안적으로, 기판의 업스트림 및 프로세스 챔버 외부의 플라즈마 구역은 예를 들어, 리모트 플라즈마 생성기 내에 있을 수도 있다. 일부 실시예들에서, 플라즈마 구역은 실리콘이 리모트 플라즈마 생성기의 다운스트림에서 플라즈마에 도입되도록 리모트 플라즈마 생성기의 다운스트림에 있을 수도 있다. 추가의 예들은 이하에 제공된다.
일부 실시예들에서, 실리콘은 복수의 소스들로부터, 예를 들어, 고체 실리콘 소스 및 유체 실리콘 소스 양자로부터 제공된다. 유체 실리콘 소스들은 가스 실리콘 소스 및 액체 실리콘 소스를 포함한다. 액체 실리콘 소스들은 증기 내에 비말 동반된 챔버에 전달될 수도 있다. 일부 실시예들에서, 실리콘 나이트라이드 대 실리콘 옥사이드 및 실리콘의 에칭 선택도는 2개 이상의 실리콘 소스를 사용함으로써 증가한다.
고체 실리콘 소스는 임의의 고체 실리콘-함유 화합물일 수도 있다. 예시적인 고체 실리콘 소스들은 실리콘, 석영, 실리콘 옥사이드, 실리콘 게르마늄, 실리콘 카바이드, 및 이들의 조합들을 포함한다. (대안적인 실시예들에서, 알루미늄 또는 티타늄과 같은, 다른 타입들의 고체들이 실리콘-함유 소스 대신에 사용될 수도 있지만, 이러한 고체 소스들은 기판 상의 오염을 방지하도록 주의하여 사용될 수도 있다.) 다양한 실시예들에서, 고체 실리콘 소스는 플라즈마 구역에 또는 플라즈마 구역 근방에 위치될 수도 있다. 일부 실시예들에서, 하드웨어 내의 고체 실리콘 소스의 위치는 선택도를 개선하도록 선택될 수도 있다. 고체 실리콘 소스가 배치될 수도 있는 위치들의 예들은 프로세스 챔버 내의 기판 위, 기판과 전극 사이, 기판과 샤워헤드 사이, 샤워헤드에 또는 샤워헤드 근방, 샤워헤드의 업스트림, 프로세스 챔버의 플라즈마 생성 구역 내, 그리고 리모트 플라즈마 생성기 내를 포함한다. 일부 실시예들에서, 고체 실리콘 소스들은 프로세스 챔버 내의 하나 이상의 위치들에 배치된다.
일부 실시예들에서, 고체 실리콘 소스는 프로세스 챔버의 컴포넌트일 수도 있다. 고체 실리콘 소스로 구성될 수도 있거나 고체 실리콘 소스를 포함할 수도 있는 프로세스 챔버 컴포넌트들의 예들은 가스 디퓨저 링들, 어댑터 링들, 및 샤워헤드들을 포함한다. 실리콘 소스는 또한 다른 경우에, 프로세스 챔버 벽에 부착되거나 다른 경우에 프로세스 챔버, 플라즈마 생성기, 또는 다른 적절한 플라즈마 구역 내에 배치되는 비기능성 컴포넌트일 수도 있다. 예를 들어, 실리콘 막대 (rod) 는 챔버 내에 배치될 수도 있다. 일부 실시예들에서, 고체 실리콘 소스는 이전에 설치된 에칭 툴 내에서 프로세스 챔버에 고정될 수도 있는 필드 개조 (retrofit) 키트의 컴포넌트 또는 추가의 컴포넌트이다. 일부 실시예들에서, 고체 실리콘 소스는 고체 실리콘 소스가 에칭 프로세스 동안 전적으로 또는 부분적으로 소비되도록 에칭 장치 하드웨어의 기능성 또는 비기능성 희생적 컴포넌트일 수도 있다.
동작 102에서 실리콘은 고체 실리콘 소스 대신에 또는 고체 실리콘 소스에 더하여, 유체 실리콘 소스로부터 제공될 수도 있다. 예시적인 유체 실리콘 소스들은 실란들 예를 들어, 실란 (SiH4), 디실란 (Si2H6), 테트라플루오로실란 또는 실리콘 테트라플루오라이드 (SiF4); 및 테트라클로로실란 (SiCl4); 테트라에틸 오소실리케이트 (TEOS); 및 테트라메틸실란 ((CH3)4Si) 을 포함한다. 상기에 기술된 바와 같이, 유체 실리콘 소스들은 가스 또는 액체로서 제공될 수도 있다. 일부 실시예들에서, 액체 실리콘 소스는 증기 상으로 플라즈마에 제공된다. 예를 들어, TEOS와 같은 액체 실리콘 소스는 증기 상으로 플라즈마에 제공되기 전에 버블러 (bubbler) 에서 기화될 수도 있다.
유체 실리콘 소스의 플로우 레이트는 에칭 동안 플라즈마를 이용하여 사용된 프로세스 가스들의 타입들 및 플로우 레이트들, 프로세스 챔버 체적, 및 기판 상에서 에칭될 실리콘 나이트라이드의 양에 따라 결정될 수도 있다. 에칭 프로세스가 프로세스 가스들의 보다 고 플로우 레이트를 수반한다면, 그러면 유체 실리콘 소스의 보다 고 플로우 레이트가 사용될 수도 있다. 일부 실시예들에서, 매우 희석된 유체 실리콘 소스 (예를 들어, 약 1 % 미만의 실리콘을 가진 가스) 는 선택도를 개선하는데 불충분할 수도 있다. 일부 실시예들에서, 실리콘 소스, 플루오르화 가스, 불활성 가스, 및 산화제들을 포함한, 플라즈마로 흐르는 가스들의 조성의 적어도 약 9 %는 유체 실리콘 소스이다. 일부 실시예들에서, 프로세스 챔버 내의 실리콘-함유 가스의 플로우 레이트는 에칭 장치의 플라즈마 구역 내로 흐르는 가스들의 총 플로우 레이트의 약 10 % 미만, 또는 약 5 % 미만이다. 일부 실시예들에서, 기판을 하우징하는 챔버 내로의 가스들의 총 플로우의 적어도 약 0.5 % (체적 측정) 는 실리콘 소스이다. 일부 실시예들에서, 가스들의 다른 타입들은 실리콘 소스, 예를 들어 일산화탄소와 결합하여 흐를 수도 있고, 이러한 가스들은 기판 상의 오염을 방지하도록 주의하여 흐를 수도 있다.
동작 104에서, 기판은 불소-함유 에천트 종에 노출된다. 개시된 실시예들은 플루오르화 가스가 에칭 종을 생성하도록 사용될 때 에칭 선택도를 개선하는데 적합하다. 플루오르화 가스는 임의의 적합한 불소-함유 에천트, 예를 들어 불소 (F2), 테트라플루오로탄소 (CF4), 헥사플루오로에탄 (C2F6), 헥사플루오로프로필렌 (C3F6), 옥타플루오로프로판 (C3F8), 1,3-헥사플루오로부타디엔 (C4F6), 옥타플루오로사이클로부탄 (C4F8), 퍼플루오로사이클로펜텐 (C5F8), 실리콘 헥사플루오라이드 (SiF6), 및 질소 트리플루오라이드 (NF3) 일 수도 있다. 다양한 실시예들에서, 플루오르화 가스는 탄화수소를 함유하지 않은 플루오르화 가스이다. 플라즈마가 점화될 때, 플루오르화 가스 그리고, 일부 경우들에서, 플라즈마 생성기 내의 다른 가스들은 에칭 종을 형성한다. 에칭 종은 이온들, 전자들, 라디칼들, 중성 종, 준-안정 종, 다른 종, 및 이들의 조합들을 포함할 수도 있다. 다양한 실시예들에서, 주요 에칭 종은 이온들 및 라디칼들을 포함한다.
일부 실시예들에서, CFx 또는 CHxFy (여기서 x 및 y는 정수들임) 의 화학식을 가진 탄화수소-함유 플루오르화 가스가 동작 104에서 사용될 수도 있다. 예들은 CH2F2, CH3F, 및 CHF3을 포함한다. 일부 이러한 실시예들에서, 탄화수소-함유 플루오르화 가스는 탄화수소를 함유하지 않은 플루오르화 가스에 더하여 사용될 수도 있다. 예를 들어, 일부 실시예들에서, 플루오르화 가스는 CF4 및 CH2F2의 조합을 포함한다. 일부 실시예들에서, 플루오르화 가스는 오직 불소-함유 화합물로서 CF4를 포함한다. 불활성 가스들은 플라즈마 생성기에 도입된 가스 혼합물 내에 존재할 수도 있거나 존재하지 않을 수도 있다. 예를 들어, 아르곤 및 CF4, CH2F2, CH3F, CHF3의 조합은 플라즈마 생성기에 도입될 수도 있다.
이전의 선택적인 에칭 기법들이 에칭으로부터 특정한 표면들을 보호하도록 폴리머들의 형성에 의존적인 반면에, 기술된 방법들의 다양한 실시예들은 중합 가스들의 사용을 회피할 수도 있다. 예를 들어, 사용된다면, 가스 혼합물 내의 탄화수소-함유 플루오르화 가스 또는 탄소-함유 플루오르화 가스의 백분율은 옥사이드들 및 실리콘에 대한 고 에칭 선택도를 여전히 유지하면서 약 5 % 미만일 수도 있다.
일부 실시예들에서, 본 명세서에 기술된 방법들은 기판 상에 중합 또는 보호 층을 형성하지 않고, 이는 보다 효율적인 에칭 프로세스를 용이하게 할 수도 있다. 그러나, 일부 실시예들에서, 개시된 실시예들은 또한 중합을 수반하는 방법들에서 실리콘 나이트라이드의 에칭 선택도를 개선하도록 사용될 수도 있다. 예를 들어, CH3F가 노출된 실리콘 옥사이드 또는 폴리-실리콘 층들을 보호하기 위해 기판의 표면 상에서 중합하도록 사용되는 프로세스들에서, 플라즈마에 실리콘 소스로부터 실리콘을 제공하는 것은 실리콘 나이트라이드의 에칭 선택도를 개선할 수도 있다.
기판은 또한 에칭 프로세스를 용이하게 하도록 하나 이상의 산화제들 및/또는 캐리어 가스와 같은, 추가의 프로세스 가스들에 노출될 수도 있다. 동작 104 동안, 실리콘 나이트라이드의 선택적인 에칭을 용이하게 하도록 사용된 추가의 프로세스들 가스들이 또한 챔버에 도입될 수도 있다. 다양한 실시예들에서, 하나 이상의 산화제들은 플루오르화 가스와 함께 흐르거나 플루오르화 가스가 흐르기 전에 흐른다. 일부 산화제들은 NOx의 화학식을 가질 수도 있다. 산화제들의 예들은 O2, N2, N2O, NO, NO2, 및 이들의 조합들을 포함한다. 다양한 실시예들에서, O2 및 N2O와 같은 산화제들과, 예를 들어, CFx (여기서 x는 정수임) (예를 들어, CF4); CHxFy (여기서 x 및 y는 정수들임) (예를 들어, CH2F2); CH3F; NF3; 또는 이들의 조합들과 같은 플루오르화 가스의 조합이 동작 104에서 흐를 수도 있다. 일부 실시예들에서, NO는 챔버에 직접 추가될 수도 있거나 2개 이상의 반응물질들을 사용하는 반응에 의해 형성될 수도 있다. NO를 사용하여 고 선택도로 실리콘 나이트라이드를 에칭하는 예들은 전체가 참조로서 본 명세서에 인용되는, 발명의 명칭이 "SELECTIVE NITRIDE ETCH" (대리인 문서 제 LAMRP146/3526-1US 호) 인, 2014년 12월 1일 출원된 미국 특허 출원 제 14/576,020 호에 상세히 기술된다. 실리콘 나이트라이드를 에칭하는 종에 일반적으로 기여하지 않는 아르곤과 같은 캐리어 가스와 달리, 산화제는 에천트 종에 기여할 수도 있다.
다양한 실시예들에 따르면, 플라즈마는 실리콘 소스가 도입되는 구역에서 생성될 수도 있거나 생성되지 않을 수도 있다. 예를 들어, 플라즈마는 실리콘 소스의 업스트림에서 생성될 수도 있고, 실리콘 소스는 고체 실리콘 소스를 지나 플라즈마를 흘림으로써 플라즈마에 도입되고, 플라즈마 생성기의 다운스트림, 등에서 플라즈마와 유체 실리콘 소스를 혼합한다. 일부 실시예들에서, 복수의 실리콘 소스들은 플라즈마 생성기에 대해 상이한 위치들에서 도입될 수도 있다. 예를 들어, 유체 실리콘 소스는 프로세스 챔버의 프로세스 구역의 업스트림의 플라즈마 생성기에 플루오르화 가스와 함께 도입될 수도 있고, 실리콘 소스는 프로세스 챔버 내부에 배치된다.
다양한 실시예들에서, 플라즈마는 유도 결합된 플라즈마, 용량 결합된 플라즈마, 마이크로파 여기된 플라즈마, 또는 임의의 타입의 다운스트림 플라즈마를 포함한 임의의 적절한 플라즈마일 수도 있다. 다양한 실시예들에서, 유도 결합된 플라즈마를 사용하여 개시된 실시예들에 따라 동작들을 수행하는 것은 보다 효율적일 수도 있다. 유도 결합된 플라즈마의 플라즈마 밀도는 용량 결합된 플라즈마의 플라즈마 밀도보다 보다 높을 수도 있다. 유도 결합된 플라즈마는 용량 결합된 플라즈마보다 보다 저 플라즈마 에너지를 가질 수도 있다.
플라즈마 내의 원자 불소의 고 농도는 에칭 선택도를 감소시킬 수도 있다. 고체 실리콘 소스의 존재는, 플라즈마로부터 생성된 원자 불소가 기판 상에서 재료들과 반응하기 보다는 고체 실리콘 소스와 반응하도록, 플라즈마 내의 원자 불소의 양을 감소시킬 수도 있다. 예를 들어, 플루오르화 가스로부터 생성된 에칭 종은 고체 실리콘 소스의 표면으로부터 실리콘을 에칭할 수도 있다. 고체 실리콘 소스로부터 실리콘은 플라즈마 내에 생성된 원자 불소와 반응할 수도 있고 플라즈마 내에 존재하는 원자 불소의 양을 고갈시킬 수도 있다. 유사하게, 플라즈마가 점화될 때, 플루오르화 가스로부터 생성된 플라즈마는 플라즈마 내에 존재하는 원자 불소의 양을 고갈시키도록 유체 실리콘 소스와 반응할 수도 있다.
플라즈마의 조건들은 에칭 종과 실리콘 소스 사이의 반응을 조절하도록 제어될 수도 있다. 예를 들어, 일부 실시예들에서, 고체 실리콘 소스의 온도는 선택적인 실리콘 나이트라이드 에칭 동안 고체 실리콘 소스로부터 에칭된 실리콘의 양을 조절하도록 제어될 수도 있다. 온도는 프로세싱 동안 실리콘 소스를 홀딩하거나 실리콘 소스에 부착된 컴포넌트의 온도를 변화시킴으로써 제어될 수도 있다. 일부 고체 실리콘 소스들로부터의 입자들은 플라즈마 생성 및 에칭 프로세스의 조건들에 따라 작은 디펙트들을 유발할 수도 있고, 그리고 이와 같이, 플라즈마는 고체 실리콘 소스의 에칭 레이트를 조절하고 디펙트들을 감소시키도록 조절될 수도 있다. 개시된 실시예들에서, 플라즈마 전력 및 주파수, 에칭 프로세스 동안 사용된 유체 실리콘 소스들 및 가스들의 플로우 레이트들, 온도, 압력, 실리콘 소스들의 위치, 고체 실리콘 소스들의 표면적, 및 고체 실리콘 소스들의 조성은 기판 상의 디펙트들의 양을 감소시키고, 그리고 실리콘 나이트라이드 대 실리콘 옥사이드, 실리콘 및/또는 다른 노출된 기판 표면 재료들의 고 에칭 선택도를 달성하도록 제어될 수도 있다.
동작 106에서, 기판 상의 실리콘 나이트라이드는 선택적으로 에칭되고, 에칭은 실리콘 옥사이드 및 실리콘과 같은 기판 상의 다른 노출된 재료들에 대해 선택적이다. 실리콘 소스로부터 실리콘의 도입은 에칭 레이트를 증가시킬 수도 있거나 감소시킬 수도 있다. 예를 들어, 에칭 종의 일부는 실리콘 소스와 반응할 수도 있고, 이로써 실리콘 나이트라이드의 에칭 레이트를 감소시킨다. 다른 실시예들에서, 에칭 레이트는 예를 들어 사용된 실리콘 소스가 실리콘 불소 (SiFx) 가스라면, 증가할 수도 있다. 플라즈마가 점화될 때, SiFx는 실리콘 및 불소 플라즈마를 생성하고, 이로써 일부 불소 플라즈마는 실리콘 나이트라이드를 선택적으로 에칭하기 위한 에칭 종으로서 사용된다.
도 1에 대해 기술된 방법을 위한 프로세스 조건들은 기판 사이즈, 기판 조성, 에칭 화학물질의 양들, 챔버 체적, 및 사용된 플라즈마 프로세싱 툴들의 타입들에 따라 결정된다. 챔버의 압력은 플라즈마 챔버의 타입에 따라 결정될 수도 있다. 챔버 압력은 챔버 내에서 플라즈마를 지속시키는데 적합한 레벨로 유지될 수도 있다. 일부 실시예들에서, 고체 실리콘 소스의 온도는 약 0 ℃ 내지 약 500 ℃, 또는 약 10 ℃ 내지 약 400 ℃, 또는 약 100 ℃, 또는 약 200 ℃, 또는 약 300 ℃, 또는 약 400 ℃의 온도이도록 모니터링 및 제어될 수도 있다. 일부 실시예들에서, 개시된 실시예들은 약 100 ℃ 초과의 온도에서 수행된다. 예를 들어, 고체 실리콘 소스가 플라즈마 생성기의 코일에 또는 코일 근방에 위치되는 경우에, 고체 실리콘 소스는 약 300 ℃ 초과의 온도까지 가열될 수도 있다.
다양한 실시예들에서, 프로세스 챔버 내의 에칭 프로세스는 고체 실리콘 소스의 온도와 상이하거나 유사한, 기판 또는 페데스탈 온도와 같은 온도에서 수행될 수도 있다. 온도는 페데스탈 온도와 커플링될 수도 있는 기판 온도일 수도 있다. 페데스탈 온도는 개시된 실시예들에 대해 기판 온도에 대한 프록시로서 사용될 수도 있다. 일부 실시예들에서, 에칭 프로세스는 약 0 ℃ 내지 약 80 ℃의 페데스탈 온도에서 수행된다. 일부 실시예들에서, 온도는 챔버 체적, 플루오르화 가스의 양, 실리콘 소스들의 양, 사용된 실리콘 소스들의 타입들, 및 플라즈마 프로세싱 조건들에 따라 보다 높거나 보다 낮을 수도 있다.
도 1을 다시 참조하면, 동작 108에서, 동작들 102 내지 106은 실리콘 나이트라이드를 선택적으로 에칭하도록 주기적으로 선택 가능하게 반복될 수도 있다. 달성된 에칭 선택도는 적어도 약 100:1, 또는 적어도 약 500:1, 또는 적어도 약 1000:1일 수도 있다. 일부 실시예들에서, 에칭 선택도는 무한하다.
일부 실시예들에서, 실리콘 나이트라이드가 선택적으로 에칭된 후에, 사후-프로세싱이 기판 상에서 수행된다. 탈플루오르 동작과 같은 예시적인 사후-프로세싱 동작들은 2014년 12월 1일 출원되고 발명의 명칭이 "SELECTIVE NITRIDE ETCH" (대리인 문서 제 LAMRP146/3526-1US 호) 인, 미국 특허 출원 제 14/576,020 호에 기술된다.
도 2a 및 도 2b는 개시된 실시예들이 수행될 수도 있는 패터닝 스킴의 예를 제공한다. 도 2a는 기판 층 (201), 실리콘 나이트라이드 층들 (202), 실리콘 다이옥사이드 층들 (203), 및 마스크 층 (204) 을 가진 반도체 기판의 단면을 도시한다. 도 2a에 도시된 단면은 2개의 트렌치들 또는 비아 홀들 (205) 을 형성하도록 이미 부분적으로 패터닝되었다. 기판 층 (201) 은 실리콘 기판, 실리콘 층 또는 또 다른 재료의 상단 부분일 수도 있다.
도 2b는 마스크 층 (204) 아래의 실리콘 나이트라이드 층들 (202) 의 노출된 부분들이 실리콘 다이옥사이드 층들 (203) 에 대해, 마스크 층 (204) 에 대해, 그리고 기판 층 (201) 에 대해 선택적으로 에칭되는 기판을 도시한다. 도 1에 대해 상기에 기술된 방법과 같은 방법은 도 2b에 도시된 것과 같은 구조체를 형성하기 위해 고 선택도로 실리콘 나이트라이드를 에칭하도록 사용될 수도 있다.
장치
개시된 실시예들은 플라즈마 에칭 챔버와 같은 프로세스 챔버 내에서 수행될 수도 있다. 예를 들어, 상기에 기술된 방법들은 유도 결합된 플라즈마 챔버 또는 용량 결합된 플라즈마 챔버, 또는 다운스트림 플라즈마 챔버 내에서 수행될 수도 있다.
도 3은 다양한 실시예들에 따른, 유도 결합된 플라즈마 에칭 챔버의 예의 개략도이다. 플라즈마 에칭 챔버 (300) 는 상부 전극 (302) 과 하부 전극 (304) 을 포함하고, 상부 전극 (302) 과 하부 전극 (304) 사이에 플라즈마가 생성될 수도 있다. 일부 실시예들에서, 상부 전극 (302) 과 하부 전극 (304) 사이의 구역은 플라즈마 구역 (350a) 이다. 실리콘 소스로부터 실리콘은 플라즈마 구역 (350a) 내에 생성된 플라즈마에 제공될 수도 있다. 일부 실시예들에서, 실리콘 어댑터 링 (350b) 과 같은 고체 실리콘 소스, 또는 플라즈마 챔버의 다른 컴포넌트들이 상부 전극 (302) 에 또는 상부 전극 (302) 근방에 위치될 수도 있다.
상부에 실리콘 나이트라이드 막을 갖고 상기에 기술된 바와 같은 기판 (399) 은 하부 전극 (304) 상에 배치될 수도 있고 ESC (electrostatic chuck) 에 의해 제자리에 홀딩될 수도 있다. 다른 클램핑 메커니즘들이 또한 채용될 수도 있다. 플라즈마 에칭 챔버 (300) 는 플라즈마를 기판 위에 유지하고 그리고 챔버 벽들로부터 떨어진 플라즈마 한정 링들 (306) 을 포함한다. 예를 들어 내벽의 역할을 하는 슈라우드 (shroud) 또는 돔과 같은, 다른 플라즈마 한정 구조체들이 채용될 수도 있다. 일부 실시예들에서, 플라즈마 에칭 챔버 (300) 는 임의의 이러한 플라즈마 한정 구조체들을 포함하지 않을 수도 있다.
도 3의 예에서, 플라즈마 에칭 챔버 (300) 는 상부 전극 (302) 에 연결된 RF (radio frequency) 소스 (310) 및 하부 전극 (304) 에 연결된 RF 소스 (312) 를 가진 2개의 RF 소스들을 포함한다. RF 소스들 (310 및 312) 각각은 2 ㎒, 13.56 ㎒, 27 ㎒, 및 60 ㎒를 포함하는 임의의 적절한 주파수의 하나 이상의 소스들을 포함할 수도 있다. 가스는 하나 이상의 가스 소스들 (314, 316, 및 318) 로부터 챔버 (300) 에 도입될 수도 있다. 예를 들어, 가스 소스 (314) 는 불활성 가스를 포함할 수도 있고, 가스 소스 (316) 는 (아산화질소 (N2O) 및 산소 (O2) 와 같은) 산화제를 포함할 수도 있고, 그리고 가스 소스 (318) 는 플루오르화 가스를 포함할 수도 있다. 또 다른 예에서, 가스 소스 (314) 는 불활성 가스를 포함할 수도 있고, 가스 소스 (316) 는 O2 및 N2O 또는 NOx 예를 들어 NO 또는 NO2를 포함할 수도 있고, 그리고 가스 소스 (318) 는 플루오르화 가스 (예를 들어, CF4, CH2F2) 를 포함할 수도 있다. 일부 실시예들에서, 실리콘은 가스 유입부 (320) 를 통해서와 같이, 플라즈마 구역 (350a) 으로 흐르는 유체 실리콘 소스로부터 플라즈마에 제공될 수도 있다. 예를 들어, 가스 소스 (314) 는 실리콘-함유 가스를 포함할 수도 있고, 가스 소스 (316) 는 N2O 및 O2를 포함할 수도 있고, 그리고 가스 소스 (318) 는 플루오르화 가스를 포함할 수도 있다. 가스들은 배기 펌프 (322) 를 통해 배기된 과잉 가스 및 반응 부산물들을 사용하여 유입부 (320) 를 통해 챔버에 도입될 수도 있다. 채용될 수도 있는 플라즈마 에칭 챔버의 일 예는 캘리포니아 프리몬트 소재의 Lam Research Corp.으로부터 입수 가능한 2300® Flex™ 반응성 이온 에칭 툴이다. 플라즈마 에칭 챔버들의 추가의 기술은 전체가 참조로서 본 명세서에 인용되는, 미국 특허 제 6,841,943 호 및 제 8,552,334 호에서 발견될 수도 있다.
도 3을 다시 참조하면, 제어기 (330) 는 RF 소스들 (310 및 312) 뿐만 아니라 가스 소스들 (314, 316, 및 318) 과 연관된 밸브들, 그리고 배기 펌프 (322) 에 연결된다. 일부 실시예들에서, 제어기 (330) 는 플라즈마 에칭 챔버 (300) 의 모든 액티비티들을 제어한다. 제어기 (330) 는 대용량 저장 디바이스 (340) 에 저장되고, 메모리 디바이스 (342) 내로 로딩되고, 그리고 프로세서 (344) 상에서 실행되는 제어 소프트웨어 (338) 를 실행할 수도 있다. 대안적으로, 제어 로직은 제어기 (330) 내에서 하드 코딩될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), PLD들 (Progra㎜able Logic Devices) (예를 들어, FPGA들 (field-progra㎜able gate arrays)) 등은 이들 목적들을 위해 사용될 수도 있다. 다음의 논의에서, "소프트웨어" 또는 "코드"가 사용되는 경우에, 기능적으로 비교 가능한 하드 코딩된 로직이 그 위치에서 사용될 수도 있다. 제어 소프트웨어 (338) 는 타이밍, 가스들의 혼합물, 가스 플로우 레이트들, 챔버 압력, 챔버 온도, 고체 실리콘 소스 온도, 웨이퍼 또는 페데스탈 온도, RF 주파수, RF 전력 레벨들, 기판 페데스탈, 척 및/또는 서셉터 위치, 및 플라즈마 에칭 챔버 (300) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 제어 소프트웨어 (338) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들은 다양한 프로세스 툴 프로세스들을 실시하도록 사용된 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 제어 소프트웨어 (338) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시예들에서, 제어 소프트웨어 (338) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 제어기 (330) 와 연관된 대용량 저장 디바이스 (340) 및/또는 메모리 디바이스 (342) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 및 RF 소스 제어 프로그램들을 포함한다.
프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은 플루오르화 가스들, 유체 실리콘 소스들, 산화제들) 및 플로우 레이트들을 제어하고 선택 가능하게 챔버 내의 압력을 안정화하도록 에칭 전에 챔버 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 예를 들어, 챔버의 배기 시스템의 쓰로틀 밸브, 챔버 내로의 가스 플로우, 등을 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수도 있다. RF 소스 제어 프로그램은 본 명세서의 실시예들에 따라 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 제어기 (330) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 제어기 (330) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 페데스탈 온도, 고체 실리콘 소스 온도, 압력, (RF 바이어스 전력 레벨들, 멀티-존 코일의 존들 내의 전류와 같은) 플라즈마 조건들 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는, 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (330) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 플라즈마 에칭 챔버 (300) 의 아날로그 출력 접속부 및 디지털 출력 접속부 상에 출력될 수도 있다. 모니터링될 수도 있는 센서들의 비제한적인 예들은 질량 유량 제어기들, (압력계들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouple), 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
제어기 (330) 는 상기 기술된 선택적인 에칭 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 RF 바이어스 전력 레벨, 멀티-존 코일의 존들 내의 전류, 압력, 페데스탈 온도, 고체 실리콘 소스 온도, 가스 플로우 레이트, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따라 실리콘 나이트라이드 막들을 선택적으로 에칭하도록 파라미터들을 제어할 수도 있다.
제어기 (330) 는 통상적으로 본 장치가 개시된 실시예들에 따른 방법을 수행하기 위해 인스트럭션들을 실행하도록 구성된 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 개시된 실시예들에 따른 프로세스 동작들을 제어하기 위한 인스트럭션들을 포함하는 머신-판독 가능 매체는 예를 들어, 상기에 기술된 바와 같이, 제어기 (330) 와 커플링될 수도 있다.
일부 구현예들에서, 제어기 (330) 는 상술한 예들의 일부일 수도 있는 시스템의 일부인 시스템 제어기의 일부일 수도 있거나 시스템 제어기의 일부를 형성할 수도 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 시스템 제어기는, 시스템의 프로세싱 조건들 및/또는 타입에 따라서, 예를 들어 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, RF 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 시스템 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 시스템 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 기판의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
시스템 제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 시스템 제어기는 기판 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 시스템 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 시스템 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 시스템 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 스트립 챔버 또는 모듈 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 시스템 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
대안적으로, 개시된 실시예들은 도 4에 도시된 챔버 (400) 와 같은 유도 결합된 플라즈마 에칭 챔버 내에서 실시될 수 있다. 챔버 (400) 는 챔버의 하부 벽 내의 유출부 (404) 에 연결된 진공 펌프에 의해 목표된 진공 압력으로 유지된 내부 (402) 를 포함한다. 내부 (402) 는 플라즈마가 생성될 수도 있는 플라즈마 구역 (450) 을 포함한다. 고체 실리콘 소스는 챔버 (400) 의 컴포넌트일 수도 있거나, 챔버 (400) 의 컴포넌트들에 부착될 수도 있다. 일부 실시예들에서, 어댑터 링 (450a) 과 같은 고체 실리콘 소스는 가스 분배 플레이트 (424) 에 또는 가스 분배 플레이트 (424) 근방에 배치되거나 원통형 또는 원추형 가열된 라이너 (426) 에 또는 원통형 또는 원추형 가열된 라이너 (426) 근방에 배치될 수도 있다. 일부 실시예들에서, 고체 실리콘 소스는 챔버 (400) 내의 디퓨저 링 (미도시), 막대 (미도시), 또는 실리콘 피스 (미도시) 일 수도 있다.
플루오르화 가스와 같은 에칭 가스는 유전체 윈도우 (410) 의 밑면 주위에서 연장하는 플레넘 (408) 에 하나 이상의 가스 소스들 (406) 로부터의 가스를 공급하도록 샤워헤드 장치에 공급될 수도 있다. 유체 실리콘 소스는 또한 플레넘 (408) 에 대해 샤워헤드 장치에 공급될 수도 있다. 고밀도 플라즈마는 RF 소스 (412) 로부터 챔버의 상단 상의 유전체 윈도우 (410) 외부에 하나 이상의 턴들 (turns) 을 가진 평면의 나선형 코일과 같은 외부 RF 안테나 (414) 로 RF 에너지를 공급함으로써 챔버 내에 생성될 수 있다. 플라즈마 생성 소스는 챔버의 상부 단부 상에 진공 밀봉 방식으로 제거 가능하게 장착된 모듈식 장착 장치의 일부일 수 있다.
실리콘 나이트라이드를 포함한 기판과 같은 반도체 기판 (416) 은 챔버의 측벽으로부터 모듈식 장착 장치에 의해 제거 가능하게 지지된 캔틸레버 척 장치와 같은 기판 지지부 (418) 상의 챔버 내에 배치된다. 기판 지지부 (418) 는 기판의 프로세싱 동안 기판으로 RF 바이어스를 공급하기 위한 하단 전극을 포함할 수 있다.
기판 지지부 (418) 는 전체 기판 지지부/지지 암 어셈블리가 챔버의 측벽 내의 개구를 통해 어셈블리를 통과함으로써 챔버로부터 제거될 수 있도록 캔틸레버 방식으로 장착된 지지 암의 일 단부에 있다. 기판 지지부 (418) 는 정전 척 (420) 과 같은 척킹 (chucking) 장치를 포함할 수 있고 기판 (416) 은 유전체 포커스 링 422) 에 의해 둘러싸일 수 있다. 척은 에칭 프로세스 동안 기판으로 RF 바이어스를 인가하는 것으로부터 RF 바이어싱 전극을 포함할 수 있다. 하나 이상의 가스 소스들 (406) 에 의해 공급된 에칭 가스 및 유체 실리콘 소스는 윈도우 (410) 와 하부 가스 분배 플레이트 (424) 사이의 채널들을 통해 흐를 수 있고 플레이트 (424) 내의 가스 유출부들을 통해 내부 (402) 에 들어갈 수 있다. 예를 들어, 실란 가스와 같은 유체 실리콘 소스는 가스 소스 (406) 로부터 가스 분배 플레이트 (424) 를 통해 플라즈마 구역 (450) 으로 흐를 수도 있다. 챔버는 또한 플레이트 (424) 로부터 연장하는 실린더형 또는 원추형 가열된 라이너 (426) 를 포함할 수 있다.
도 3에 대해 상기에 기술된 바와 같은 시스템 제어기는 도 4의 에칭 챔버를 사용하여 구현될 수도 있다.
도 5는 개시된 실시예들을 수행하기 위해 사용될 수도 있는 대안적인 에칭 반응기 (501) 의 다양한 컴포넌트들의 개략도이다. 도시된 바와 같이, 반응기 (501) 는 반응기 (501) 의 다른 컴포넌트들을 둘러싸고 플라즈마를 담는 역할을 하는 프로세스 챔버 (503) 를 포함한다. 일 예에서, 프로세스 챔버 벽들은 알루미늄, 알루미늄 옥사이드, 및/또는 다른 적합한 재료로 이루어진다. 도 5에 도시된 실시예는 2개의 플라즈마 소스들: 상단 RF 코일 (505) 및 측면 RF 코일 (507) 을 갖는다. 일부 실시예들에서, 이 코일 구성은 상단 RF 코일 (505) 이 하나의 존을 구성하고 측면 RF 코일 (507) 이 또 다른 존을 구성하는 멀티-존 코일일 수도 있다. 상단 RF 코일 (505) 은 중간 주파수 또는 MFRF 코일이고 측면 RF 코일 (507) 은 저주파수 또는 LFRF 코일이다. 도 5에 도시된 실시예에서, MFRF 주파수는 430 내지 470 ㎑일 수도 있고 LFRF 주파수는 340 내지 370 ㎑일 수도 있다. 그러나, 단일의 플라즈마 소스들을 가진 장치들이 사용될 수도 있다.
고체 실리콘 소스들 (550a 및 550b) 은 상단 RF 코일 (505) 및 측면 RF 코일 (507) 의 또는 상단 RF 코일 (505) 및 측면 RF 코일 (507) 근방의 반응기의 벽들 (555) 을 따라 배치될 수도 있다. 일부 실시예들에서, 고체 실리콘 소스들 (550a 및 550b) 은 어댑터 링들이다. 일부 실시예들에서, 고체 실리콘 소스는 실리콘 디퓨저 링 (550c) 이다. 다양한 실시예들에서, 가스는 하나 이상의 가스 소스들 (미도시) 로부터 반응기 (501) 에 도입될 수도 있다. 예를 들어, 유체 실리콘 소스는 플라즈마 구역 (550d) 내로 반응기 (501) 에 도입될 수도 있다. 가스 소스는 또한 불활성 가스를 포함할 수도 있고, 또 다른 가스 소스는 (N2O 및 O2와 같은) 산화제를 포함할 수도 있고 그리고 또 다른 가스 소스는 플루오르화 가스 (예를 들어, CF4) 를 포함할 수도 있다. 이러한 가스들은 반응기 (501) 에 도입될 수도 있고 플라즈마 구역 (550d) 내에서 플라즈마를 생성할 수도 있다. 일 예에서, 실란과 같은 실리콘-함유 가스, 불활성 가스, 산화제, 및 플루오르화 가스는 플라즈마 구역 (550d) 에서 또는 플라즈마 구역 (550d) 근방에서 플라즈마를 생성하도록 반응기 (501) 내로 도입된다.
반응기 내에서, 웨이퍼 페데스탈 (509) 은 기판 (511) 을 지지한다. 열 전달 유체를 공급하기 위한 라인 (513) 을 포함한 열 전달 서브시스템은 기판 (511) 의 온도를 제어한다. 웨이퍼 척 및 열 전달 유체 시스템은 적절한 웨이퍼 온도들을 유지하는 것을 용이하게 할 수 있다.
HFRF 소스 (515) 의 고주파수 RF는 기판 (511) 을 전기적으로 바이어싱하고 일부 실시예들에서 에칭 동작 동안 기판 상으로 대전된 에칭 종을 인출하는 역할을 한다. 소스 (515) 로부터의 전기 에너지는 예를 들어 전극 또는 용량 커플링을 통해 기판 (511) 에 커플링된다. 기판에 인가된 바이어스는 RF 바이어스일 필요가 없음을 주의하라. 다른 주파수들 및 DC 바이어스가 또한 사용될 수도 있다. 다양한 실시예들에서, 바이어스는 에칭 프로세스 동안 사용되지 않는다.
도 3에 대해 상기에 기술된 바와 같은 시스템 제어기는 도 5의 에칭 챔버를 사용하여 구현될 수도 있다.
도 3, 도 4, 및 도 5에 대해 상기에 기술된 반응기들 및 모듈들과 같은 반응기들 및 모듈들은 장치 또는 툴 내에 있을 수도 있다. 일반적으로, 장치는 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함한) 하나 이상의 챔버들 또는 "반응기들"을 포함할 수도 있다. 에칭 챔버는 프로세싱을 위해 하나 이상의 웨이퍼들을 하우징할 수도 있다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 내에 (상기 위치 내의 움직임, 예를 들어, 회전, 진동, 또는 다른 교반이 있거나 없이) 웨이퍼를 유지한다. 프로세스 중에, 웨이퍼 각각은 페데스탈, 웨이퍼 척, 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 웨이퍼가 가열되는 특정한 동작들을 위해, 장치는 가열 플레이트와 같은 히터를 포함할 수도 있다.
본 명세서에 기술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전 패널들 등의 제조 또는 제작을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상적으로, 반드시 그러한 것은 아니지만, 이러한 툴들/프로세스들은 공통 제조 설비 내에서 함께 사용 또는 실시될 것이다. 막의 리소그래피 패터닝은 통상적으로 각각 복수의 가능한 툴들을 사용하여 인에이블되는 다음의 동작들 중 일부 또는 모두를 포함하고, 이 동작들은: (1) 스핀 온 툴 또는 스프레이 온 툴을 사용하여, 워크피스, 즉, 기판 상에 포토레지스트를 도포하는 동작; (2) 고온 플레이트 또는 노 또는 UV 경화 툴을 사용하여 포토레지스트를 경화하는 동작; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하여 포토레지스트를 가시광선 또는 UV 광 또는 x 선 광에 노출시키는 동작; (4) 습식 벤치 (wet bench) 와 같은 툴을 사용하여 레지스트를 선택적으로 제거하여 이를 패터닝하도록 레지스트를 현상하는 동작; (5) 건식 또는 플라즈마 보조된 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스에 전사하는 동작; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼 (stripper) 와 같은 툴을 사용하여 레지스트를 제거하는 동작을 포함한다.
실험
실험 1
실리콘 소스의 존재가 실리콘 옥사이드 및 폴리-실리콘에 대한 실리콘 나이트라이드의 에칭 선택도를 개선한다는 것을 나타내는 실험이 실시되었다. 3개의 프로세스들이 테스트되었고, 프로세스 각각은 2개의 상이한 챔버 환경들에서 테스트되었다. 하나의 챔버 환경은 실리콘 소스 없이 프로세스들을 수행하도록 사용되었다. 또 다른 챔버 환경은 실리콘 소스를 갖고 프로세스들을 수행하도록 사용되었다. 실리콘 소스 챔버에서, 10개의 고체 실리콘 칩들은 코일들 근방의 (도 5에 대해 상기에 기술된 것과 유사한) 플라즈마 생성기의 벽들에 고정되었다.
프로세스 A에서, 실리콘 나이트라이드, 실리콘 옥사이드, 및 폴리-실리콘을 포함한 기판은 2000 W의 플라즈마 전력의 유도 결합된 플라즈마를 사용하여 10 ℃의 페데스탈 온도 및 1.5 Torr의 챔버 압력에서 N2O, O2, 및 CF4에 노출되었다.
프로세스 B에서, 실리콘 나이트라이드, 실리콘 옥사이드, 및 폴리-실리콘을 포함한 기판은 2000 W의 플라즈마 전력으로 설정된 유도 결합된 플라즈마를 사용하여 10 ℃의 페데스탈 온도 및 1.5 Torr의 챔버 압력에서 N2O, O2, CF4, 및 CH2F2에 노출되었다.
프로세스 C에서, 실리콘 나이트라이드, 실리콘 옥사이드, 및 폴리-실리콘을 포함한 기판은 2000 W의 플라즈마 전력으로 설정된 유도 결합된 플라즈마를 사용하여 10 ℃의 페데스탈 온도 및 1.5 Torr의 챔버 압력에서 CF4/CH2F2의 상이한 비로 N2O, O2, CF4에 노출되었다.
옥사이드에 대한 실리콘 나이트라이드의 에칭 선택도는 표 및 도 6a의 막대 그래프에 요약된다. 도시된 바와 같이, 모든 프로세스에 대해, 에칭 선택도는 실리콘 소스의 존재시에 개선되었고 - 프로세스 A에 대해, 에칭 선택도는 33에서 47로 개선되었고; 프로세스 B에 대해, 에칭 선택도는 56에서 2000으로 개선되었고; 프로세스 C에 대해, 에칭 선택도는 57에서 1168로 개선되었다.
폴리-실리콘에 대한 실리콘 나이트라이드의 에칭 선택도는 표 및 도 6b의 막대 그래프에 요약된다. 도 6a에 도시된 옥사이드에 대한 선택도 결과들과 유사하게, 모든 프로세스에 대해, 에칭 선택도는 실리콘 소스의 존재시에 개선되었고 - 프로세스 A에 대해, 에칭 선택도는 5에서 115로 개선되었고; 프로세스 B에 대해, 에칭 선택도는 2에서 2000으로 개선되었고; 프로세스 C에 대해, 에칭 선택도는 3.4에서 908로 개선되었다.
실험 2
실리콘 소스를 사용하거나 실리콘 소스를 사용하지 않고 TEOS 및 폴리-실리콘에 대한 실리콘 나이트라이드의 에칭 선택도를 비교하는 실험이 실시되었다. 시험들의 제 1 세트에 대한 실험들은 2000 W의 전력으로 설정된 유도 결합된 플라즈마 (ICP) 를 사용하여 1.5 Torr의 압력에서 실시되었다. N2O 및 O2는 10 ℃의 페데스탈 온도에서 에칭을 용이하게 하도록 흘렀다.
시험들의 제 1 세트에서, PECVD (plasma-enhanced chemical vapor deposition) 에 의해 증착된 실리콘 나이트라이드, 테트라에틸 오소실리케이트 (TEOS), 및 폴리-실리콘의 층을 포함한 기판은 실리콘 소스 없이 탄소 테트라플루오라이드 (CF4) 및 산소와 아산화질소 (O2/N2O) 의 혼합물에 노출되었다. 이들 6개의 테스트들에 대한 선택도들은 표 1에 요약된다.
실리콘 나이트라이드 에칭 선택도 ( Si -소스 없음)
시험 # CF x / CH x F y 로우 레이트 에칭 레이트 (Å/분) 선택도
LP SiN PECVD-증착된 SiN TEOS 폴리 -실리콘 LP SiN/ TEOS LP SiN / 폴리 -실리콘
1 1.85 202.8 592.4 3.5 -59.6 57.4 -3.4
2 1.5 172.3 960.1 3.1 293.9 56.2 0.6
3 1.2 178.7 933.2 2.7 180.9 67.0 1.0
4 1 164.3 859.0 2.3 125.1 70.4 1.3
5 0.8 208.4 685.8 2.1 78.9 100.8 2.6
6 0.6 196.0 399.0 1.7 115.7 117.6 1.7
시험들의 제 2 세트에 대한 실험들은 약 1000 W의 ICP 전력을 사용하여, 적어도 1 Torr의 압력에서 실시되었다. 에칭 프로세스를 위해, 약 10,000 sccm의 N2O 및 O2가 함께 에칭을 용이하게 하도록 챔버로 흘렀다.
시험들의 제 2 세트에서, PECVD-증착된 실리콘 나이트라이드, TEOS, 및 폴리-실리콘의 층을 포함한 기판은 리모트 플라즈마 생성기의 벽들에 대한 코일들 근방에 부착된 10개의 고체 실리콘 칩들을 가진 챔버 내에서 CF4 및 O2/N2O에 노출되었다. 이들 테스트들에 대한 에칭 선택도들은 표 2에 요약된다.
실리콘 나이트라이드 에칭 선택도 ( Si -소스 있음)
시험 # CF x / CH x F y 플로 우 레이트 비 Ar 플로우 레이트(sccm) 에칭 레이트 (Å/분) 선택도
PECVD-증착된 SiN TEOS 폴리 -실리콘 SiN/TEOS SiN / 폴리 -실리콘
1 1.5 0 232.2 -1.7 -0.5 >1000 >1000
2 1.5 300 385.9 -0.6 0.7 >1000 578.8
3 1.8 0 544.9 0.5 0.6 1167.6 908.1
4 1.7 0 534.2 0.8 0.6 667.8 890.3
5 1.7 300 666.3 1.4 0.6 476.0 1110.6
선택도의 상당한 증가가 표 1에 비해 표 2에 나타난다는 것을 주의하라. TEOS 및 폴리-실리콘 양자에 대한 실리콘 나이트라이드의 표 2의 에칭 선택도들은 적어도 400이었고, 일부 시험들에서, 1000 초과이었다.
실험 3
실리콘 디퓨저 소스를 사용하거나 사용하지 않고 TEOS 및 폴리-실리콘에 대한 실리콘 나이트라이드의 에칭 선택도를 비교하는 실험이 실시되었다. 실리콘 소스 없이 TEOS에 대한 실리콘 나이트라이드의 에칭 선택도를 결정하는 시험들의 제 1 세트가 실시되었다. 실리콘 나이트라이드 및 TEOS를 포함한 기판은 45 초 동안 2000W ICP 플라즈마, 1.5 Torr의 챔버 압력 및 10 ℃의 페데스탈 온도에서 100 sccm의 NF3, 2000 sccm의 N2, 3000 sccm의 N2O, 및 4900 sccm의 O2에 노출되었다. 이어서 기판은 1000 W 바이어스가 동일한 챔버 압력 및 페데스탈 온도에서 45 초의 지속기간 동안 인가되는 동안, 2000 W ICP 플라즈마 전력에서 4750 sccm의 N2O 및 4750 sccm의 O2에 노출되었다. SiN 대 TEOS의 선택도는 65:1로 결정되었다.
(도 5에 대해 상기에 기술된 것과 유사한) 플라즈마 생성기 내의 실리콘 소스 디퓨저 링를 사용하여 TEOS에 대한 실리콘 나이트라이드의 에칭 선택도를 결정하는 시험들의 제 2 세트가 실시되었다. 실리콘 나이트라이드 및 TEOS를 포함한 기판은 45 초 동안 2000W ICP 플라즈마, 1.5 Torr의 챔버 압력 및 10 ℃의 페데스탈 온도에서 NF3, N2, N2O, 및 O2에 노출되었다. 이어서 기판은 1000 W 바이어스가 동일한 챔버 압력 및 페데스탈 온도에서 45 초의 지속기간 동안 인가되는 동안 2000W ICP 플라즈마 전력에서 동일한 가스 플로우에 노출되었다. SiN 대 TEOS의 선택도는 무한한 것으로 결정되었다.
실험 4
또 다른 실험에서, 비-N2O-계 화학물질이 ICP 전력 없이 torr-이하 압력에서 용량 결합된 플라즈마에 사용되었다. 챔버 압력은 500 mTorr로 설정되었고, 100 sccm CF4는 챔버로 흘렀고, 그리고 바이어스는 30 초 동안 100 ℃에서 200 W의 전력으로 인가되었다. 일 시험에서, 플라즈마는 세라믹 돔 내에서 생성되었고 실리콘 소스는 제공되지 않았다. 옥사이드에 대한 실리콘 나이트라이드의 선택도는 2.3이었고, 폴리-실리콘에 대한 실리콘 나이트라이드의 선택도는 0.85이었다 (예를 들어, 폴리-실리콘은 실리콘 나이트라이드보다 보다 빨리 에칭되었다). 제 2 시험에서, 플라즈마는 실리콘 소스를 포함한 세라믹 돔 내에서 생성되었다. 옥사이드에 대한 실리콘 나이트라이드의 선택도는 2.9이었고, 폴리-실리콘에 대한 실리콘 나이트라이드의 선택도는 1.56이었다 (폴리-실리콘은 실리콘 나이트라이드보다 보다 느리게 에칭되었다). 폴리-실리콘 에칭 레이트는 44 %만큼 감소되었다. 이들 결과들은 개시된 실시예들이 비-N2O계 실리콘 나이트라이드 에칭 프로세스들에 대한 에칭 선택도를 개선한다는 것을 나타낸다.
결론
전술한 실시예들이 이해의 명료성의 목적들을 위해 일부 상세히 기술되었을지라도, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있음이 분명해질 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있음이 주의되어야 한다. 따라서, 본 실시예들은 제한적인 것이 아니라 예시적인 것으로서 고려되고, 실시예들은 본 명세서에 주어진 상세사항들에 제한되지 않는다.

Claims (35)

  1. 기판 상의 실리콘 나이트라이드를 에칭하는 방법에 있어서,
    상기 방법은,
    (a) 플라즈마 생성기에 플루오르화 가스를 도입하는 단계 및 불소-함유 에칭 종을 형성하도록 플라즈마를 점화하는 단계;
    (b) 실리콘 소스로부터 실리콘을 상기 플라즈마에 제공하는 단계; 및
    (c) 상기 기판 상의 다른 실리콘-함유 재료들에 대해 상기 실리콘 나이트라이드를 선택적으로 에칭하도록 상기 불소-함유 에칭 종에 상기 실리콘 나이트라이드를 노출시키는 단계를 포함하는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  2. 제 1 항에 있어서,
    상기 실리콘 소스는 상기 기판의 업스트림에서 상기 플라즈마에 제공되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  3. 제 1 항에 있어서,
    상기 실리콘 소스는 리모트 플라즈마 생성기 내의 상기 플라즈마에 제공되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 소스는 상기 기판과 상기 기판을 하우징하는 챔버의 샤워헤드 사이의 상기 플라즈마에 제공되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 소스는 상기 기판을 하우징하는 챔버의 샤워헤드에 또는 샤워헤드 근방에서 플라즈마에 제공되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 소스는 2개 이상의 실리콘 소스들을 포함하는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 실리콘 소스는 고체인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  8. 제 7 항에 있어서,
    상기 실리콘 소스는 석영, 실리콘, 실리콘 게르마늄, 실리콘 카바이드, 및 실리콘 옥사이드로 구성된 그룹으로부터 선택된 실리콘-함유 화합물인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  9. 제 7 항에 있어서,
    상기 실리콘 소스는 실리콘을 포함한 어댑터 링인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  10. 제 7 항에 있어서,
    상기 실리콘 소스는 실리콘을 포함한 가스 디퓨저인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  11. 제 7 항에 있어서,
    상기 기판은 샤워헤드를 포함한 챔버 내에 하우징되고,
    상기 샤워헤드는 실리콘을 포함하는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  12. 제 7 항에 있어서,
    상기 실리콘 소스는 상기 플라즈마 생성기의 벽에 부착되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 실리콘 소스는 유동성인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  14. 제 13 항에 있어서,
    상기 기판을 하우징하는 챔버 내로의 가스들의 총 플로우의 적어도 약 0.5 % (체적) 는 상기 실리콘 소스인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  15. 제 13 항에 있어서,
    상기 실리콘 소스는 실란, 디실란, 실리콘 테트라플루오라이드, 테트라클로로실란, 테트라에틸 오소실리케이트, 및 테트라메틸실란으로 구성된 그룹으로부터 선택된 실리콘-함유 화합물인, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  16. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플루오르화 가스는 비-중합 불소-함유 화합물을 포함하는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  17. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 실리콘 소스는 상기 에칭 종 내에 생성된 원자 불소를 청소하는 (scavenge), 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  18. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 다른 실리콘-함유 재료들은 실리콘 옥사이드, 폴리-실리콘, 및 실리콘으로 구성된 그룹으로부터 선택되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  19. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 단계 (b) 동안 하나 이상의 산화제 가스들을 도입하는 단계를 더 포함하는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  20. 제 19 항에 있어서,
    상기 산화제 가스들은 산소, 아산화질소, 일산화질소, 질소, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  21. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 플루오르화 가스는 F2, SF6, CF4, CHF3, CH2F2, NF3, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 기판 상의 실리콘 나이트라이드를 에칭하는 방법.
  22. 기판을 프로세싱하는 방법에 있어서,
    상기 방법은,
    실리콘 소스로부터 실리콘을 플라즈마에 제공함으로써 상기 기판 상의 다른 실리콘-함유 재료들에 대해 실리콘 나이트라이드를 선택적으로 에칭하는 단계를 포함하고,
    상기 플라즈마는 상기 실리콘 나이트라이드를 선택적으로 에칭하도록 사용된 에칭 종을 형성하는, 기판을 프로세싱하는 방법.
  23. 반도체 기판들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    (a) 실리콘 나이트라이드를 포함한 기판을 홀딩하기 위한 샤워헤드 및 페데스탈을 각각 포함한, 하나 이상의 스테이션들;
    (b) 진공에 커플링하기 위한 적어도 하나의 유출부;
    (c) 가스 소스들에 커플링하기 위한 하나 이상의 프로세스 가스 유입부들;
    (d) 실리콘 소스;
    (e) 플라즈마 생성기; 및
    (f) 상기 장치 내에서 동작들을 제어하기 위한 제어기를 포함하고,
    상기 제어기는,
    (i) 상기 플라즈마 생성기에 플루오르화 가스를 포함한 가스들을 도입하고 에칭 종을 형성하도록 플라즈마를 점화하기 위한 머신-판독 가능 인스트럭션; 및
    (ii) 상기 하나 이상의 스테이션들에 상기 에칭 종을 도입하기 위한 머신-판독 가능 인스트럭션을 포함하고,
    상기 실리콘 소스는 상기 인스트럭션들 (i) 및 (ii) 동안 상기 플라즈마에 제공되는, 반도체 기판들을 프로세싱하기 위한 장치.
  24. 제 23 항에 있어서,
    상기 실리콘 소스는 2개 이상의 실리콘 소스들을 포함하고, 상기 실리콘 소스 각각은 고체 또는 가스인, 반도체 기판들을 프로세싱하기 위한 장치.
  25. 제 23 항에 있어서,
    상기 실리콘 소스는 상기 기판의 업스트림에 있는, 반도체 기판들을 프로세싱하기 위한 장치.
  26. 제 23 항에 있어서,
    상기 실리콘 소스는 상기 하나 이상의 스테이션들의 상기 샤워헤드에 또는 상기 샤워헤드 근방에 위치된, 반도체 기판들을 프로세싱하기 위한 장치.
  27. 제 23 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 실리콘 소스는 고체인, 반도체 기판들을 프로세싱하기 위한 장치.
  28. 제 27 항에 있어서,
    상기 실리콘 소스는 가스 디퓨저인, 반도체 기판들을 프로세싱하기 위한 장치.
  29. 제 27 항에 있어서,
    상기 실리콘 소스는 석영인, 반도체 기판들을 프로세싱하기 위한 장치.
  30. 제 27 항에 있어서,
    상기 실리콘 소스는 실리콘, 실리콘 게르마늄, 실리콘 카바이드, 및 실리콘 옥사이드로부터 선택된 실리콘-함유 화합물인, 반도체 기판들을 프로세싱하기 위한 장치.
  31. 제 23 항 내지 제 25 항 중 어느 한 항에 있어서,
    상기 실리콘 소스는 유동성인, 반도체 기판들을 프로세싱하기 위한 장치.
  32. 제 31 항에 있어서,
    상기 실리콘 소스는 실란, 디실란, 실리콘 테트라플루오라이드, 테트라클로로실란, 테트라에틸 오소실리케이트, 및 테트라메틸실란으로 구성된 그룹으로부터 선택된 실리콘-함유 화합물인, 반도체 기판들을 프로세싱하기 위한 장치.
  33. 제 23 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 플루오르화 가스는 F2, SF6, CF4, CH2F2, NF3, 및 이들의 조합들로 구성된 그룹으로부터 선택되는, 반도체 기판들을 프로세싱하기 위한 장치.
  34. 제 23 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 플라즈마 생성기는 멀티-존 코일을 포함하고, 상기 실리콘 소스는 상기 멀티-존 코일의 하나 이상의 존들에 또는 상기 하나 이상의 존들 근방에 위치된 상기 플라즈마 생성기 내에 하나 이상의 어댑터 링들을 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
  35. 제 23 항 내지 제 26 항 중 어느 한 항에 있어서,
    상기 인스트럭션 (i) 에서 상기 가스들은 산화제를 포함하는, 반도체 기판들을 프로세싱하기 위한 장치.
KR1020160020748A 2015-02-23 2016-02-22 실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법 KR20160103184A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562119670P 2015-02-23 2015-02-23
US62/119,670 2015-02-23
US14/676,710 US9911620B2 (en) 2015-02-23 2015-04-01 Method for achieving ultra-high selectivity while etching silicon nitride
US14/676,710 2015-04-01

Publications (1)

Publication Number Publication Date
KR20160103184A true KR20160103184A (ko) 2016-08-31

Family

ID=56693170

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160020748A KR20160103184A (ko) 2015-02-23 2016-02-22 실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법

Country Status (6)

Country Link
US (2) US9911620B2 (ko)
JP (1) JP2016157940A (ko)
KR (1) KR20160103184A (ko)
CN (1) CN105914146B (ko)
SG (1) SG10201601329UA (ko)
TW (1) TW201642339A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037569A (ko) * 2016-10-04 2018-04-12 어플라이드 머티어리얼스, 인코포레이티드 유통 소스를 구비하는 챔버
KR20190107158A (ko) * 2017-02-06 2019-09-18 램 리써치 코포레이션 수소 활성화된 원자 층 에칭

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6670672B2 (ja) * 2016-05-10 2020-03-25 東京エレクトロン株式会社 エッチング方法
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
WO2018044713A1 (en) * 2016-08-29 2018-03-08 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10134600B2 (en) 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
WO2018156985A1 (en) 2017-02-23 2018-08-30 Tokyo Electron Limited Method of anisotropic extraction of silicon nitride mandrel for fabrication of self-aligned block structures
KR102537097B1 (ko) 2017-02-23 2023-05-25 도쿄엘렉트론가부시키가이샤 실리콘 질화물의 유사 원자층 에칭 방법
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10079154B1 (en) * 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
WO2018226501A1 (en) * 2017-06-08 2018-12-13 Tokyo Electron Limited Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
KR102440367B1 (ko) 2017-06-22 2022-09-05 삼성전자주식회사 Rps를 이용한 식각 방법 및 그 식각 방법을 포함한 반도체 소자 제조방법
WO2019235398A1 (ja) * 2018-06-04 2019-12-12 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
TWI808274B (zh) * 2018-10-26 2023-07-11 日商關東電化工業股份有限公司 含有具有不飽和鍵之含硫氟碳化合物的乾式蝕刻氣體組成物及使用其之乾式蝕刻方法
CN113302716A (zh) * 2018-11-08 2021-08-24 朗姆研究公司 针对3d nand集成具有改善的蚀刻选择性的氮化物膜
JP7129932B2 (ja) * 2019-02-28 2022-09-02 株式会社Screenホールディングス 基板処理方法および基板処理システム
CN111696863B (zh) * 2019-03-15 2024-04-12 北京北方华创微电子装备有限公司 硅介质材料刻蚀方法
TW202117847A (zh) * 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理
US11651969B2 (en) * 2019-07-18 2023-05-16 Kioxia Corporation Etching method, semiconductor manufacturing apparatus, and method of manufacturing semiconductor device
US11605536B2 (en) 2020-09-19 2023-03-14 Tokyo Electron Limited Cyclic low temperature film growth processes
US12009218B2 (en) * 2022-05-06 2024-06-11 Applied Materials, Inc. Pulsed etch process

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
KR20120098751A (ko) 2009-10-26 2012-09-05 솔베이 플루오르 게엠베하 Tft 매트릭스 제조를 위한 식각 공정
KR101430093B1 (ko) * 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 플라즈마 에칭 방법, 반도체 디바이스의 제조 방법 및 플라즈마 에칭 장치
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5932599B2 (ja) * 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
CN103779203B (zh) * 2012-10-17 2016-11-02 株式会社日立高新技术 等离子蚀刻方法
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9318343B2 (en) * 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180037569A (ko) * 2016-10-04 2018-04-12 어플라이드 머티어리얼스, 인코포레이티드 유통 소스를 구비하는 챔버
KR20190107158A (ko) * 2017-02-06 2019-09-18 램 리써치 코포레이션 수소 활성화된 원자 층 에칭

Also Published As

Publication number Publication date
US20180158692A1 (en) 2018-06-07
JP2016157940A (ja) 2016-09-01
TW201642339A (zh) 2016-12-01
SG10201601329UA (en) 2016-09-29
US9911620B2 (en) 2018-03-06
CN105914146B (zh) 2019-03-15
US20160247688A1 (en) 2016-08-25
CN105914146A (zh) 2016-08-31

Similar Documents

Publication Publication Date Title
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
EP3038142A1 (en) Selective nitride etch
JP5492557B2 (ja) 半導体基板を均一にエッチングするためのガス噴射
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
JP6272873B2 (ja) 炭窒化ケイ素の選択的エッチング
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
KR20180025247A (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
TW201523732A (zh) 用於蝕刻速率一致性的方法
CN105556643A (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
KR102660290B1 (ko) 다중 패터닝 프로세스에서 원자 층 증착을 사용한 스페이서 프로파일 제어
KR20210149893A (ko) 극자외선 리소그래피 레지스트 개선을 위한 원자 층 에칭 및 선택적인 증착 프로세스
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20220137981A (ko) 무한의 선택도로 고 종횡비 에칭
TW202201536A (zh) 利用氯之高深寬比介電質蝕刻
KR20230057305A (ko) 유전체에 대한 선택도를 갖는 반도체, 금속 또는 금속 옥사이드의 원자 층 에칭
KR20240011600A (ko) 3d-nand를 위한 고 종횡비 에칭을 위한 화학 물질
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right