JP5492557B2 - 半導体基板を均一にエッチングするためのガス噴射 - Google Patents

半導体基板を均一にエッチングするためのガス噴射 Download PDF

Info

Publication number
JP5492557B2
JP5492557B2 JP2009516500A JP2009516500A JP5492557B2 JP 5492557 B2 JP5492557 B2 JP 5492557B2 JP 2009516500 A JP2009516500 A JP 2009516500A JP 2009516500 A JP2009516500 A JP 2009516500A JP 5492557 B2 JP5492557 B2 JP 5492557B2
Authority
JP
Japan
Prior art keywords
silicon
gas
etching
semiconductor substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009516500A
Other languages
English (en)
Other versions
JP2009541995A (ja
Inventor
ハルミート シン,
デイビッド クーパーバーグ,
バヒッド ヴァヘディ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009541995A publication Critical patent/JP2009541995A/ja
Application granted granted Critical
Publication of JP5492557B2 publication Critical patent/JP5492557B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、半導体基板を均一にエッチングするためのガス噴射に関する。
米国特許出願公開第2003/0070620号 米国特許第6052176号
改良された限界寸法均一性で半導体基板をエッチングする方法を提供する。この方法は、プラズマエッチングチャンバ内の基板支持体上に半導体基板を支持することと、第1のエッチングガスを半導体基板の上の中央領域に供給することと、少なくとも1種類のシリコン含有ガスを含む第2のガスであって、第2のガス中のシリコン濃度が第1のエッチングガス中のシリコン濃度より高い第2のガスを、半導体基板の上の中央領域を囲む周辺領域に供給することと、第1のエッチングガスおよび第2のガスからプラズマを発生させることと、半導体基板の露出面をプラズマエッチングすることとを含む。
集積回路などの半導体ベースの製品を製造する間に、ウェーハなどの半導体基板上での材料層の堆積または除去のためにエッチング工程および/または堆積工程が使用されうる。従来のエッチング方法は、材料層のプラズマエッチングを達成するために、プラズマ状態に励振された1種類または複数種類のエッチングガスを使用することを含む。エッチングによって形成されるフィーチャには、例えば、開口、ビア、トレンチ、およびゲート構造が含まれる。
多くのプラズマエッチングの応用例は、不動態化層の生成に依拠して所望のフィーチャプロファイルを得る。プロファイル制御の主たるメカニズムは、エッチング反応と堆積反応のバランスを必要とする。一般に、エッチング反応は、入力電力、圧力、およびガス流量などの反応チャンバパラメータにより直接的に制御される。シリコンウェーハのプラズマエッチングでは、エッチング反応生成物が主たる堆積源であり、その結果として堆積メカニズムは間接的に制御される。
限界寸法(CD)は、所与の技術を用いて半導体デバイス/回路製造中に形成することができる最小の幾何学的フィーチャ(例えば、相互接続線、接点、トレンチなどの幅)の寸法である。限界寸法均一性は、ウェーハの中央でのフィーチャの限界寸法が、ウェーハの縁部付近のフィーチャの限界寸法と同じ場合にもたらされる。限界寸法がほぼ完全な程度まで均一であり、ウェーハの中央付近でエッチングされたフィーチャがウェーハの縁部付近でエッチングされた同等のフィーチャと実質的に均一であることが大変望ましい。というのは、もしそうでなければ、製作される集積回路が望ましい特性からはずれた電子的特性を有することになるからである。ウェーハの直径が増大するごとに、ますます大型化するウェーハ全体にわたって形成される集積回路の均一性を確保するという問題はより困難になる。
種々のエッチングガス化学物質が、エッチングの応用例で使用される。例えば、HBr−Oのエッチングガス化学物質を使用する場合は、不動態化層は主としてSiBrから成る。Cl−Oエッチングガス化学物質の場合は、不動態化層は主としてSiClから成る。不動態化層の他の成分は、N、C、H、およびFを含むことができる。さらに、シリコンウェーハおよび/または石英成分などのチャンバの材料をエッチングする結果、揮発性のシリコンエッチング副産物が不動態化層の中に組み込まれる。
シリコンウェーハおよび/またはチャンバの材料などのシリコン源をエッチングすることにより、シリコンが不動態化層の中に組み込まれることがある。このようなシリコン源は、エッチングガス化学物質により直接制御できない二次的生成物である。さらに、揮発性のシリコンエッチング副産物はウェーハ面から真空排気ポートに向かって運ばれるので、シリコンを含む副産物がウェーハ面上に堆積する可能性には限界がある。そのうえ、揮発性のシリコンエッチング副産物は、プラズマ領域の中で、シリコンを含む副産物がウェーハ面上に堆積する可能性がより大きい反応性物質に解離する可能性がある。シリコンを含む副産物がウェーハ面上に堆積すると、ウェーハ全体にわたってシリコン副産物濃度が不均一になり、エッチングされたフィーチャの限界寸法が不均一性になることがある。
提供されるのは、改良された限界寸法均一性で半導体基板をエッチングする方法である。この方法は、プラズマエッチングチャンバ内の基板支持体上に半導体基板を支持することと、第1のエッチングガスを半導体基板の上の中央領域に供給することと、少なくとも1種類のシリコン含有ガスを含む第2のガスであって、第2のガス中のシリコン濃度が第1のエッチングガス中のシリコン濃度より高い第2のガスを、半導体基板の上の中央領域を囲む周辺領域に供給することと、第1のエッチングガスおよび第2のガスからプラズマを発生させることと、半導体基板の露出面をプラズマエッチングすることとを含む。好ましくは、半導体基板の中央付近でエッチングされたフィーチャは、半導体基板の縁部付近でエッチングされた同等のフィーチャと実質的に均一である。
例示的なシリコン含有ガスは、例えば、SiCl、SiHCl、SiHCl、SiHCl、SiH、Si、SiHCH、SiH(CH、SiF、SiBr、テトラエチルオルソシリケート(TEOS)、またはそれらの混合物を含む。少なくとも1種類のシリコン含有ガスを含む第2のガスは、(1)例えば、He、Ne、Ar、Kr、Xe、またはそれらの混合物などの不活性キャリアガス、(2)例えば、Cl、C、HBr、C、SF、HCl、または、例えばSFとCHの混合物など、それらの混合物などのエッチングガス、および/または(3)例えば、O、H、またはそれらの混合物などの不動態化ガスをさらに含むことができる。
第1のエッチングガスは、シリコンを含んでも含まなくてもよい。この方法は、(1)周辺領域に供給される第2のガスの量、(2)周辺領域に供給されるシリコンの量、および/または(3)第2のガス中のシリコン濃度と第1のエッチングガス中のシリコン濃度との比、を調節することをさらに含むことができる。
半導体基板の露出面はシリコン層を含むことができ、それは、窒化シリコン、酸化シリコン、または酸窒化シリコンのマスク層などのマスクの下の、単結晶シリコンウェーハの一部であることができる。あるいは、シリコン層は、単結晶シリコンウェーハなどの基板上のエピタキシャル層、歪みシリコン層、またはシリコン−ゲルマニウム層であることができる。シリコン層はシリコンウェーハ上の多結晶シリコンの層を含むことができ、この多結晶シリコン層は、下にあるゲート酸化物と、上にある窒化シリコン、酸化シリコン、または酸窒化シリコンのマスク層などの固いかまたは柔らかいマスク層、あるいはフォトレジストとの間にある。あるいは、この開示されたエッチング方法は、例えば、多結晶シリコンゲート、メタルゲート、高い比誘電率(K)のWおよびWSiゲート、およびスペーサのエッチングなど、さらなるエッチング応用例に応用することができる。
例えば、300mmウェーハに対して、エッチングされたフィーチャは50nm未満(例えば、45nm、25nm、18nm、など)でありかつ1.5nm3σ以下の不均一性を有することが好ましい。
プラズマは、ラムリサーチコーポレーション(Lam Research Corporation)から入手可能で誘導結合プラズマ(ICP)反応器とも呼ばれるトランス結合プラズマ(TCP(商標))反応器の中で生成される高密度プラズマであることが好ましい。
ここで開示する方法は、反応器の壁の中の出口に接続された真空ポンプにより所望の真空圧に維持された誘導結合プラズマ反応器の中で実施されることが好ましい。エッチングガスは、ガス供給源から誘電体窓の下側の周囲に延在するプレナムにガスを供給することにより、シャワーヘッドまたは噴射器の装置に供給することができる。高密度プラズマは、RF源から、反応器上部の誘電体窓の外側にある1つまたは複数の巻数を有する平面または非平面コイルなどの外部RFアンテナにRFエネルギーを供給することにより、反応器内で発生させることができる。プラズマ発生源は、反応器の上端の上に真空気密状態で取り外し可能に装着されたモジュール装着装置の一部であることができる。
ウェーハは、反応器の中で、反応器の側壁からモジュール装着装置により取り外し可能に支持された片持ち梁チャック装置などの基板支持体上に支持することができる。このような基板支持体は、基板支持体/支持アーム組立体の全体を、反応器側壁の開口を通してこの組立体を通過させることにより、反応器から取り外すことができるように、片持ち梁のように装着された支持アームの一端にある。基板支持体は静電チャックなどのチャック装置を含むことができ、基板を誘電収束リングで囲むことができる。チャックは、エッチングプロセス中に基板にRFバイアスをかけるためのRFバイアス電極を含むことができる。ガス供給源により供給されたエッチングガスは、窓と、下にあるガス分配プレートとの間のチャネルを通って流れ、ガス分配プレート内のガスの出口を通ってチャンバの内部に入ることができる。また、反応器はガス分配プレートから延在する加熱されたライナーを含むことができる。
あるいは、エッチングガスは、本願と同一譲受人に譲渡された米国特許出願公開第2003/0070620号に開示されているように、誘電体窓の中に装着されたマルチゾーンガス噴射器により供給することができる。同特許の開示を参照によりその全体を本明細書に組み込む。図1Aおよび図1Bは、プロセスガスが基板面に垂直な軸方向に供給される中央領域にプロセスガスを供給するための軸上噴射口124と、プロセスガスが基板に垂直でない斜め方向に供給される周辺領域にプロセスガスを供給するための軸外噴射口126とを含むマルチゾーンガス噴射器を示す。それぞれの管路の中のガス流量を制御するために、可変流量制限器136a、736bなどの流量制御器を、異なる噴射ゾーンをもたらす別々のガス管路のそれぞれの中に設置することができる。機器136a、136bは、手動で設定することができるか、または適切な電子制御により自動的に操作することができる。流量制限器136a、136bの設定を別々に変えることにより、2つの出口124、126を通る流量の比を変化させることができる。別の実施形態は、複数の出口および可変流量制限バルブ、および/または固定の制限器およびバルブのネットワークを含み、それらは、それぞれの噴射ゾーンに対する全コンダクタンスを1つまたは複数のプリセットされた動的制御値に調節することを可能にする。
図1Aでは、中央のガス噴射口124は中央内腔125の延長として示されている。例えば、内腔125の上端は、米国特許第6052176号の中で開示されるようにランプ、分光計、光ファイバおよびレンズ装置などのモニタ用機器129と通じるように配置された窓127により封止することができる。同特許の開示を参照によりその全体を本明細書に組み込む。このような装置では、軸上の出口124は、軸外の出口126より大きな直径を有することが好ましい。図1Bでは、軸上の出口124は内腔125より小さな直径を有する。軸上の出口124と軸外の出口126の相対的なサイズは、所望のガス流量配分を得るために選択することができる。例えば、軸外の出口126の全断面積は、軸上の出口124の全断面積より小さくても、等しくても、または大きくてもよい。
種々のガスの流量が、プラズマ反応器のタイプ、電力の設定、反応器内の真空圧、プラズマ源に対する解離速度ほか、などの要因に左右されることは当業者には明らかであろう。
反応器の圧力は、反応器内のプラズマを持続するのに適切なレベルに維持されることが好ましい。一般に、あまりに低い反応器の圧力はプラズマの消滅につながることがあるが、高密度エッチング反応器内では、あまりに高い反応器の圧力はエッチストップ障害につながることがある。高密度プラズマ反応器に対して、反応器は100mTorr未満の圧力であることが好ましい。TCP(商標)反応器内で高密度プラズマを発生させるための典型的なプロセスレジーム(regime)は、約450Wの電力、60VのRFバイアス、5〜70mTの圧力、および50〜1000sccmのガス流速を含む。エッチングされている半導体基板でのプラズマ閉込めのため、基板面の真空圧は反応器に対する真空圧の設定より高くなる可能性がある。
エッチングされている半導体基板を支持する基板支持体は、基板上のいずれかのフォトレジストを燃焼させて望ましくない反応ガスラジカルを形成するなどの有害な副反応を防止するのに十分なだけ基板を冷却することが好ましい。高密度プラズマ反応器内では、基板支持体の中で液体を循環させて、基板温度を−10〜+80℃に維持すれば十分である。基板支持体は、基板処理中に基板にRFバイアスをかけるための底部電極と、基板をクランプするためのESCとを含むことができる。例えば、基板は、静電的にクランプされ、ウェーハとESCの上面との間に所望の圧力でヘリウム(He)を供給することにより温度制御されたシリコンウェーハを含むことができる。ウェーハを所望の温度に維持するために、ウェーハとチャックの間の空間において、このHeを2〜30Torrの圧力に維持することができる。
反応器の上部の誘電体窓を通して、例えば誘電体窓近くの側壁を通して、チャックを通して、または基板保持器の外半径を通してガスを噴射させることにより、特定のガス化学物質を基板の周辺に供給することができる。特に、縁部ガス噴射に有用なプラズマチャンバの一実施形態を示す図2を参照すると、特定のガス化学物質はプラズマチャンバの側壁を通して噴射器20により供給され、流線21に沿って流れることができ、一方、他のガス化学物質はプラズマチャンバの天井を通してシャワーヘッドノズル23により供給され、流線24に沿って流れることができる。特定のガス化学物質のより多くを基板の周辺に供給するためのガス噴射器の種々の例を上で記載してきたが、基板の中央におけるよりも基板の周辺においてより多くのシリコン含有ガスを供給することができる任意の適切なガス供給装置が、図3および図4を参照して記載されるエッチングプロセス用に使用することができる。
図3は、プラズマエッチングチャンバ内の半径方向のSiClの濃度プロファイルを示す図であり、半導体基板上のシリコン層はClから形成されるプラズマでエッチングされる。エッチング反応によるSiCl濃度310は、半導体基板320および反応器の中央330の上で最大になる。半径が大きくなるにつれて、SiClの化学種のポンプアウト(pumpout)340およびチャンバ壁350への堆積反応によるSiClの化学種の損失により、SiClの化学種の濃度は減少する。
図4は、プラズマエッチングチャンバ内の半径方向のSiClの濃度プロファイルを示す図であり、半導体基板上のシリコン層がClから形成されるプラズマでエッチングされ、シリコン含有ガスがプラズマエッチングチャンバの周辺領域に供給される。図3と同様に、エッチング反応によるSiCl濃度410は半導体基板420および反応器の中央430の上で最大になり、半径が大きくなるにつれて、SiClの化学種のポンプアウト440およびチャンバ壁450への堆積反応によるSiClの化学種の損失により、SiClの化学種の濃度は減少する。しかし、プラズマエッチングチャンバの周辺領域へのシリコン含有ガス供給によるSiCl濃度460は、総合的なSiCl濃度470における半径方向の変化を著しく減少させる。
いかなる理論にも拘束されようとは思わないが、総合的なSiCl濃度における半径方向の変化が減少すると、半導体基板に対する不動態化化学種のフラックスの均一性が改善され、それによりエッチングプロセスにおける半径方向の限界寸法均一性が改善されると考えられる。より一般的には、シリコンを含む不動態化化学種は、エッチングされたフィーチャの側壁を不動態化する。このシリコンを含む不動態化化学種はプラズマエッチングに起因する(すなわち、半導体基板から生じる)ことができ、かつ/または第2のガス中のシリコン含有ガスであることができる。好ましくは、例えば、プラズマがClから形成され、SiClがエッチングされたフィーチャの側壁を不動態化する場合などは、エッチングガスは塩素を含む。
種々の実施形態が記載されてきたが、当業者には明らかなように複数の変形および変更を用いることができることを理解されたい。そのような変形および変更は、本明細書に添付された特許請求の範囲の中にあるものと考えられる。
2ゾーン噴射器の詳細を示す図である。 2ゾーン噴射器の詳細を示す図である。 縁部ガス噴射に有用なプラズマチャンバの一実施形態を示す図である。 プラズマエッチングチャンバにおける半径方向のSiClの濃度プロファイルを示す図であり、半導体基板上のシリコン層がClで形成されたプラズマでエッチングされる。 プラズマエッチングチャンバにおける半径方向のSiClの濃度プロファイルを示す図であり、半導体基板上のシリコン層がClから形成されたプラズマでエッチングされ、シリコン含有ガスが半導体基板の上の周辺領域に供給される。

Claims (16)

  1. 改良された限界寸法均一性で半導体基板をエッチングする方法であって、
    誘導結合プラズマエッチングチャンバ内で基板支持体上に半導体基板を支持することと、
    前記半導体基板の上の中央領域に少なくとも1種類のシリコン含有ガスを含む第1のエッチングガスを供給することと、
    少なくとも1種類のシリコン含有ガスを含む第2のガスであって、前記第2のガス中のシリコン濃度が前記第1のエッチングガス中のシリコン濃度より高い第2のガスを、前記半導体基板の上の前記中央領域を囲む周辺領域に供給することと、
    前記第1のエッチングガス中のシリコンの量を前記第2のガス中のシリコンの量に対して相対的に調節することと、
    前記チャンバ内への誘導結合高周波エネルギーにより前記第1のエッチングガスおよび前記第2のガスからプラズマを発生させることと、
    前記半導体基板の露出面を前記プラズマでプラズマエッチングすることと、
    を含み、
    前記半導体基板の前記露出面がシリコン層を含み、
    少なくとも1つの、シリコンを含む不動態化化学種が、前記半導体基板内にエッチングによって形成されたフィーチャの側壁を不動態化し、
    前記第1のエッチングガスが塩素を含み、
    前記第2のガスが、(i)SiCl、(ii)CxFy又はCxFyHzおよび(iii)不活性ガス、の混合物を含み、
    前記シリコンを含む不動態化化学種がSiCl(x=1、2、3又は4)を含むことを特徴とする方法。
  2. 少なくとも1つの開口、ビア、トレンチ、およびゲート構造から成る群から選択されたフィーチャを前記半導体基板の前記露出面内にプラズマエッチングによって形成することを含むことを特徴とする請求項1に記載の方法。
  3. 前記シリコンを含む不動態化化学種が、前記プラズマエッチングおよび前記第2のガスに起因することを特徴とする請求項1に記載の方法。
  4. 前記シリコンを含む不動態化化学種が、前記第2のガスにより供給されることを特徴とする請求項1に記載の方法。
  5. 前記不活性ガスが、He、Ne、Ar、Kr、Xe、およびそれらの混合物から成る群から選択されることを特徴とする請求項1に記載の方法。
  6. 前記第2のガスがエッチングガスをさらに含むことを特徴とする請求項1に記載の方法。
  7. 前記エッチングガスが、Cl、CF、CF、HBr、CF、SF、HCl、およびそれらの混合物から成る群から選択されることを特徴とする請求項6に記載の方法。
  8. 前記第2のガスが、O 、N 、およびそれらの混合物から成る群から選択される不動態化ガスをさらに含むことを特徴とする請求項1に記載の方法。
  9. 前記シリコン層が、単結晶シリコンウェーハの露出領域、歪みシリコン層の露出領域またはシリコンゲルマニウム層を含むことを特徴とする請求項1に記載の方法。
  10. 前記シリコン層が、窒化シリコン、酸化シリコン、または酸窒化シリコンのマスク層の下にあることを特徴とする請求項1に記載の方法。
  11. プラズマが、前記半導体基板に平行に配置された平面コイルに高周波エネルギーを供給することにより、前記プラズマチャンバ内への誘導結合高周波エネルギーによって発生することを特徴とする請求項1に記載の方法。
  12. 前記シリコン層が、シリコンウェーハ上の多結晶シリコンの層を含むことを特徴とする請求項1に記載の方法。
  13. 前記シリコン層が、下にあるゲート酸化物と、上にあるハードもしくはソフトマスク層またはフォトレジストとの間にあることを特徴とする請求項1に記載の方法。
  14. 前記シリコン層が、窒化シリコン、酸化シリコンもしくは酸窒化シリコンのマスク層、またはフォトレジストの下にあることを特徴とする請求項13に記載の方法。
  15. 前記半導体基板の中央付近でエッチングされたフィーチャが、前記半導体基板の縁部付近でエッチングされた同等のフィーチャと実質的に均一であることを特徴とする請求項2に記載の方法。
  16. 前記半導体基板が300mmウェーハであり、前記フィーチャが50nm未満でありかつ1.5nm3σ以下の不均一性を有することを特徴とする請求項15に記載の方法。
JP2009516500A 2006-06-20 2007-06-05 半導体基板を均一にエッチングするためのガス噴射 Active JP5492557B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/455,671 US7932181B2 (en) 2006-06-20 2006-06-20 Edge gas injection for critical dimension uniformity improvement
US11/455,671 2006-06-20
PCT/US2007/013159 WO2007149210A2 (en) 2006-06-20 2007-06-05 Gas injection to etch a semiconductor substrate uniformly

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012225344A Division JP2013042160A (ja) 2006-06-20 2012-10-10 半導体基板を均一にエッチングするためのガス噴射

Publications (2)

Publication Number Publication Date
JP2009541995A JP2009541995A (ja) 2009-11-26
JP5492557B2 true JP5492557B2 (ja) 2014-05-14

Family

ID=38833941

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009516500A Active JP5492557B2 (ja) 2006-06-20 2007-06-05 半導体基板を均一にエッチングするためのガス噴射
JP2012225344A Pending JP2013042160A (ja) 2006-06-20 2012-10-10 半導体基板を均一にエッチングするためのガス噴射

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012225344A Pending JP2013042160A (ja) 2006-06-20 2012-10-10 半導体基板を均一にエッチングするためのガス噴射

Country Status (6)

Country Link
US (1) US7932181B2 (ja)
JP (2) JP5492557B2 (ja)
KR (1) KR101494469B1 (ja)
CN (1) CN101473415B (ja)
TW (1) TWI416614B (ja)
WO (1) WO2007149210A2 (ja)

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5607881B2 (ja) * 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
KR101099716B1 (ko) 2009-07-15 2011-12-28 세메스 주식회사 반도체 제조 장치에서 가스 배관의 구조 및 이를 포함하는 고밀도 플라즈마 기상 증착 장치
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
KR101139829B1 (ko) * 2010-02-22 2012-04-30 (주)젠 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102231360B (zh) * 2011-05-27 2013-05-15 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
KR20140058647A (ko) * 2011-09-07 2014-05-14 어플라이드 머티어리얼스, 인코포레이티드 선형 증착 챔버에서 가스를 분배하고 플라즈마를 적용하기 위한 장치 및 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
JP6210762B2 (ja) * 2013-07-08 2017-10-11 株式会社アルバック ドライエッチング装置
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
DE112014005913B4 (de) * 2013-12-20 2021-10-07 Ngk Insulators, Ltd. Verfahren zum Herstellen von Substraten mit zumindest einer Oberflächen-Gallium-Nitrid-Schicht
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP2015170828A (ja) 2014-03-11 2015-09-28 富士フイルム株式会社 プラズマエッチング方法およびパターン化基板の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102553629B1 (ko) 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6722760B2 (ja) * 2016-06-20 2020-07-15 東京エレクトロン株式会社 被処理体を処理する方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102255071B1 (ko) * 2019-03-28 2021-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
KR102223806B1 (ko) * 2019-03-28 2021-03-08 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
CN110184587B (zh) * 2019-05-23 2021-06-15 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN111029254B (zh) * 2019-12-26 2023-03-21 苏州科阳光电科技有限公司 一种干法刻蚀方法
WO2023215398A1 (en) * 2022-05-06 2023-11-09 Applied Materials, Inc. Pulsed etch process

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275752A (en) 1978-09-22 1981-06-30 Collier Nigel A Fluid flow apparatus and method
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4835114A (en) 1986-02-19 1989-05-30 Hitachi, Ltd. Method for LPCVD of semiconductors using oil free vacuum pumps
US5313982A (en) 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
US5200388A (en) 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
US5037666A (en) 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
US5077875A (en) 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5100505A (en) 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
US5288325A (en) 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP2797233B2 (ja) 1992-07-01 1998-09-17 富士通株式会社 薄膜成長装置
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
EP0602595B1 (en) 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5950693A (en) 1993-04-28 1999-09-14 Advanced Delivery & Chemical Systems, Ltd. Bulk chemical delivery system
JPH07245193A (ja) 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
DE69508273T2 (de) 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US6296026B1 (en) 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6039074A (en) 1997-09-09 2000-03-21 Novellus Systems, Inc. Pressure-induced shut-off valve for a liquid delivery system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6315858B1 (en) 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6192919B1 (en) 1998-06-26 2001-02-27 Advanced Delivery & Chemical Systems, Ltd. Chemical delivery and containment system employing mobile shipping crate
US6058958A (en) 1998-11-05 2000-05-09 Micromed Technology, Inc. Pulsatile flow system and method
US6052176A (en) 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6155289A (en) 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6302139B1 (en) 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6410451B2 (en) 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6607597B2 (en) 2001-01-30 2003-08-19 Msp Corporation Method and apparatus for deposition of particles on surfaces
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002339071A (ja) 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6794293B2 (en) 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040018741A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US6864174B2 (en) 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6916697B2 (en) 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7196014B2 (en) 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity

Also Published As

Publication number Publication date
JP2009541995A (ja) 2009-11-26
US20070293043A1 (en) 2007-12-20
KR101494469B1 (ko) 2015-03-02
TW200807549A (en) 2008-02-01
US7932181B2 (en) 2011-04-26
WO2007149210A2 (en) 2007-12-27
CN101473415A (zh) 2009-07-01
JP2013042160A (ja) 2013-02-28
KR20090026156A (ko) 2009-03-11
WO2007149210A3 (en) 2008-02-07
TWI416614B (zh) 2013-11-21
CN101473415B (zh) 2013-08-14

Similar Documents

Publication Publication Date Title
JP5492557B2 (ja) 半導体基板を均一にエッチングするためのガス噴射
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US7098141B1 (en) Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
EP3038142A1 (en) Selective nitride etch
US8889023B2 (en) Plasma processing apparatus and plasma processing method
US7842617B2 (en) Etching method and etching apparatus
US8066895B2 (en) Method to control uniformity using tri-zone showerhead
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
KR20150031227A (ko) 플라즈마 에칭 방법 및 플라즈마 처리 장치
KR20230120676A (ko) 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
JP2019009403A (ja) プラズマ処理方法およびプラズマ処理装置
KR102501331B1 (ko) 플라즈마를 이용한 기판 처리 장치 및 방법
US7189653B2 (en) Etching method and etching apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120321

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120611

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121010

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20121017

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20121207

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130806

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131101

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140303

R150 Certificate of patent or registration of utility model

Ref document number: 5492557

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250