TWI416614B - 用以改善臨界尺寸均勻度之邊緣氣體注入 - Google Patents

用以改善臨界尺寸均勻度之邊緣氣體注入 Download PDF

Info

Publication number
TWI416614B
TWI416614B TW096121534A TW96121534A TWI416614B TW I416614 B TWI416614 B TW I416614B TW 096121534 A TW096121534 A TW 096121534A TW 96121534 A TW96121534 A TW 96121534A TW I416614 B TWI416614 B TW I416614B
Authority
TW
Taiwan
Prior art keywords
gas
semiconductor substrate
etching
germanium
plasma
Prior art date
Application number
TW096121534A
Other languages
English (en)
Other versions
TW200807549A (en
Inventor
Harmeet Singh
David Cooperberg
Vahid Vahedi
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200807549A publication Critical patent/TW200807549A/zh
Application granted granted Critical
Publication of TWI416614B publication Critical patent/TWI416614B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Description

用以改善臨界尺寸均勻度之邊緣氣體注入
本發明提供一種以改善之臨界尺寸均勻度蝕刻半導體基板之方法。該方法包括:將一半導體基板支撐於一電漿蝕刻室中之基板支架上;將一第一蝕刻氣體供應至該半導體基板上方一中心區域;將一包括至少一種含矽氣體之第二氣體供應至該半導體基板上方一環繞該中心區域之周邊區域,其中該第二氣體中矽之濃度大於該第一蝕刻氣體中矽之濃度;自該第一蝕刻氣體及該第二氣體中產生電漿;且電漿蝕刻該半導體基板之一暴露表面。
在諸如積體電路等基於半導體之產品之製造期間,可使用蝕刻及/或沉積步驟在諸如晶圓等半導體基板上建造或移除材料層。一習用蝕刻程序包括使用一個或多個激發成電漿狀態之蝕刻氣體來實施對一材料層之電漿蝕刻。欲蝕刻之形體包括(例如)開孔、通路、溝道及閘極結構。
許多電漿蝕刻應用依賴於產生一鈍化層以得到所期望之形體輪廓。輪廓控制之主要機制包括蝕刻及沉積反應之平衡。該蝕刻反應通常藉由諸如輸入功率、壓力及氣流等反應室參數直接控制。在矽晶圓之電漿蝕刻中,蝕刻反應產物係主要沉積源,其結果係沉積機制受到間接控制。
臨界尺寸(CD)係最小幾何形體之尺寸(例如互連線、觸點、溝道等之寬度),該等幾何形體可於半導體裝置/電路製造期間使用既定技術形成。當一晶圓中心處形體之臨界尺寸與更接近於該晶圓邊緣之形體之臨界尺寸相同時,提供臨界尺寸均勻度。極其期望臨界尺寸均勻度到達幾乎完美之程度,其中接近一晶圓中心之所蝕刻形體與更接近於該晶圓邊緣之所蝕刻等效形體係大致均等,因為否則所製造的積體電路將具有超出所期望偏離之電子特性。隨著晶圓直徑大小的每一次增大,愈加難以保證在越來越大的晶圓上形成的積體電路之均勻度。
在蝕刻應用中使用多種蝕刻氣體化學品。舉例而言,當使用HBr-O2 蝕刻氣體化學品時,該鈍化層係主要由Six Bry Oz 構成。對於Cl2 -O2 蝕刻氣體化學品,該鈍化層係主要由Six Cly Oz 構成。該鈍化層之其他成份可包含N、C、H及F。此外,作為蝕刻矽晶圓及/或諸如石英成分等室材料之結果,揮發性矽蝕刻副產物被倂入到該鈍化層中。
由於蝕刻諸如矽晶圓及/或室材料等矽源,可將矽倂入到鈍化層中。該等矽源係不直接由該蝕刻氣體化學品控制的次要產物。此外,由於該揮發性矽蝕刻副產物被自該晶圓表面向抽真空埠輸送,存在含矽副產物沉積在該晶圓表面上之有限可能性。此外,該揮發性矽蝕刻副產物可在電漿區域中解離成反應劑,使得含矽副產物更有可能沉積在該晶圓表面上。含矽副產物沉積在該晶圓表面上可導致該晶圓上非均勻矽副產物濃度且導致所蝕刻形體臨界尺寸之非均勻度。
本文提供一種以經改善臨界尺寸均勻度蝕刻半導體基板之方法,該方法包括:將一半導體基板支撐於一電漿蝕刻室中之基板支架上;將一第一蝕刻氣體供應至該半導體基板上方一中心區域;將一包括至少一種含矽氣體之第二氣體供應至該半導體基板上方一環繞該中心區域之周邊區域,其中該第二氣體中矽之濃度大於該第一蝕刻氣體中矽之濃度;自該第一蝕刻氣體及該第二氣體中產生電漿;且電漿蝕刻該半導體基板之一暴露表面。較佳地,接近該半導體基板中心之所蝕刻形體與更接近於該晶圓邊緣之所蝕刻等效形體大致均等。
實例性含矽氣體包括(例如)SiCl4 、SiHCl3 、SiH2 Cl2 、SiH3 Cl、SiH4 、Si2 H6 、SiH3 CH3 、SiH(CH3 )3 、SiF4 、SiBr4 、原矽酸四乙酯(TEOS)或其混合物。包括至少一種含矽氣體之該第二氣體可進一步包括:(1)一惰性載氣,諸如(例如)He、Ne、Ar、Kr、Xe或其混合物;(2)一蝕刻劑氣體,諸如(例如)Cl2 、Cx Fy 、HBr、Cx Fy Hz 、SF6 、HCl或其混合物(諸如(例如)SF6 與CH2 F2 之一混合物);及/或(3)一鈍化氣體,諸如(例如)O2 、N2 或其混合物。
該第一蝕刻氣體可包含或不包含矽。該方法可進一步包括調節:(1)供應至該周邊區域之第二氣體量;(2)供應至該周邊區域之矽量;及/或(3)該第二氣體中矽之濃度與該第一蝕刻氣體中矽之濃度之比率。
該半導體基板之暴露表面可包括一矽層,該矽層可係一諸如氮化矽、氧化矽或氮氧化矽遮罩層等遮罩下方之單晶矽晶圓之一部分。或者,該矽層可係一諸如單晶矽晶圓等基板上之磊晶層、應變型矽層或矽鍺層。該矽層可包括一矽晶圓上之多晶矽層,其中該多晶矽層係在一下層閘極氧化物與一上層硬或軟遮罩層(諸如氮化矽、氧化矽或氧氮化矽遮罩層)或光阻劑之間。或者,所揭示之蝕刻方法可應用於諸如(例如)多晶矽閘極、金屬閘極、高介電常數(K)、W及WSi閘極及間隔層蝕刻等其它蝕刻應用。
舉例而言,對於一300毫米晶圓,所蝕刻形體係較佳地小於50奈米(例如45奈米、25奈米、18奈米等)且具有小於或等於1.5奈米3σ之非均勻度。
較佳地,該電漿係一在自Lam研究公司購得的變壓器耦合電漿反應器(TCPTM )(亦被稱為感應耦合電漿(ICP)反應器)中製作的高密度電漿。
較佳地,在一感應耦合電漿反應器中執行當前揭示之方法,該感應耦合電漿反應器藉由連接至該反應器壁中一出口之真空泵而保持在一所期望之真空壓力。可藉由將來自一氣體供應之氣體供應至圍繞介電窗口底側延伸之充氣部而將蝕刻氣體供應至一蓮蓬頭或注入器配置。藉由將來自一射頻源之射頻能量供應至一外部射頻天線(諸如在該反應器頂部上該介電窗口外面具有一個或多個匝之平面或非平面線圈),可於該反應器中產生一高密度電漿。該電漿產生源可係一模組安裝配置之一部分,其以真空密閉方式可移除地安裝在該反應器上端上。
可將一晶圓支撐於該反應器內一諸如懸臂夾盤配置等基板支架上,該懸臂夾盤配置由一模組安裝配置可移除地支撐於該反應器之一側壁上。該基板支架係處於以懸臂方式安裝的支撐臂之一末端處,使得可藉由使該組件穿過該反應器側壁中一開孔而自該反應器中移除整個基板支架/支撐臂組件。該基板支架可包括一諸如靜電夾盤等夾盤設備且該基板可由介電聚焦環環繞。該夾盤可包括一用於在蝕刻製成期間將射頻偏壓施加至該基板之射頻偏壓電極。由一氣體供應源供應之蝕刻氣體可通過該窗口與一下層氣體分佈板之間的通道流動並通過氣體分佈板中之氣體出口進入該室內部。該反應器亦可包括一自該氣體分佈板延伸之經加熱襯墊。
或者,如共同讓與之美國專利公開案第2003/0070620 A1號中所揭示,蝕刻氣體可由安裝在該介電窗口中之多區氣體注入器供應,該專利公開案之揭示內容以引用方式全部倂入本文。圖1a-b顯示包括同軸注入器出口124及軸外注入器出口126的多區氣體注入器,其中同軸注入器出口124給一中心區域供應製程氣體,該製程氣體在垂至於該基板表面之軸向方向上供應至該中心區域;而軸外注入器出口126給一鈍化層區域供應製程氣體,該製程氣體在不垂至於該基板之傾斜方向上供應至該鈍化層區域。為控制每一線路中之氣體流動,可將諸如可變流量限制裝置136a、136b等流動控制器放置在供應不同注入區之分離氣體線路之每一者中。裝置136a、136b可手動設定或藉由適當之電子控制器自動操作。藉由獨立地改變可變流量限制裝置136a、136b之設定值,可改變通過該兩個出口124、126之流量比率。替代實施方案包括多個出口及可變流量限制閥門及/或固定限制器及閥門之網路,其使得能夠將到達每一注入區之總傳導調節至一個或多個預定動態控制值。
在圖1a中,中心氣體注入出口124被顯示接續中心膛孔125。舉例而言,如美國專利第6,052,176號中所揭示,膛孔125之上端可由窗口127密封,窗口127經配置以與諸如燈、光譜計、光纖及透鏡配置等監視設備129通信,該美國專利之揭示內容以引用方式全部倂入本文。在此配置中,同軸出口124較佳地具有一大於軸外出口126之直徑。在圖1b中,同軸出口124具有一小於膛孔125之直徑。可選擇同軸出口124及軸外出口126之相對大小以達成合意之氣體流量分佈。舉例而言,軸外出口126之總橫截面積可小於、等於或大於同軸出口124之總橫截面積。
熟習此項技術者應瞭解,各種氣體之流速將相依於諸如電漿反應器類型、功率設定、反應器中真空壓力、電漿源離解速率等因素。
較佳地,將反應器壓力保持在適合於維持反應器中電漿之位凖。一般而言,過低的反應器壓力可導致電漿消失,而在高密度蝕刻反應器中,過高的反應器壓力可導致蝕刻停止問題。對於高密度電漿反應器,該反應器較佳地處於低於100 mTorr之壓力下。用於在TCPTM 反應器中產生高密度電漿之典型製程方案包括約450 W之功率、60 V之射頻偏壓、5-70 mT之壓力及50-1000 sccm之氣體流速。由於正經受蝕刻之半導體基板處之電漿限制,基板表面處之真空壓力可高於該反應器之真空壓力設定。
支撐該經受蝕刻之半導體基板的基板支架較佳充分冷卻該基板以防止諸如任何光阻劑在基板上燃燒及形成不期望之反應氣體自由基等有害副反應。在高密度電漿反應器中,使一流體在該基板支架中流動以保持-10至+80攝氏度之基板溫度係足夠。該基板支架可包括一用於在處理期間向該基板供應一射頻偏壓之底部電極及一用於夾持該基板之ESC。舉例而言,該基板可包括一矽晶圓,該矽晶圓藉靜電夾持且藉由該晶圓與該ESC之頂表面之間以一所期望壓力供應氦(He)來控制其溫度。為將晶片保持在所期望溫度,可在晶片與夾盤之間之空間中將He維持在2至30 Torr之壓力。
可藉由通過該反應器頂部一介電窗口、通過舉例一接近介電窗口之側壁、通過一夾盤或通過一基板托架之外半徑注入該氣體在基板邊界處供應特定氣體化學品。具體而言,參照圖2,其顯示一可用於邊緣氣體注入之電漿室之實施例,特定氣體化學品可由注入器20通過電漿室之一側壁供應且沿流線21流動,而其他氣體化學品可由蓮蓬頭噴嘴23通過電漿室之頂篷供應及且沿流線24流動。儘管上文已描述各種用於在基板邊界處供應更多特定氣體化學品之注入設備之實例,任何能夠在該基板邊界處比在該基板中心處供應更多含矽氣體之合適氣體供應配置皆可用於參照圖3及圖4所描述之蝕刻製程。
圖3係一顯示一電漿蝕刻室中SiClx 之徑向濃度分佈之示意圖,其中用自Cl2 形成之電漿蝕刻一半導體基板上之矽層。因蝕刻反應310所致的SiClx 濃度在半導體基板320及反應器中心330上方達到峰值。隨著半徑增大,SiClx 物質之濃度由於SiClx 物質之向外唧送340及SiClx 物質損失至室壁350沉積反應而減小。
圖4係一顯示一電漿蝕刻室中SiClx 之徑向濃度分佈之示意圖,其中用自Cl2 形成之電漿蝕刻一半導體基板上之矽層且含矽氣體被供應至該電漿蝕刻室之周邊區域。類似於圖3,因蝕刻反應410所致之SiClx 濃度在半導體基板420及反應器中心430上方達到峰值,且隨著半徑增大,SiClx 物質之濃度由於SiClx 物質之向外唧送440及SiClx 物質損失至室壁450沉積反應而減小。然而,SiClx 濃度由於向電漿蝕刻室460之周邊區域供應含矽氣體而顯著地減小總SiClx 濃度470中之徑向變化。
不希望受任何理論束縛,據信總SiClx 濃度中徑向變化之減小改善了達到該半導體基板之鈍化物質通量之均勻度,從而改善該蝕刻製程之徑向臨界尺寸均勻度。更一般而言,一含矽鈍化物質鈍化經蝕刻形體之側壁。該含矽鈍化物質可由該電漿蝕刻產生(亦即來自該半導體基板)及/或可係在該第二氣體中之含矽氣體。較佳地,該蝕刻氣體含氯(諸如(例如)當電漿由Cl2 形成時),且SiClx 鈍化經蝕刻形體之側壁。
雖然已描述各種實施例,應理解,如熟悉此項技術者所明瞭,可實施改變及修改。該等改變及修改被認為係屬於隨附申請專利範圍之範圍及範疇內。
20...注入器
21...流線
23...蓮蓬頭噴嘴
24...流線
124...同軸注入器出口
125...中心膛孔
126...軸外注入器出口
127...窗口
129...監視設備
136a...可變流量限制裝置
136b...可變流量限制裝置
310...蝕刻反應
320...半導體基板
330...反應器中心
340...向外唧送
350...室壁
410...蝕刻反應
420...半導體基板
430...反應器中心
440...向外唧送
450...室壁
460...電漿蝕刻室
470...總SiClx 濃度
圖1A-B顯示一雙區注入器之詳圖;圖2顯示一可用於邊緣氣體注入之電漿室之實施例;圖3係一顯示一電漿蝕刻室中SiClx 之徑向濃度分佈之示意圖,其中用自Cl2 形成之電漿蝕刻半導體基板上一矽層;且圖4係一顯示一電漿蝕刻室中SiClx 之徑向濃度分佈之示意圖,其中用自Cl2 形成之電漿蝕刻半導體基板上一矽層且含矽氣體被供應至該半導體基板上方一周邊區域。
124...同軸注入器出口
125...中心膛孔
126...軸外注入器出口
127...窗口
129...監視設備
136a...可變流量限制裝置
136b...可變流量限制裝置

Claims (19)

  1. 一種以經改善臨界尺寸均勻度蝕刻半導體基板之方法,其包括:將一半導體基板支撐於一電感耦合電漿蝕刻室中一基板支架上;將一第一蝕刻氣體供應至該半導體基板上方一中心區域,該第一蝕刻氣體包含一含矽氣體;將一包括至少一種含矽氣體之第二氣體供應至該半導體基板上方一環繞該中心區域之周邊區域,其中該第二氣體中矽之濃度大於該第一蝕刻氣體中矽之濃度;將該第一蝕刻氣體中一數量的矽相對於該第二氣體中一數量的矽做調整;藉由將射頻能量電感地耦合至該室中,自該第一蝕刻氣體及該第二氣體中產生一電漿;且使用該電漿對該半導體基板之一暴露表面進行電漿蝕刻,至少一含矽鈍化物質,其鈍化蝕刻至該半導體基板內之形體之側壁;以及該第一蝕刻氣體包含氯,且該含矽鈍化物質包含SiClx ,其中x為1、2、3或4。
  2. 如請求項1之方法,其包括電漿蝕刻該半導體基板之暴露表面中由至少一個開孔、通路、溝道及閥門結構組成之群組中選出之形體。
  3. 如請求項1之方法,其中該含矽鈍化物質由電漿蝕刻及 由該第二氣體產生。
  4. 如請求項1之方法,其中該含矽鈍化物質由該含矽氣體提供。
  5. 如請求項1之方法,其中該含矽氣體係選自由SiCl4 、SiHCl3 、SiH2 Cl2 、SiH3 Cl及其混合物組成之群組。
  6. 如請求項1之方法,其中該第二氣體進一步包括一惰性載氣。
  7. 如請求項6之方法,其中該惰性載氣係選自由He、Ne、Ar、Kr、Xe及其混合物組成之群組。
  8. 如請求項1之方法,其中該第二氣體進一步包含一蝕刻劑氣體。
  9. 如請求項8之方法,其中該蝕刻劑氣體係選自由Cl2 、CF、CF2 、CF3 、HBr、CF2 H2 、SF6 、HCl及其混合物組成之群組。
  10. 如請求項1之方法,其中該第二氣體進一步包括一鈍化氣體。
  11. 如請求項10之方法,其中該鈍化氣體係選自由O2 、N2 及其混合物組成之群組。
  12. 如請求項1之方法,其中藉由將射頻能量供應至平行於該半導體基板配置之平面線圈而藉由將射頻能量電感耦合至該電漿室中來產生電漿。
  13. 如請求項2之方法,其中接近該半導體基板中心之所蝕刻形體係與更接近於該晶圓邊緣之所蝕刻等效形體大致均等。
  14. 如請求項13之方法,其中該半導體基板係一300毫米晶圓且該等形體係小於50奈米且具有小於或等於1.5奈米3σ之非均勻度。
  15. 一種利用改善臨界尺寸均勻度蝕刻一半導體基板的方法,其包含:將一半導體基板支撐於一電感耦合電漿蝕刻室中一基板支架上;將一第一蝕刻氣體供應至該半導體基板上方一中心區域,該第一蝕刻氣體包含一含矽氣體;將一包括至少一種含矽氣體之第二氣體供應至該半導體基板上方一環繞該中心區域之周邊區域,其中該第二氣體中矽之濃度大於該第一蝕刻氣體中矽之濃度;將該第一蝕刻氣體中一數量的矽相對於該第二氣體中一數量的矽做調整;藉由將射頻能量電感地耦合至該室中,自該第一蝕刻氣體及該第二氣體中產生一電漿;且使用該電漿對該半導體基板之一暴露表面進行電漿蝕刻,其中該半導體基板之該暴露表面包含一矽層,以及其中該矽層包括一單晶矽晶圓之暴露區域、一應變型矽層或一矽鍺層之暴露區域。
  16. 如請求項15之方法,其中該矽層係在氮化矽、氧化矽或氧氮化矽、遮罩層下方。
  17. 如請求項15之方法,其中該矽層係在一下層閘極氧化物 及一上層硬或軟遮罩層或光阻劑之間。
  18. 如請求項17之方法,其中該矽層在一氮化矽、氧化矽或氧氮化矽遮罩層或光阻劑下方。
  19. 一種以經改善臨界尺寸均勻度蝕刻半導體基板之方法,其包括:將一半導體基板支撐於一電感耦合電漿蝕刻室中一基板支架上;將一第一蝕刻氣體供應至該半導體基板上方一中心區域,該第一蝕刻氣體包含一含矽氣體;將一包括至少一種含矽氣體之第二氣體供應至該半導體基板上方一環繞該中心區域之周邊區域,其中該第二氣體中矽之濃度大於該第一蝕刻氣體中矽之濃度;將該第一蝕刻氣體中一數量的矽相對於該第二氣體中一數量的矽做調整;藉由將射頻能量電感地耦合至該室中,自該第一蝕刻氣體及該第二氣體中產生一電漿;且使用該電漿對該半導體基板之一暴露表面進行電漿蝕刻,其中該第二氣體包含(i)SiCl4(ii)CxFy或CxFyHz及(iii)一惰性氣體之三者的一混合物。
TW096121534A 2006-06-20 2007-06-14 用以改善臨界尺寸均勻度之邊緣氣體注入 TWI416614B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/455,671 US7932181B2 (en) 2006-06-20 2006-06-20 Edge gas injection for critical dimension uniformity improvement

Publications (2)

Publication Number Publication Date
TW200807549A TW200807549A (en) 2008-02-01
TWI416614B true TWI416614B (zh) 2013-11-21

Family

ID=38833941

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096121534A TWI416614B (zh) 2006-06-20 2007-06-14 用以改善臨界尺寸均勻度之邊緣氣體注入

Country Status (6)

Country Link
US (1) US7932181B2 (zh)
JP (2) JP5492557B2 (zh)
KR (1) KR101494469B1 (zh)
CN (1) CN101473415B (zh)
TW (1) TWI416614B (zh)
WO (1) WO2007149210A2 (zh)

Families Citing this family (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5607881B2 (ja) * 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
KR101099716B1 (ko) 2009-07-15 2011-12-28 세메스 주식회사 반도체 제조 장치에서 가스 배관의 구조 및 이를 포함하는 고밀도 플라즈마 기상 증착 장치
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
KR101139829B1 (ko) * 2010-02-22 2012-04-30 (주)젠 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102231360B (zh) * 2011-05-27 2013-05-15 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
KR20140058647A (ko) * 2011-09-07 2014-05-14 어플라이드 머티어리얼스, 인코포레이티드 선형 증착 챔버에서 가스를 분배하고 플라즈마를 적용하기 위한 장치 및 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
JP6210762B2 (ja) * 2013-07-08 2017-10-11 株式会社アルバック ドライエッチング装置
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
DE112014005913B4 (de) * 2013-12-20 2021-10-07 Ngk Insulators, Ltd. Verfahren zum Herstellen von Substraten mit zumindest einer Oberflächen-Gallium-Nitrid-Schicht
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP2015170828A (ja) 2014-03-11 2015-09-28 富士フイルム株式会社 プラズマエッチング方法およびパターン化基板の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102553629B1 (ko) 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6722760B2 (ja) * 2016-06-20 2020-07-15 東京エレクトロン株式会社 被処理体を処理する方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102255071B1 (ko) * 2019-03-28 2021-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
KR102223806B1 (ko) * 2019-03-28 2021-03-08 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
CN110184587B (zh) * 2019-05-23 2021-06-15 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN111029254B (zh) * 2019-12-26 2023-03-21 苏州科阳光电科技有限公司 一种干法刻蚀方法
WO2023215398A1 (en) * 2022-05-06 2023-11-09 Applied Materials, Inc. Pulsed etch process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051439A1 (en) * 1999-09-24 2001-12-13 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US20040079728A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20040175950A1 (en) * 2003-03-03 2004-09-09 Lam Research Corporation Method to improve profile control and n/p loading in dual doped gate applications
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275752A (en) 1978-09-22 1981-06-30 Collier Nigel A Fluid flow apparatus and method
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4835114A (en) 1986-02-19 1989-05-30 Hitachi, Ltd. Method for LPCVD of semiconductors using oil free vacuum pumps
US5313982A (en) 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
US5200388A (en) 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
US5037666A (en) 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
US5077875A (en) 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5100505A (en) 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
US5288325A (en) 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP2797233B2 (ja) 1992-07-01 1998-09-17 富士通株式会社 薄膜成長装置
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
EP0602595B1 (en) 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5950693A (en) 1993-04-28 1999-09-14 Advanced Delivery & Chemical Systems, Ltd. Bulk chemical delivery system
JPH07245193A (ja) 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
DE69508273T2 (de) 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US6296026B1 (en) 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6039074A (en) 1997-09-09 2000-03-21 Novellus Systems, Inc. Pressure-induced shut-off valve for a liquid delivery system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6315858B1 (en) 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6192919B1 (en) 1998-06-26 2001-02-27 Advanced Delivery & Chemical Systems, Ltd. Chemical delivery and containment system employing mobile shipping crate
US6058958A (en) 1998-11-05 2000-05-09 Micromed Technology, Inc. Pulsatile flow system and method
US6052176A (en) 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6155289A (en) 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6302139B1 (en) 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
DE60041341D1 (de) 1999-08-17 2009-02-26 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6410451B2 (en) 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001247685A1 (en) 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6607597B2 (en) 2001-01-30 2003-08-19 Msp Corporation Method and apparatus for deposition of particles on surfaces
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002339071A (ja) 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6794293B2 (en) 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040018741A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6864174B2 (en) 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6916697B2 (en) 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7196014B2 (en) 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010051439A1 (en) * 1999-09-24 2001-12-13 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US20040079728A1 (en) * 2002-10-23 2004-04-29 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20040175950A1 (en) * 2003-03-03 2004-09-09 Lam Research Corporation Method to improve profile control and n/p loading in dual doped gate applications
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate

Also Published As

Publication number Publication date
JP2009541995A (ja) 2009-11-26
US20070293043A1 (en) 2007-12-20
KR101494469B1 (ko) 2015-03-02
TW200807549A (en) 2008-02-01
US7932181B2 (en) 2011-04-26
WO2007149210A2 (en) 2007-12-27
CN101473415A (zh) 2009-07-01
JP2013042160A (ja) 2013-02-28
JP5492557B2 (ja) 2014-05-14
KR20090026156A (ko) 2009-03-11
WO2007149210A3 (en) 2008-02-07
CN101473415B (zh) 2013-08-14

Similar Documents

Publication Publication Date Title
TWI416614B (zh) 用以改善臨界尺寸均勻度之邊緣氣體注入
US7098141B1 (en) Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
EP3038142A1 (en) Selective nitride etch
US20170229287A1 (en) Oxide etch selectivity systems and methods
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US8889023B2 (en) Plasma processing apparatus and plasma processing method
US9960049B2 (en) Two-step fluorine radical etch of hafnium oxide
US20060021704A1 (en) Method and apparatus for etching Si
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
KR102521089B1 (ko) Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
US20210043450A1 (en) Low Temperature High-Quality Dielectric Films
US10283324B1 (en) Oxygen treatment for nitride etching
JP2019009403A (ja) プラズマ処理方法およびプラズマ処理装置
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials