KR20090026156A - 반도체 기판을 균일하게 에칭하기 위한 가스 주입 - Google Patents

반도체 기판을 균일하게 에칭하기 위한 가스 주입 Download PDF

Info

Publication number
KR20090026156A
KR20090026156A KR1020087030923A KR20087030923A KR20090026156A KR 20090026156 A KR20090026156 A KR 20090026156A KR 1020087030923 A KR1020087030923 A KR 1020087030923A KR 20087030923 A KR20087030923 A KR 20087030923A KR 20090026156 A KR20090026156 A KR 20090026156A
Authority
KR
South Korea
Prior art keywords
silicon
gas
semiconductor substrate
etching
plasma
Prior art date
Application number
KR1020087030923A
Other languages
English (en)
Other versions
KR101494469B1 (ko
Inventor
하르미트 싱
데이비드 쿠퍼버그
바히드 바헤디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090026156A publication Critical patent/KR20090026156A/ko
Application granted granted Critical
Publication of KR101494469B1 publication Critical patent/KR101494469B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

유도 결합형 플라즈마 에칭 챔버에서 기판 지지체 상에 반도체 기판을 지지하는 단계; 반도체 기판 상의 중심 영역으로 제 1 에칭 가스를 공급하는 단계; 적어도 한가지의 실리콘 함유 가스를 포함하는 제 2 가스를 중심 영역을 둘러싼 반도체 기판 상의 주변 영역으로 공급하는 단계로서, 제 2 가스의 실리콘의 농도가 제 1 에칭 가스의 실리콘의 농도보다 큰, 제 2 가스 공급 단계; 제 1 에칭 가스 및 제 2 가스로부터 챔버로 유도 결합형 무선 주파수 에너지에 의해 플라즈마를 생성하는 단계; 및 반도체 기판의 노출 표면을 플라즈마 에칭하는 단계를 포함하는, 임계 치수 불균일성이 개선된 반도체 기판을 에칭하는 방법이 개시된다.
임계 치수 균일성, 유도 결합형 플라즈마 에칭 챔버, 에칭 가스

Description

반도체 기판을 균일하게 에칭하기 위한 가스 주입{GAS INJECTION TO ETCH A SEMICONDUCTOR SUBSTRATE UNIFORMLY}
임계 치수 균일성 개선을 위한 에지 가스 주입
요약
개선된 임계 치수 균일성을 가진 반도체 기판을 에칭하는 방법이 제공된다. 이 방법은 유도 결합형 플라즈마 에칭 챔버에서 기판 지지체 상에 반도체 기판을 지지하는 단계; 반도체 기판 상의 중심 영역으로 제 1 에칭 가스를 공급하는 단계; 적어도 한가지의 실리콘 함유 가스를 포함하는 제 2 가스를 중심 영역을 둘러싼 반도체 기판 상의 주변 영역으로 공급하는 단계로서, 제 2 가스의 실리콘의 농도가 제 1 에칭 가스의 실리콘의 농도보다 큰, 제 2 가스 공급 단계; 유도 결합형 무선 주파수 에너지에 의해 제 1 에칭 가스 및 제 2 가스로부터 챔버로 플라즈마를 생성하는 단계; 및 반도체 기판의 노출 표면을 플라즈마 에칭하는 단계를 포함한다.
도면의 간단한 설명
도 1a 및 도 1b는 2 존 주입기(two-zone injector)의 세부 사항을 도시한다.
도 2는 에지 가스 주입에 유용한 플라즈마 챔버의 실시 형태를 도시한다.
도 3은 플라즈마 에칭 챔버 내의 SiClx의 레디얼 농도 프로파일을 도시하는 개략도로서, 반도체 기판 상의 실리콘 층은 Cl2로부터 형성된 플라즈마로 에칭된다.
도 4는 플라즈마 에칭 챔버 내의 SiClx의 레디얼 농도 프로파일을 도시하는 개략도로서, 반도체 기판 상의 실리콘 층은 Cl2로부터 형성된 플라즈마로 에칭되고 반도체 기판 상의 주변 영역으로 실리콘 함유 가스가 공급된다.
바람직한 실시 형태들의 상세한 설명
집적 회로와 같은 반도체 기반 제품들의 제조 동안, 에칭 및/또는 퇴적 단계들은 웨이퍼와 같은 반도체 기판 상에 재료의 층들을 축적하거나 제거하는데 사용될 수도 있다. 종래의 에칭 절차는 재료의 층에 플라즈마 에칭을 실시하기 위해 플라즈마 상태안으로 에너지를 공급하는 하나 이상의 에칭 가스들을 사용하는 것을 수반한다. 에칭될 피처들은, 예를 들어, 개구들, 비아들, 및 게이트 구조들을 포함한다.
많은 플라즈마 에칭 애플리케이션들은 원하는 피처 프로파일을 얻기 위해 패시베이션 층의 생성에 의존한다. 프로파일 제어의 주요 메커니즘은 에칭 및 퇴적 반응들의 밸런스를 포함한다. 일반적으로, 에칭 반응들은 입력 전력, 압력, 및 가스 유량들과 같은 반응 챔버 파라미터들에 의해 직접적으로 제어된다. 실리콘 웨이퍼들의 플라즈마 에칭 시, 에칭 반응 부산물들이 주된 퇴적 소스로 되어, 퇴적 메커니즘이 간접적으로 제어된다.
임계 치수 (CD) 는, 지정된 기술을 이용한 반도체 장치/회로 제조 동안 형성될 수 있는 최소 기하적 피처 (예를 들어, 상호 접속 라인, 콘택들, 트랜치들 등의 폭) 의 치수이다. 웨이퍼의 중앙에서 피처들의 임계 치수가 웨이퍼의 에지에 가까운 피처들의 임계 치수와 동일할 때 임계 치수가 균일하게 제공된다. 임계 치수 균일성은, 웨이퍼 중심 근처에 에칭된 피처들이 웨이퍼 에치에 가깝게 에칭된 동등한 피처들과 실질적으로 균일하게 되는 거의 완벽한 정도가 매우 바람직한데, 그렇지 않으면 제조될 집적 회로가 원하는 것 이상으로 벗어나는 전자 특성을 가질 것이다. 웨이퍼 직경의 사이즈가 각각 증가하므로, 점점 더 커지는 웨이퍼들에 걸쳐서 형성된 집적 회로들의 균일성을 확보하는 문제는 더욱 곤란해진다.
다양한 에칭 가스 화학 물질들이 에칭 응용들에서 사용된다. 예를 들어, HBr-O2 에칭 가스 화학 물질들을 이용할 때, 패시베이션 층은 주로 SixBryOz로 이루어진다. Cl2-O2 에칭 가스 화학 물질들에 있어서, 패시베이션 층은 주로 SixClyOz로 이루어진다. 패시베이션 층의 다른 성분은 N, C, H, 및 F를 포함할 수 있다. 더욱이, 석영 성분과 같은 챔버 재료들 및/또는 실리콘 웨이퍼들의 에칭 결과, 휘발성 실리콘 에칭 부산물이 패시베이션 층에 포함된다.
실리콘 웨이퍼들 및/또는 챔버 재료들과 같은 실리콘 소스의 에칭으로 인해 실리콘이 패시베이션 층들에 포함될 수 있다. 이러한 실리콘 소스들은 에칭 가스 화학 작용에 의해 직접적으로 제어되지 않는 2차 부산물이다. 더욱이, 휘발성 실리콘 에칭 부산물들이 웨이퍼 표면으로부터 진공 배기 포트를 향해 이송됨에 따라서, 웨이퍼 표면 상에 실리콘 함유 부산물들이 퇴적할 가능성은 한정된다. 또한, 휘발성 실리콘 에칭 부산물이 플라즈마 영역 내에서 반응제로 해리될 수도 있는데, 웨이퍼 표면 상에 실리콘 함유 부산물들이 퇴적할 가능성이 더욱 크다. 웨이퍼 표면 상의 실리콘 함유 부산물의 퇴적은 웨이퍼에 걸친 실리콘 부산물 농도가 불균일한 원인이 될 수 있고 에칭된 피처 임계 치수 불균일성의 원인이 될 수 있다.
개선된 임계 치수 균일성을 갖는 반도체 기판을 에칭하는 방법이 제공된다. 이 방법은 플라즈마 에칭 챔버 내에서 기판 지지체 위의 반도체 기판을 지지하는 단계; 제 1 에칭 가스를 반도체 기판 위의 중심 영역으로 공급하는 단계; 적어도 한가지의 실리콘 함유 가스를 포함하는 제 2 가스를 중심 영역을 둘러싼 반도체 기판 위의 주변 영역으로 공급하는 단계로서, 제 2 가스의 실리콘의 농도는 제 1 에칭 가스의 실리콘의 농도보다 큰, 상기 제 2 가스 공급 단계; 제 1 에칭 가스 및 제 2 가스로부터 플라즈마를 생성하는 단계; 및 반도체 기판의 노출 표면을 플라즈마 에칭하는 단계를 포함한다. 반도체 기판의 중심 근처의 에칭 피처들이 반도체 기판의 에지에 가까운 동등한 에칭 피처들과 실질적으로 균일한 것이 바람직하다.
예시적인 실리콘 함유 가스들은, 예를 들어, SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, SiH4, Si2H6, SiH3CH3, SiH(CH3)3, SiF4, SiBr4, 테트라에틸 오소실리케이트 (TEOS), 또는 그 혼합물들을 포함한다. 적어도 한가지의 실리콘 함유 가스를 포함하는 제 2 가스는 (1) 예를 들어, He, Ne, Ar, Kr, Xe와 같은 불활성 캐리어 가스, 또는 그 혼합물; (2) 예를 들어, Cl2, CxFy, HBr, CxFyHz, SF6, HCl과 같은 에천트 가스, 또는 예를 들어, SF6 및 CH2F2의 혼합물과 같은 그 혼합물; 및/또는 (3) 예를 들어, O2, N2와 같은 패시베이션 가스, 또는 그 혼합물을 더 포함할 수도 있다.
제 1 에칭 가스는 실리콘을 함유할 수도 있고 또는 함유하지 않을 수도 있다. 이 방법은 (1) 주위 영역으로 공급된 제 2 가스의 양; (2) 주위 영역으로 공급된 실리콘의 양; 및/또는 (3) 제 2 가스 내 실리콘의 농도 대 제 1 에칭 가스 내 실리콘의 농도의 비를 조정하는 단계를 더 포함할 수도 있다.
반도체 기판의 노출 표면은 실리콘 니트리드, 실리콘 옥사이드, 또는 실리콘 옥시니트리드 마스크층과 같은 마스크 아래의 단결정 실리콘 웨이퍼의 일부일 수 있는 실리콘층을 포함할 수도 있다. 대안으로, 실리콘층은 단결정 실리콘 웨이퍼와 같은 기판 상의 에피택셜 층, 스트레인드 실리콘 층, 및 실리콘 게르마늄 층일 수 있다. 실리콘 층은 실리콘 웨이퍼 상의 다결정 실리콘의 층을 포함할 수도 있는데, 다결정 실리콘 층은 밑에 있는 게이트 산화막과, 실리콘 니트리드, 실리콘 옥사이드, 또는 실리콘 옥시니트리드 마스크 층과 같은 위에 있는 하드 또는 소프트 마스크층 또는 포토레지스트 사이에 있다. 대안으로, 개시된 에칭 방법은, 예를 들어, 다결정 실리콘 게이트들, 금속 게이트들, 높은 유전상수 (K), W 및 WSi 게이트들, 및 스페이서들의 에칭과 같은 부가적인 에칭 애플리케이션들에 적용된다.
예를 들어, 300 mm 웨이퍼에 있어서, 에칭된 피처들은 50nm 미만 (예를 들어, 45nm, 25nm, 18nm 등) 이고 1.5nm 3σ 이하의 불균일성을 갖는 것이 바람직하 다.
플라즈마는 유도 결합형 플라즈마 (ICP) 리액터로도 지칭되는 램 리써치 코포레이션에서 이용 가능한 트랜스포머 결합 플라즈마 (TCPTM) 리액터에서 생산된 고 밀도 플라즈마가 바람직하다.
현재 개시된 방법은 리액터의 벽 내의 아웃렛에 접속된 진공 펌프에 의해 원하는 진공압으로 유지된 유도 결합 플라즈마 리액터에서 실행되는 것이 바람직하다. 에칭 가스는 가스 공급으로부터 유전체 윈도우의 밑면 주위로 연장된 플리넘으로 가스를 공급함으로써 샤워헤드 또는 주입기 장치로 공급될 수 있다. RF 소스로부터, 리액터 맨위의 유전체 윈도우 외부의 하나 이상의 턴들을 갖는 평면 또는 곡면 코일과 같은 외부 RF 안테나로 RF 에너지를 공급함으로써 리액터내에 고밀도의 플라즈마가 생성될 수 있다. 플라즈마 생성 소스는 리액터 상단의 진공 밀폐 방식으로 착탈가능하게 장착된 모듈식 탑재 장치의 일부일 수 있다.
웨이퍼는, 리액터의 측벽으로부터 모듈식 탑재 장치에 의해 착탈가능하게 지지된 캔틸레버 척 장치와 같은 기판 지지체 상의 리액터 내에서 지지될 수 있다. 이러한 기판 지지체는, 리액터의 측벽 내 개구를 통해 그 조립체를 통과함으로써 전체 기판 지지체/지지체 암 조립체가 리액터에서 제거될 수 있도록, 캔틸레버 방식으로 장착된 지지체 암의 일 단에 있다. 기판 지지체는 정전척과 같은 척킹 장치를 포함할 수 있고 기판은 유전체 포커스 링에 의해 둘러싸일 수 있다. 척은 에칭 처리 동안 RF 바이어스를 기판에 인가하기 위해 RF 바이어싱 전극을 포함 할 수 있다. 가스 공급에 의해 공급된 에칭 가스는 윈도우와 하부 가스 분배 플레이트 사이의 채널들을 통해 흘러 가스 분배 플레이트 내 가스 아웃렛들을 통해 챔버의 내부로 들어갈 수 있다. 리액터는 또한, 가스 분배 플레이트로부터 연장된 가열된 라이너를 포함할 수 있다.
대안으로, 본원에 참고 문헌으로써 전체적으로 포함된 개시물로서, 통상적으로 지정된 미국 특허 공개 공보 제 2003/0070620 호 A1에 개시된 바와 같이 유전체 윈도우에 장착된 멀티 존 가스 주입기에 의해 에칭 가스가 공급될 수 있다. 도 1a 및 도 1b는, 기판 표면에 수직한 축 방향으로 처리 가스가 공급되는 중심 영역으로 처리 가스를 공급하는 온-축 주입 아웃렛 (124) 과 기판 표면에 수직하지 않은 각이 있는 방향으로 처리 가스가 공급되는 주변 영역으로 처리 가스를 공급하는 오프-축 주입 아웃렛 (126) 을 포함하는 멀티 존 가스 주입기들을 도시한다. 각 라인 내 가스 유량을 제어하기 위해서, 가변 유량 제한 장치들 (136a, 136b) 과 같은 유량 제어기들을 상이한 주입 존들을 공급하는 분리된 가스 라인들 각각에 위치시킬 수 있다. 유량 제한 장치들 (136a, 136b) 은 적절한 전자식 제어들에 의해 수동으로 설정되거나 자동으로 동작할 수 있다. 유량 제한 장치들 (136a, 136b) 의 설정을 독립적으로 변경함으로써, 2개의 아웃렛들 (124, 126) 을 통해 유량들의 비를 변경할 수 있다. 대안적인 구현들은, 하나 이상의 미리 설정되고 동적으로 제어된 값들로 조정될 각각의 주입 존에 대하여 토탈 컨덕턴스를 가능하게 하는, 다수의 아웃렛들 및 가변 유량 제한 밸브들 및/또는 고정 제한기들 및 밸브들의 네트워크들을 포함한다.
도 1a에서, 중심 보어 (125) 의 연장으로서 중심 가스 주입 아웃렛 (124) 을 도시한다. 예를 들어, 보어 (125) 의 상단은, 본원에 전체적으로 참고로써 포함된 개시물인 미국 특허 제 6,052,176 호에 개시된 램프, 스펙트로미터, 광섬유, 및 렌즈 장치와 같은 모니터링 장치 (129) 와 통신하도록 준비된 윈도우 (127) 에 의해 시일링될 수 있다. 이러한 장치에서, 온-축 아웃렛 (124) 은 오프-축 아웃렛 (126) 보다 큰 직경을 갖는 것이 바람직하다. 도 1b에서, 온-축 아웃렛 (124) 은 보어 (125) 보다 더 작은 직경을 갖는다. 온-축 아웃렛 (124) 및 오프-축 아웃렛 (126) 의 상대 크기들은 원하는 가스 흐름 분배를 달성하도록 선택할 수 있다. 예를 들어, 오프-축 아웃렛 (126) 의 총 단면적은 온-축 아웃렛 (124) 의 총 단면적보다 작거나, 같거나, 또는 클 수 있다.
다양한 가스들의 유량은 플라즈마 리액터의 유형, 전력 셋팅, 리액터내의 진공 압력, 플라즈마 소스에 대한 해리 속도 등과 같은 인자들에 의존할 것이라는 것을 당업자는 이해한다.
리액터 압력은 리액터 내에서 플라즈마를 유지하는데 적합한 레벨에서 유지하는 것이 바람직하다. 일반적으로, 너무 낮은 리액터 압력은 플라즈마를 소멸시키는 결과를 가져올 수 있는 반면, 고밀도 에칭 리액터에서 매우 높은 리액터 압력은 에칭 중단 문제를 초래할 수 있다. 고밀도 플라즈마 리액터들에 있어서, 리액터는 100 mTorr 미만의 압력이 바람직하다. TCPTM 리액터에서 고밀도 플라즈마를 생성하는 일반적인 프로세스 방식들은 약 450 W 전력, 60 V RF 바이어스, 5 내지 70 mT의 압력, 및 50-1000 sccm의 가스 유량을 포함한다. 에칭할 반도체 기판에서의 플라즈마 한정으로 인해, 기판 표면에서의 진공 압력은 리액터를 위한 진공 압력 셋팅보다 높을 수도 있다.
에칭할 반도체 기판을 지지하는 기판 지지체는 기판 상의 임의의 포토레지스트의 연소와 바람질하지 않은 반응물 가스 라디칼들의 형성과 같은 유해한 부반응을 방지할만큼 충분히 기판을 냉각시키는 것이 바람직하다. 고밀도 플라즈마 리액터들에서, -10℃ 내지 +80℃의 기판 온도를 유지하는 것이 기판 지지체에서 유체를 순환시키는데 충분하다. 기판 지지체는, 기판의 처리 동안 그 기판으로 RF 바이어스를 공급하는 하부 전극과, 기판을 클램핑하기 위한 ESC를 포함한다. 예를 들어, 기판은 정전적으로 클래핑된 실리콘 웨이퍼를 포함할 수 있고 이 웨이퍼와 ESC의 상부 표면 사이에 원하는 압력으로 헬륨 (He) 을 공급함으로써 온도가 제어된다. 이 웨이퍼를 원하는 온도로 유지하기 위해서, 웨이퍼와 척 사이의 공간에 2 내지 30 Torr의 압력으로 He를 유지시킬 수 있다.
특정 가스 화학 물질은, 리액터의 상부에서의 유전체 윈도우를 통해, 예를 들어 유전체 윈도우 근처의 측벽을 통해, 척을 통해, 또는 기판 홀더의 외부 반경을 통해 가스를 주입함으로써, 기판의 주변에서 공급될 수도 있다. 특히, 에지 가스 주입에 유용한 플라즈마 챔버의 실시 형태를 도시하는 도 2를 참고하면, 주입기 (20) 에 의해 플라즈마 챔버의 측벽을 통해 특정 가스 화학 물질이 공급되어 스트림라인들 (21) 을 따라 흐르는 한편, 샤워헤드 노즐 (23) 에 의해 플라즈마 챔버의 천정을 통해 다른 가스 화학 물질들이 공급되어 스트림라인들 (24) 을 따라 흐 를 수도 있다. 기판의 주변에서 보다 많은 특정 가스 화학 물질을 공급하는 가스 주입 장치의 다양한 예들을 상술하였지만, 기판 중심보다 기판 주변에서 더 많은 실리콘 함유 가스를 공급할 수 있는 임의의 적절한 가스 공급 장치가 도 3및 도 4를 참고하여 설명된 에칭 프로세스에 사용될 수 있다.
도 3은 플라즈마 에칭 챔버 내의 SiClx의 레디얼 농도 프로파일을 도시하는 개략도로서, 반도체 기판 상의 실리콘 층은 Cl2로부터 형성된 플라즈마로 에칭된다. 에칭 반응 (310) 으로 인한 SiClx 농도는 반도체 기판 (320) 과 리액터 중심 (330) 위에서 피크이다. 반경이 증가함에 따라, SiClx 종들의 펌프아웃 (340) 과 퇴적 반응시 챔버 벽 (350) 으로 SiClx 종들의 손실로 인해 SiClx 종들의 농도가 감소한다.
도 4는 플라즈마 에칭 챔버 내의 SiClx의 레디얼 농도 프로파일을 도시하는 개략도로서, 반도체 기판 상의 실리콘 층은 Cl2로부터 형성된 플라즈마로 에칭되고 반도체 기판 상의 주변 영역으로 실리콘 함유 가스가 공급된다. 도 3과 유사하게, 에칭 반응들 (410) 로 인한 SiClx 농도는 반도체 기판 (420) 과 리액터 중심 (430) 위에서 피크이고 반경이 증가함에 따라, SiClx 종들의 펌프아웃 (440) 과 퇴적 반응시 챔버 벽 (450) 으로 SiClx 종들의 손실로 인해 SiClx 종들의 농도가 감소한다. 그러나, 실리콘 함유 가스의 플라즈마 에칭 챔버 (460) 주위 영역으로의 공급으로 인한 SiClx 농도는 총 SiClx 농도 (470) 의 레디얼 변화를 감소시킨다.
이론에 구속되지 않기를 희망하면서, 총 SiClx 농도의 레디얼 변화의 감소는 반도체 기판으로의 패시베이팅 종들의 플럭스 균일성을 개선시켜, 에칭 프로세스의 레디얼 임계 치수 균일성을 개선시킨다고 생각한다. 보다 일반적으로, 실리콘을 함유한 패시베이팅 종들은 에칭된 피처들의 측벽들을 패시베이팅한다. 실리콘을 함유한 이 패시베이팅 종들은 플라즈마 에칭이 원인 (즉, 반도체 기판에서 온 것) 일 수도 있고/있거나 제 2 가스내의 실리콘 함유 가스일 수도 있다. 바람직하게는, 에칭 가스는, 예를 들어, 플라즈마가 Cl2로부터 형성되고, SiClx가 에칭된 피처들의 측벽들을 패시베이팅할 때와 같이, 염소를 함유한다.
다양한 실시 형태들이 설명되었지만, 당업자는 다양한 변경 및 수정들이 재분류될 수도 있다는 것을 명확하게 이해할 것이다. 이러한 변경 및 수정들은 본원에 첨부된 청구 범위의 영역 및 범위 내라고 간주한다.

Claims (23)

  1. 임계 치수 균일성을 개선하기 위한 반도체 기판을 에칭하는 방법으로서,
    유도 결합형 플라즈마 에칭 챔버에서 기판 지지체 상에서 반도체 기판을 지지하는 단계;
    상기 반도체 기판 위의 중심 영역으로 제 1 에칭 가스를 공급하는 단계;
    상기 중심 영역을 둘러싼 상기 반도체 기판 위의 주변 영역으로 적어도 한가지의 실리콘 함유 가스를 포함하는 제 2 가스를 공급하는 단계로서, 상기 제 2 가스의 실리콘의 농도는 상기 제 1 에칭 가스의 실리콘의 농도보다 큰, 상기 제 2 가스 공급 단계;
    상기 유도 결합형 플라즈마 에칭 챔버로 유도 결합형 무선 주파수 에너지에 의해 상기 제 1 에칭 가스 및 제 2 가스로부터 플라즈마를 생성하는 단계; 및
    상기 반도체 기판의 노출 표면을 플라즈마 에칭하는 단계를 포함하는, 반도체 기판 에칭 방법.
  2. 제 1 항에 있어서,
    상기 반도체 기판의 노출 표면에서 적어도 하나의 개구, 비아, 트렌치, 및 게이트 구조로 구성된 그룹에서 선택된 피처를 플라즈마 에칭하는 단계를 포함하는, 반도체 기판 에칭 방법.
  3. 제 1 항에 있어서,
    실리콘을 포함하는 적어도 하나의 패시베이팅 종들이 상기 반도체 기판 안으로 에칭된 피처들의 측벽들을 패시베이팅하는, 반도체 기판 에칭 방법.
  4. 제 3 항에 있어서,
    실리콘을 포함하는 상기 패시베이팅 종들은 상기 플라즈마 에칭 및 상기 제 2 가스에서 발생하는 것인, 반도체 기판 에칭 방법.
  5. 제 3 항에 있어서,
    실리콘을 포함하는 상기 패시베이팅 종들은 상기 실리콘 함유 가스에 의해 제공되는, 반도체 기판 에칭 방법.
  6. 제 3 항에 있어서,
    상기 제 1 에칭 가스는 실리콘 함유 가스를 포함하고,
    상기 제 2 가스의 실리콘 양과 관련하여 상기 제 1 에칭 가스의 실리콘 양을 조정하는 단계를 더 포함하는, 반도체 기판 에칭 방법.
  7. 제 3 항에 있어서,
    상기 제 1 에칭 가스는 염소를 포함하고, 실리콘을 포함하는 상기 패시베이 팅 종들은 SiClx를 포함하는, 반도체 기판 에칭 방법.
  8. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 SiCl4, SiHCl3, SiH2Cl2, SiH3Cl, SiH4, Si2H6, SiH3CH3, SiH(CH3)3, SiF4, SiBr4, 테트라에틸 오소실리케이트 (TEOS), 및 그 혼합물들로 구성된 그룹에서 선택되는, 반도체 기판 에칭 방법.
  9. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 불활성 캐리어 가스를 더 포함하는, 반도체 기판 에칭 방법.
  10. 제 8 항에 있어서,
    상기 불활성 캐리어 가스는 He, Ne, Ar, Kr, Xe, 및 그 혼합물로 구성된 그룹에서 선택되는, 반도체 기판 에칭 방법.
  11. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 에천트 가스를 더 포함하는, 반도체 기판 에칭 방법.
  12. 제 11 항에 있어서,
    상기 에천트 가스는 Cl2, CxFy, HBr, CxFyHz, SF6, HCl, 및 그 혼합물로 구성된 그룹에서 선택되는, 반도체 기판 에칭 방법.
  13. 제 1 항에 있어서,
    상기 실리콘 함유 가스는 패시베이션 가스를 더 포함하는, 반도체 기판 에칭 방법.
  14. 제 13 항에 있어서,
    상기 패시베이션 가스는 O2, N2, 및 그 혼합물들로 구성된 그룹에서 선택되는, 반도체 기판 에칭 방법.
  15. 제 1 항에 있어서,
    상기 반도체 기판의 상기 노출 표면은 실리콘 층을 포함하는, 반도체 기판 에칭 방법.
  16. 제 15 항에 있어서,
    상기 실리콘 층은 단결정 실리콘 웨이퍼의 노출 영역, 스트레인드 실리콘 층 또는 실리콘 게르마늄 층의 노출 영역을 포함하는, 반도체 기판 에칭 방법.
  17. 제 15 항에 있어서,
    상기 실리콘 층은 실리콘 니트리드, 실리콘 옥사이드, 또는 실리콘 옥시니트리드, 마스크 층 아래에 있는, 반도체 기판 에칭 방법.
  18. 제 1 항에 있어서,
    상기 반도체 기판에 평행하게 배열된 평면형 코일로 무선 주파수 에너지를 공급함으로써, 상기 유도 결합형 플라즈마 에칭 챔버로 유도 결합형 무선 주파수 에너지에 의해 플라즈마가 생성되는, 반도체 기판 에칭 방법.
  19. 제 15 항에 있어서,
    상기 실리콘 층은 실리콘 웨이퍼 상에 다결정 실리콘 층을 포함하는, 반도체 기판 에칭 방법.
  20. 제 15 항에 있어서,
    상기 실리콘 층은 밑에 있는 게이트 옥사이드와 위에 있는 하드 또는 소프트 마스크 층 또는 포토레지스트 사이에 있는, 반도체 기판 에칭 방법.
  21. 제 20 항에 있어서,
    상기 실리콘 층은 실리콘 니트리드, 실리콘 옥사이드, 또는 실리콘 옥시니트 리드 마스크 층 또는 포토레지스트 아래에 있는, 반도체 기판 에칭 방법.
  22. 제 2 항에 있어서,
    상기 반도체 기판의 중심 가까이 에칭된 피처는 웨이퍼의 에지에 더욱 가까이 에칭된 동등한 피처와 실질적으로 균일한, 반도체 기판 에칭 방법.
  23. 제 22 항에 있어서,
    상기 반도체 기판은 300 nm 웨이퍼이고, 상기 퍼처들은 50 nm 미만이고 1.5 nm 3σ 불균일성 이하인, 반도체 기판 에칭 방법.
KR1020087030923A 2006-06-20 2007-06-05 반도체 기판을 균일하게 에칭하기 위한 가스 주입 KR101494469B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/455,671 US7932181B2 (en) 2006-06-20 2006-06-20 Edge gas injection for critical dimension uniformity improvement
US11/455,671 2006-06-20
PCT/US2007/013159 WO2007149210A2 (en) 2006-06-20 2007-06-05 Gas injection to etch a semiconductor substrate uniformly

Publications (2)

Publication Number Publication Date
KR20090026156A true KR20090026156A (ko) 2009-03-11
KR101494469B1 KR101494469B1 (ko) 2015-03-02

Family

ID=38833941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087030923A KR101494469B1 (ko) 2006-06-20 2007-06-05 반도체 기판을 균일하게 에칭하기 위한 가스 주입

Country Status (6)

Country Link
US (1) US7932181B2 (ko)
JP (2) JP5492557B2 (ko)
KR (1) KR101494469B1 (ko)
CN (1) CN101473415B (ko)
TW (1) TWI416614B (ko)
WO (1) WO2007149210A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101139829B1 (ko) * 2010-02-22 2012-04-30 (주)젠 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5607881B2 (ja) * 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
KR101099716B1 (ko) 2009-07-15 2011-12-28 세메스 주식회사 반도체 제조 장치에서 가스 배관의 구조 및 이를 포함하는 고밀도 플라즈마 기상 증착 장치
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102231360B (zh) * 2011-05-27 2013-05-15 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
WO2013036619A2 (en) * 2011-09-07 2013-03-14 Applied Materials, Inc. Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6068727B2 (ja) 2013-04-04 2017-01-25 東京エレクトロン株式会社 パルス状気体プラズマドーピング方法及び装置
JP6210762B2 (ja) * 2013-07-08 2017-10-11 株式会社アルバック ドライエッチング装置
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
JP5832058B1 (ja) * 2013-12-20 2015-12-16 日本碍子株式会社 窒化ガリウム層を含む基板およびその製造方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP2015170828A (ja) 2014-03-11 2015-09-28 富士フイルム株式会社 プラズマエッチング方法およびパターン化基板の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102553629B1 (ko) 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
CN109314059B (zh) * 2016-06-20 2023-06-23 东京毅力科创株式会社 被处理体的处理方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102255071B1 (ko) * 2019-03-28 2021-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
KR102223806B1 (ko) * 2019-03-28 2021-03-08 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
CN110184587B (zh) * 2019-05-23 2021-06-15 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN111029254B (zh) * 2019-12-26 2023-03-21 苏州科阳光电科技有限公司 一种干法刻蚀方法
US12009218B2 (en) 2022-05-06 2024-06-11 Applied Materials, Inc. Pulsed etch process

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275752A (en) * 1978-09-22 1981-06-30 Collier Nigel A Fluid flow apparatus and method
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4835114A (en) * 1986-02-19 1989-05-30 Hitachi, Ltd. Method for LPCVD of semiconductors using oil free vacuum pumps
US5313982A (en) * 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
US5200388A (en) * 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
JPH03193880A (ja) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US6251792B1 (en) * 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5100505A (en) * 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
US5288325A (en) * 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP2797233B2 (ja) * 1992-07-01 1998-09-17 富士通株式会社 薄膜成長装置
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
EP0602595B1 (en) * 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5950693A (en) * 1993-04-28 1999-09-14 Advanced Delivery & Chemical Systems, Ltd. Bulk chemical delivery system
JPH07245193A (ja) * 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
DE69508273T2 (de) * 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5865205A (en) * 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US6296026B1 (en) * 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6008140A (en) * 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6039074A (en) * 1997-09-09 2000-03-21 Novellus Systems, Inc. Pressure-induced shut-off valve for a liquid delivery system
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6315858B1 (en) * 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6192919B1 (en) * 1998-06-26 2001-02-27 Advanced Delivery & Chemical Systems, Ltd. Chemical delivery and containment system employing mobile shipping crate
US6058958A (en) * 1998-11-05 2000-05-09 Micromed Technology, Inc. Pulsatile flow system and method
US6052176A (en) * 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6155289A (en) * 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6302139B1 (en) * 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
JP4819267B2 (ja) * 1999-08-17 2011-11-24 東京エレクトロン株式会社 パルスプラズマ処理方法および装置
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6410451B2 (en) * 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
JP2002129337A (ja) * 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6607597B2 (en) 2001-01-30 2003-08-19 Msp Corporation Method and apparatus for deposition of particles on surfaces
US20020144657A1 (en) * 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002339071A (ja) * 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6794293B2 (en) * 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US20030003696A1 (en) * 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US6864174B2 (en) * 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US6972258B2 (en) * 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) * 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7129171B2 (en) * 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US6893975B1 (en) * 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7196014B2 (en) * 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101139829B1 (ko) * 2010-02-22 2012-04-30 (주)젠 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치

Also Published As

Publication number Publication date
KR101494469B1 (ko) 2015-03-02
WO2007149210A2 (en) 2007-12-27
CN101473415B (zh) 2013-08-14
TW200807549A (en) 2008-02-01
CN101473415A (zh) 2009-07-01
US20070293043A1 (en) 2007-12-20
TWI416614B (zh) 2013-11-21
WO2007149210A3 (en) 2008-02-07
JP2009541995A (ja) 2009-11-26
US7932181B2 (en) 2011-04-26
JP5492557B2 (ja) 2014-05-14
JP2013042160A (ja) 2013-02-28

Similar Documents

Publication Publication Date Title
KR101494469B1 (ko) 반도체 기판을 균일하게 에칭하기 위한 가스 주입
US7098141B1 (en) Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US11365476B2 (en) Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
EP3038142A1 (en) Selective nitride etch
US10403475B2 (en) Tunable multi-zone gas injection system
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
US20070202706A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060021704A1 (en) Method and apparatus for etching Si
IL180025A (en) Method of engraving in plasma on two-layer material
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
JP2019009403A (ja) プラズマ処理方法およびプラズマ処理装置
TW202201536A (zh) 利用氯之高深寬比介電質蝕刻
US7189653B2 (en) Etching method and etching apparatus

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
AMND Amendment
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200130

Year of fee payment: 6