CN101473415A - 气体喷射以均匀地蚀刻基片 - Google Patents

气体喷射以均匀地蚀刻基片 Download PDF

Info

Publication number
CN101473415A
CN101473415A CNA2007800232577A CN200780023257A CN101473415A CN 101473415 A CN101473415 A CN 101473415A CN A2007800232577 A CNA2007800232577 A CN A2007800232577A CN 200780023257 A CN200780023257 A CN 200780023257A CN 101473415 A CN101473415 A CN 101473415A
Authority
CN
China
Prior art keywords
silicon
gas
semiconductor chip
etching
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800232577A
Other languages
English (en)
Other versions
CN101473415B (zh
Inventor
哈米特·辛格
戴维·寇奥珀勃格
瓦尔德·瓦赫迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101473415A publication Critical patent/CN101473415A/zh
Application granted granted Critical
Publication of CN101473415B publication Critical patent/CN101473415B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种具有提高的关键尺寸均一性的蚀刻半导体基片的方法包括在电感耦合等离子蚀刻室中基片支撑件上支撑半导体基片;提供第一蚀刻气体该半导体基片之上的中间区域;提供包括至少一种含硅气体的第二气体至该半导体基片之上围绕该中间区域的边缘区域,其中该第二气体中硅浓度大于该第一蚀刻气体中的硅浓度;由该第一蚀刻气体和第二气体生成等离子;以及等离子蚀刻该半导体基片的暴露表面。

Description

气体喷射以均匀地蚀刻基片
发明内容
[0001]提供一种具有提高的关键尺寸均一性的蚀刻半导体基片的方法。该方法包括在等离子蚀刻室中基片支撑件上支撑半导体基片;提供第一蚀刻气体至该半导体基片之上的中间区域;提供包括至少一种含硅气体的第二气体至该半导体基片之上围绕该中间区域的边缘区域,其中该第二气体中的硅浓度大于该第一蚀刻气体中的硅浓度;由该第一蚀刻气体和第二气体生成等离子;以及等离子蚀刻该半导体基片的暴露表面。
附图说明
[0002]图1a-b示出两区域喷射器的细节;
[0003]图2示出用于边缘气体喷射的等离子室的一个实施方式;
[0004]图3是等离子蚀刻室中的SiClx径向浓度曲线的图解说明,其中利用由Cl2形成的等离子蚀刻半导体基片上的硅层;以及
[0005]图4是等离子蚀刻室中的SiClx径向浓度曲线的图解说明,其中利用由Cl2形成的等离子蚀刻半导体上的硅层以及将含硅气体提供到该半导体基片之上的边缘区域。
具体实施方式
[0006]在基于半导体的产品(如集成电路)制造过程中,蚀刻和/或沉积步骤可用于在半导体基片(如晶片)上建立或去除层。传统的蚀刻过程包括使用一种或多种蚀刻气体,其激发为等离子态以实现材料层的等离子蚀刻。待蚀刻的特征包括,例如,开口、过孔、沟槽和栅结构。
[0007]许多等离子蚀刻应用依赖于钝化层的生成以获得所需要的特征形貌。形貌控制的主要机制包括蚀刻和沉积反应的平衡。蚀刻反应通常直接由反应室参数(如输入功率、压力以及气体流量)来控制。在硅晶片的等离子蚀刻中,蚀刻反应产物是主要的沉积源,从而间接控制沉积机制。
[0008]关键尺寸(CD)是最小的几何特征(例如,互连线、触电和沟槽等的宽度)的尺寸,这些特征可通过使用已知的技术在半导体器件/电路制造过程中形成。当晶片中间的特征的关键尺寸与靠近晶片边缘的特征的关键尺寸相同时,就提供了关键尺寸均一性。非常希望关键尺寸均一性能达到几乎完美的程度,就是在晶片中心附近蚀刻的特征基本上与靠近该晶片边缘蚀刻的同等的特征一致,否则正在制造的集成电路的电气特性将会偏离超过预期。随着晶片直径大小的每次增加,确保跨越越来越大的晶片所形成的集成电路的均一性问题变得更加困难。
[0009]在蚀刻应用中,使用各种蚀刻气体化学制剂。例如,当使用HBr-O2蚀刻气体化学制剂,该钝化层主要由SixBrxOz构成。对于Cl2-O2蚀刻气体化学制剂,该钝化层主要是由SixClyOz构成。该钝化层的其他元素可包括N、C、H和F。此外,作为蚀刻硅晶片和/或室材料(如石英部件)的结果,该钝化层中结合了挥发性硅蚀刻副产物。
[0010]由于硅源(如硅晶片和/或室材料)的蚀刻导致硅混在钝化层中。这样的硅源是次级产品,其不直接由该蚀刻气体化学制剂控制。此外,当该挥发性硅蚀刻副产物从该晶片表面向真空排出口输送时,有一定的可能该含硅副产物沉积在该晶片表面上。进而,该挥发性硅蚀刻副产物可在该等离子区域分离为反应剂,这时有更大的可能该含硅副产物在该晶片表面沉积。该含硅副产物在该晶片表面沉积可导致纵贯该晶片不一致的硅副产物浓度并且导致蚀刻的特征关键尺寸不一致。
[0011]所提供的是一种具有提高的关键尺寸均一性的蚀刻半导体基片方法。该方法包括在等离子蚀刻室中的基片支撑件上支撑半导体基片;提供第一蚀刻气体至该半导体基片之上的中间区域;提供包括至少一种含硅气体的第二气体至该半导体基片之上围绕该中间区域的边缘区域,其中该第二气体中的硅浓度大于该第一蚀刻气体中的硅浓度;由该第一蚀刻气体和第二气体生成等离子;以及等离子蚀刻该半导体基片的暴露表面。优选地,在该半导体基片中间附近蚀刻的特征基本上与靠近该半导体基片边缘蚀刻的同等的特征一致。
[0012]示范性的含硅气体包括,例如,SiCl4,SiHCl3,SiH2Cl2,SiH3Cl,SiH4,Si2H6,SiH3CH3,SiH(CH3)3,SiF4,SiBr4,四乙基原硅酸盐(tetraethyl orthosilicate)(TEOS),或其混合物。该第二气体,其包括至少一种含硅气体,可进一步包括:(1)惰性载体气体,例如,He,Ne,Ar,Kr,Xe,或其混合物;(2)蚀刻剂气体,例如,Cl2,CxFy,HBr,CxFyHz,SF6,HCl,或其混合物,例如,SF6和CH2F2的混合物;和/或(3)钝化气体,例如,O2,N2,或其混合物。
[0013]该第一蚀刻气体可以或可以不包含硅。该方法可进一步包括调节:(1)调节施加到该边缘区域的第二气体的量;(2)调节施加到该边缘区域的硅的量;和/或(3)该第二气体中硅的浓度与该第一蚀刻气体中硅的浓度的比。
[0014]该半导体基片的暴露表面可包括硅层,其可以是在掩模(如氮化硅、氧化硅或氮氧化硅掩模层)下方的单晶硅晶片的一部分。或者,该硅层可以是基片(如单晶硅晶片)上外延生长层、张紧的(strained)硅层或硅锗层。该硅层可包括硅晶片上的多晶硅层,其中该多晶硅层位于下面的栅氧化层和上面的硬的或软的掩模层之间,如氮化硅,氧化硅,或氮氧化硅掩模层或光刻胶。或者,该所公开的蚀刻方法适用于额外的蚀刻应用,如蚀刻多晶硅栅极、金属栅极、高介电常数(K)、W和WSi栅极以及隔板(spacer)。
[0015]例如,对于300mm晶片,所蚀刻的特征优选的小于50nm(例如,45nm,25nm,18nm等)以及具有小于或等于1.5nm的3σ不均一性。
[0016]该等离子优选地是高密度等离子,其在变压器耦合等离子(TCPTM)反应器中产生,该反应器可从Lam Research Corporation得到,其也称为电感耦合等离子(ICP)反应器。
[0017]当前公开的方法优选是在电感耦合等离子反应器中执行,该反应器通过连接到该反应器壁中的出口的真空泵保持在所需要的真空度。通过将气体从气体源提供至在介电窗下方周围的空间可将蚀刻气体提供至喷头或喷射器装置。可通过从RF源提供RF能量至该反应器顶部的外部RF天线(如平面或非平面线圈,其具有在该介电窗外部的一个或多个匝数)而在该反应器中产生高密度等离子。该等离子产生源可以是模块化安装装置的一部分,其以真空密封的方式可移除地安装在该反应器的上端。
[0018]晶片可以在该反应器内支撑在基片支撑件如悬臂卡盘装置上,其由该反应器侧壁上的模块化安装装置可移除地支撑。这样的基片支撑件是在以悬臂方式安装的支撑臂的一端,从而整个基片支撑件/支撑臂组件可以通过移动该组件通过该反应器侧壁中的开口而移除该反应器。该基片支撑件可包括夹紧装置,如静电卡盘,以及该基片可由介电聚焦环围绕。该卡盘可包括RF偏置电极,用以在蚀刻工艺过程中向该基片施加RF。由气体源施加的蚀刻气体可流过该窗和下面的气体分配板之间的通道,并且通过该气体分配板中的气体出口进入该室内部。该反应器还可包括从该气体分配板延伸出的加热的衬垫。
[0019]或者,蚀刻气体可由安装在介电窗中的多区域气体喷射器提供,如普通转让美国专利申请No.2003/0070620A1中所公开,特此通过引用结合其全部公开内容。图1a-b示出多区域气体喷射器,包括同轴(on-axis)喷射出口124以提供工艺气体至中间区域,工艺气体在垂直于该基片表面的轴线方向提供到该区域,以及离轴(off-axis)喷射出口126以提供工艺气体至边缘区域,工艺气体在不垂直于该基片的倾斜方向提供到该区域。为了控制每个线路的气体流量,流量控制器(如各种流量限制装置136a,736b)可设置在每个分开的供应不同喷射区域的气体线路中。这些装置136a、136b可以手动设置或者通过合适的电子控制自动运行。通过独立地改变这些流动限制设备136a、136b的设置,可以改变通过这两个出口124、126的流量比。另一种实现包括多个出口和可变流量限制阀和/或固定的限流器和阀门的网络,这将使得到每个喷射区域总的电导能够调节至一个或多个预先设定的可动态控制的值。
[0020]图1a中,该中间气体喷射出口124示为中心孔125的延续。例如,该孔125的上端可以由窗127密封,其布置为与监视设备129(如灯、光谱仪、光纤和透镜装置)相连,如美国专利No.6,052,176所公开的,特此通过引用结合其全部公开内容。在这样的装置中,该同轴出口124优选地具有比该离轴出口126大的直径。图1b中,该同轴出口124具有比该孔125小的直径。该同轴出口124和离轴出口126的相对尺寸可以选择为实现所需的气体流量分布。例如,该离轴出口126的总的横截面积小于、等于或大于该同轴出口124的总的横截面积。
[0021]对于本领域技术人员来说,显然,各种气体的流率将取决于该等离子反应器的类型、功率设定、该反应器中的真空度、该等离子源的分离率等因素。
[0022]该反应器压力优选保持在适于维持该反应器中等离子的水平。通常,过低的反应器压力会导致等离子熄灭,反之在高密度蚀刻反应器中,过高的反应器压力会导致蚀刻停止问题。对于高密度等离子反应器,该反应器的压力优选地低于100mTorr。用于在TCPTM反应器中产生高密度等离子的一般的工艺包括450W功率、60VRF偏置、5到70mT压力以及50-1000sccm的气体流率。由于在经历蚀刻的该半导体基片的等离子限制,在该基片表面的真空度会高于为该反应器设定的真空度。
[0023]支撑经历蚀刻的半导体基片的基片支撑件优选地充分冷却该基片以防止有害的副反应,如该基片上的任何光刻胶的烧毁和不希望的反应气体基团的形成。在高密度等离子反应器中,在该基片支撑件中循环流体将基片温度保持在-10到+80℃是足够的。该基片支撑件可包括用于在基片处理过程中提供RF偏置至该基片的底部电极和用于夹紧该基片的ESC,。例如,该基片可包括硅晶片,其以静电方式夹紧并且通过以所需的压力在该晶片和该ESC的顶部表面之间提供氦气(He)来控制温度。为了将该晶片保持在所需的温度,在该晶片和该卡盘之间的空间内,He可保持在2到30Torr的压力。
[0024]特定的气体化学制剂可以通过该反应器顶部的介电窗、侧壁(例如靠近该介电窗)、通过卡盘或通过基片夹具的外径喷射该气体而提供至基片的边缘。特别地,参考图2,其示出可用于边缘气体喷射的等离子室的实施例,特定的气体化学制剂由喷射器20通过等离子室的侧壁提供并且沿流线21流动,而其他气体化学制剂由喷头喷嘴23通过等离子室的顶棚提供并且沿流线24流动。尽管上面描述了用于在基片边缘提供更多的特定气体化学制剂的气体喷射装置的各种示例,但是能够在基片边缘提供比基片中心更多含硅气体的任何合适的气体供应装置可用于关于图3和4描述的工艺。
[0025]图3是等离子蚀刻室中SiClx径向浓度曲线的示意性说明,其中半导体基片上的硅层利用由Cl2形成的等离子蚀刻。由于蚀刻反应导致的SiClx浓度310在该半导体基片320和反应器中心330达到峰值。随着半径增加,SiClx物质的浓度由于SiClx物质的排出340和SiClx物质的损失而降低到室壁350沉积反应。
[0026]图4是等离子蚀刻室中SiClx径向浓度曲线的示意说明,其中半导体基片硅层利用由Cl2形成的等离子蚀刻并且含硅气体提供到该等离子蚀刻室的边缘区域。类似图3,由于蚀刻反应410,SiClx浓度在该半导体基片420和反应器中心430达到峰值,以及随着半径增加,SiClx物质的浓度由于SiClx物质的排出440和SiClx物质的损失而降低到室壁450沉积反应。然而,由于提供含硅气体至该等离子蚀刻室边缘区域,SiClx浓度460变化,从而显著减小总的SiClx浓度470的径向变化。
[0027]在不希望受到任何理论限制的情况下,相信总的SiClx浓度中径向变化的减小提高了到该半导体基片的钝化物质通量的均一性,由此提高该蚀刻工艺的径向关键尺寸均一性。更一般地,包含硅的钝化物质钝化蚀刻特征的侧壁。这个包含硅的钝化物质可由该等离子蚀刻(即,来自该半导体基片)产生和/或可以是该第二气体中的含硅气体。优选地,该蚀刻气体含有氯,例如当等离子由Cl2形成时,以及SiClx钝化蚀刻特征的侧壁。
[0028]尽管描述了各种实施例,但是应当理解,对本领域技术人员来说,显然,可以进行变化和修改。这些变化和修改认为是在附在这里的权利要求的范围内。

Claims (23)

1.一种具有提高的关键尺寸均一性的蚀刻半导体基片的方法包括:
在电感耦合等离子蚀刻室中基片支撑件上支撑半导体基片;
提供第一蚀刻气体至该半导体基片之上的中间区域;
提供包括至少一种含硅气体的第二气体至该半导体基片之上围绕该中间区域的边缘区域,其中该第二气体中硅浓度大于该第一蚀刻气体中的硅浓度;
通过将射频能量电感耦合进该室而由该第一蚀刻气体和第二气体生成等离子;以及
等离子蚀刻该半导体基片的暴露表面。
2.根据权利要求1所述的方法,包括等离子蚀刻从该半导体基片的暴露表面中的至少一个开口、过孔、沟槽和栅结构组成的组中选取的特征。
3.根据权利要求1所述的方法,其中至少一种包含硅的钝化物质钝化蚀刻入该半导体基片的特征的侧壁。
4.根据权利要求3所述的方法,其中该包含硅的钝化物质由该等离子蚀刻和该第二气体产生。
5.根据权利要求3所述的方法,其中该包含硅的钝化物质由该含硅气体提供。
6.根据权利要求3所述的方法,其中该第一蚀刻气体包括含硅气体,该方法进一步包括调节该第一蚀刻气体中的硅的量相对该第二气体中硅的量。
7.根据权利要求3所述的方法,其中该第一蚀刻气体包括氯,以及该包含硅的钝化物质包括SiClx
8.根据权利要求1所述的方法,其中该含硅气体从SiCl4、SiHCl3、SiH2Cl2、SiH3Cl、SiH4、Si2H6、SiH3CH3、SiH(CH3)3、SiF4、SiBr4、四乙基原硅酸盐(TEOS)以及其混合物组成的组中选取。
9.根据权利要求1所述的方法,其中该含硅气体进一步包括惰性载体气体。
10.根据权利要求8所述的方法,其中该惰性载体气体从He,Ne,Ar,Kr,Xe以及其混合物组成的组中选取。
11.根据权利要求1所述的方法,其中该含硅气体进一步包括蚀刻剂气体。
12.根据权利要求11所述的方法,其中该蚀刻剂气体从Cl2、CxFy,HBr、CxFyHz、SF6、HCl以及其混合物组成的组中选取。
13.根据权利要求1所述的方法,其中该含硅气体进一步包括钝化气体。
14.根据权利要求13所述的方法,其中该钝化气体从O2,N2以及其混合物组成的组中选取。
15.根据权利要求1所述的方法,其中该半导体基片的暴露表面包括硅层。
16.根据权利要求15所述的方法,其中该硅层包括单晶硅晶片的暴露区域、张紧的硅层的暴露区域或硅锗层。
17.根据权利要求15所述的方法,其中该硅层在氮化硅、氧化硅,或氮氧化硅掩模层下面。
18.根据权利要求1所述的方法,其中通过将射频能量提供至平行于该半导体基片布置的平面线圈而将射频能量电感耦合进该等离子室来生成等离子。
19.根据权利要求15所述的方法,其中该硅层包括硅晶片上的多晶硅的层。
20.根据权利要求15所述的方法,其中该硅层在下面的栅氧化物和上面的硬或软掩模层或光刻胶之间。
21.根据权利要求20所述的方法,其中该硅层在氮化硅、氧化硅或氮氧化硅掩模层或光刻胶下面。
22.根据权利要求2所述的方法,其中在该半导体基片中间附近蚀刻的特征与靠近该晶片边缘蚀刻的同等特征基本上一致。
23.根据权利要求22所述的方法,其中该半导体基片是300mm晶片,以及该特征小于50nm并且具有小于或等于1.5nm的3σ不均一性。
CN2007800232577A 2006-06-20 2007-06-05 气体喷射以均匀地蚀刻基片 Expired - Fee Related CN101473415B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/455,671 2006-06-20
US11/455,671 US7932181B2 (en) 2006-06-20 2006-06-20 Edge gas injection for critical dimension uniformity improvement
PCT/US2007/013159 WO2007149210A2 (en) 2006-06-20 2007-06-05 Gas injection to etch a semiconductor substrate uniformly

Publications (2)

Publication Number Publication Date
CN101473415A true CN101473415A (zh) 2009-07-01
CN101473415B CN101473415B (zh) 2013-08-14

Family

ID=38833941

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800232577A Expired - Fee Related CN101473415B (zh) 2006-06-20 2007-06-05 气体喷射以均匀地蚀刻基片

Country Status (6)

Country Link
US (1) US7932181B2 (zh)
JP (2) JP5492557B2 (zh)
KR (1) KR101494469B1 (zh)
CN (1) CN101473415B (zh)
TW (1) TWI416614B (zh)
WO (1) WO2007149210A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044482A (zh) * 2009-10-20 2011-05-04 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
CN102231360A (zh) * 2011-05-27 2011-11-02 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
CN105814244A (zh) * 2013-12-20 2016-07-27 日本碍子株式会社 包含氮化镓层的基板及其制造方法
CN110184587A (zh) * 2019-05-23 2019-08-30 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5607881B2 (ja) * 2008-12-26 2014-10-15 東京エレクトロン株式会社 基板処理方法
KR101099716B1 (ko) 2009-07-15 2011-12-28 세메스 주식회사 반도체 제조 장치에서 가스 배관의 구조 및 이를 포함하는 고밀도 플라즈마 기상 증착 장치
KR101139829B1 (ko) * 2010-02-22 2012-04-30 (주)젠 다중 가스공급장치 및 이를 구비한 플라즈마 처리장치
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20130059092A1 (en) * 2011-09-07 2013-03-07 Applied Materials, Inc. Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI541868B (zh) 2013-04-04 2016-07-11 東京威力科創股份有限公司 脈衝氣體電漿摻雜方法及設備
JP6210762B2 (ja) * 2013-07-08 2017-10-11 株式会社アルバック ドライエッチング装置
JP2015018876A (ja) * 2013-07-09 2015-01-29 株式会社アルバック 反応装置のコンディショニング方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
JP2015170828A (ja) 2014-03-11 2015-09-28 富士フイルム株式会社 プラズマエッチング方法およびパターン化基板の製造方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
WO2017221807A1 (ja) * 2016-06-20 2017-12-28 東京エレクトロン株式会社 被処理体を処理する方法
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10267728B2 (en) * 2016-09-28 2019-04-23 Lam Research Corporation Systems and methods for detecting oxygen in-situ in a substrate area of a substrate processing system
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6796519B2 (ja) * 2017-03-10 2020-12-09 東京エレクトロン株式会社 エッチング方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102255071B1 (ko) * 2019-03-28 2021-05-24 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
KR102223806B1 (ko) * 2019-03-28 2021-03-08 어플라이드 머티어리얼스, 인코포레이티드 반도체 처리 챔버 다중스테이지 혼합 장치
KR20210037318A (ko) 2019-09-27 2021-04-06 삼성전자주식회사 기판 처리 장치와 방법, 그 처리 방법을 이용한 반도체 소자 제조방법
CN111029254B (zh) * 2019-12-26 2023-03-21 苏州科阳光电科技有限公司 一种干法刻蚀方法
WO2023215398A1 (en) * 2022-05-06 2023-11-09 Applied Materials, Inc. Pulsed etch process

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4275752A (en) 1978-09-22 1981-06-30 Collier Nigel A Fluid flow apparatus and method
US4369031A (en) 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4835114A (en) 1986-02-19 1989-05-30 Hitachi, Ltd. Method for LPCVD of semiconductors using oil free vacuum pumps
US5313982A (en) 1988-07-08 1994-05-24 Tadahiro Ohmi Gas supply piping device for a process apparatus
US5200388A (en) 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
JPH03193880A (ja) 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
US5077875A (en) 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US6251792B1 (en) 1990-07-31 2001-06-26 Applied Materials, Inc. Plasma etch processes
US5100505A (en) 1990-10-18 1992-03-31 Micron Technology, Inc. Process for etching semiconductor devices
US5288325A (en) 1991-03-29 1994-02-22 Nec Corporation Chemical vapor deposition apparatus
JP2797233B2 (ja) 1992-07-01 1998-09-17 富士通株式会社 薄膜成長装置
JPH06295862A (ja) 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
EP0602595B1 (en) 1992-12-15 1997-07-23 Applied Materials, Inc. Vaporizing reactant liquids for CVD
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5950693A (en) 1993-04-28 1999-09-14 Advanced Delivery & Chemical Systems, Ltd. Bulk chemical delivery system
JPH07245193A (ja) 1994-03-02 1995-09-19 Nissin Electric Co Ltd プラズマ発生装置及びプラズマ処理装置
DE69508273T2 (de) 1994-11-18 1999-11-04 Advanced Micro Devices Inc Verfahren zum ätzen von siliziumnitrid mit verstärkung der kritischen abmessung
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5846883A (en) 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5865205A (en) 1997-04-17 1999-02-02 Applied Materials, Inc. Dynamic gas flow controller
US6296026B1 (en) 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6008140A (en) 1997-08-13 1999-12-28 Applied Materials, Inc. Copper etch using HCI and HBr chemistry
US6039074A (en) 1997-09-09 2000-03-21 Novellus Systems, Inc. Pressure-induced shut-off valve for a liquid delivery system
US6007330A (en) 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
US6315858B1 (en) 1998-03-18 2001-11-13 Ebara Corporation Gas polishing apparatus and method
US6296711B1 (en) 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6192919B1 (en) 1998-06-26 2001-02-27 Advanced Delivery & Chemical Systems, Ltd. Chemical delivery and containment system employing mobile shipping crate
US6058958A (en) 1998-11-05 2000-05-09 Micromed Technology, Inc. Pulsatile flow system and method
US6052176A (en) 1999-03-31 2000-04-18 Lam Research Corporation Processing chamber with optical window cleaned using process gas
DE19919469A1 (de) * 1999-04-29 2000-11-02 Bosch Gmbh Robert Verfahren zum Plasmaätzen von Silizium
US6155289A (en) 1999-05-07 2000-12-05 International Business Machines Method of and system for sub-atmospheric gas delivery with backflow control
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6302139B1 (en) 1999-07-16 2001-10-16 Advanced Technology Materials, Inc. Auto-switching gas delivery system utilizing sub-atmospheric pressure gas supply vessels
ATE420454T1 (de) 1999-08-17 2009-01-15 Tokyo Electron Ltd Gepulstes plasmabehandlungsverfahren und vorrichtung
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6410451B2 (en) 1999-09-27 2002-06-25 Lam Research Corporation Techniques for improving etching in a plasma processing chamber
US6900596B2 (en) 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6853141B2 (en) 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
JP2002129337A (ja) 2000-10-24 2002-05-09 Applied Materials Inc 気相堆積方法及び装置
US6607597B2 (en) 2001-01-30 2003-08-19 Msp Corporation Method and apparatus for deposition of particles on surfaces
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002339071A (ja) 2001-05-18 2002-11-27 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Alcvdシステムにおける処理ガス供給機構
US6746961B2 (en) 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
US6794293B2 (en) 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030070620A1 (en) * 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6590344B2 (en) 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6869880B2 (en) * 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20040018741A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US6939811B2 (en) 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040118344A1 (en) 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit
US7270713B2 (en) 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7098141B1 (en) * 2003-03-03 2006-08-29 Lam Research Corporation Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
US6864174B2 (en) 2003-03-20 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd Iteratively selective gas flow control and dynamic database to achieve CD uniformity
US7141505B2 (en) * 2003-06-27 2006-11-28 Lam Research Corporation Method for bilayer resist plasma etch
US6972258B2 (en) 2003-08-04 2005-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively controlling damascene CD bias
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US7202177B2 (en) 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
US6916697B2 (en) 2003-10-08 2005-07-12 Lam Research Corporation Etch back process using nitrous oxide
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050101135A1 (en) 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US6893975B1 (en) 2004-03-31 2005-05-17 Tokyo Electron Limited System and method for etching a mask
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060000802A1 (en) 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7196014B2 (en) 2004-11-08 2007-03-27 International Business Machines Corporation System and method for plasma induced modification and improvement of critical dimension uniformity

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102044482A (zh) * 2009-10-20 2011-05-04 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
CN102044482B (zh) * 2009-10-20 2013-03-06 中芯国际集成电路制造(上海)有限公司 沟槽的形成方法
CN102231360A (zh) * 2011-05-27 2011-11-02 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
CN105814244A (zh) * 2013-12-20 2016-07-27 日本碍子株式会社 包含氮化镓层的基板及其制造方法
CN110184587A (zh) * 2019-05-23 2019-08-30 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备
CN110184587B (zh) * 2019-05-23 2021-06-15 上海华力集成电路制造有限公司 提高硅片间刻蚀速率均匀性的方法及化学气相沉积设备

Also Published As

Publication number Publication date
US7932181B2 (en) 2011-04-26
WO2007149210A2 (en) 2007-12-27
JP5492557B2 (ja) 2014-05-14
CN101473415B (zh) 2013-08-14
KR20090026156A (ko) 2009-03-11
TW200807549A (en) 2008-02-01
US20070293043A1 (en) 2007-12-20
TWI416614B (zh) 2013-11-21
JP2013042160A (ja) 2013-02-28
WO2007149210A3 (en) 2008-02-07
JP2009541995A (ja) 2009-11-26
KR101494469B1 (ko) 2015-03-02

Similar Documents

Publication Publication Date Title
CN101473415B (zh) 气体喷射以均匀地蚀刻基片
US7098141B1 (en) Use of silicon containing gas for CD and profile feature enhancements of gate and shallow trench structures
CN102473634B (zh) 等离子体处理装置和等离子体处理方法
US8383002B2 (en) Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection
CN100358107C (zh) 等离子体刻蚀有机抗反射涂层的方法
KR100386388B1 (ko) 반도체장치제조방법
US7832354B2 (en) Cathode liner with wafer edge gas injection in a plasma reactor chamber
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
US8236133B2 (en) Plasma reactor with center-fed multiple zone gas distribution for improved uniformity of critical dimension bias
KR100725037B1 (ko) 반도체 플라즈마 처리 장치 및 방법
US8889023B2 (en) Plasma processing apparatus and plasma processing method
EP3038142A1 (en) Selective nitride etch
US7682980B2 (en) Method to improve profile control and N/P loading in dual doped gate applications
KR20160103184A (ko) 실리콘 나이트라이드를 에칭하는 동안 초고선택도를 달성하기 위한 방법
US20070202706A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
KR102521089B1 (ko) Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
CN103229280A (zh) 等离子体处理用设备和等离子体处理用方法
US20040018741A1 (en) Method For Enhancing Critical Dimension Uniformity After Etch
KR20220070813A (ko) 기판 처리 장치 및 방법
KR20100131307A (ko) 대구경 웨이퍼 처리를 위한 적응형 플라즈마 소스 및 플라즈마 챔버

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130814

Termination date: 20150605

EXPY Termination of patent right or utility model