TW202201536A - 利用氯之高深寬比介電質蝕刻 - Google Patents

利用氯之高深寬比介電質蝕刻 Download PDF

Info

Publication number
TW202201536A
TW202201536A TW110111113A TW110111113A TW202201536A TW 202201536 A TW202201536 A TW 202201536A TW 110111113 A TW110111113 A TW 110111113A TW 110111113 A TW110111113 A TW 110111113A TW 202201536 A TW202201536 A TW 202201536A
Authority
TW
Taiwan
Prior art keywords
substrate
chlorine
recessed features
etching
carbon
Prior art date
Application number
TW110111113A
Other languages
English (en)
Inventor
高橋壘
李亦倫
艾瑞克 A 哈得森
吳允鎮
李元在
列昂尼德 貝勞
安德魯 克拉克 塞里諾
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202201536A publication Critical patent/TW202201536A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文的各個實施例係關於在半導體基板上蝕刻凹陷特徵部的方法和設備。此處描述之技術可用於形成具有實質垂直輪廓、低彎曲、低扭曲以及高度圓形特徵部的高品質凹陷特徵部。這些高品質的結果可以藉由高度選擇性和相對較高的蝕刻速率來實現。在各個實施例中,蝕刻係涉及將基板暴露於由處理氣體產生的電漿,該處理氣體包含氯源、碳源、氫源和氟源。氯源可具有特定性質。在某些情況下,可以使用特定的氯源。蝕刻通常在例如約25°C或更低的低溫下發生。

Description

利用氯之高深寬比介電質蝕刻
本發明係關於半導體基板的處理方法,尤其是關於在基板上蝕刻凹陷特徵部的方法。
在半導體裝置的製造中經常採用的一種製程是在介電材料中形成蝕刻圓柱體或其他凹陷特徵部。通常介電材料係包含交替/重複層,而在其中形成凹陷特徵部。可能發生此類處理的一例是例如3D NAND的記憶體應用。隨著半導體產業的進步以及裝置尺寸變得更小,這種凹陷特徵部變得越來越難以均勻方式蝕刻,尤其是對於具有窄寬度以及/或深深度的高深寬比特徵部。
本文提供的背景描述是為了大致呈現本揭露內容之上下文的目的。當前列名發明人的工作成果,到在此背景技術所描述的程度,以及在提出申請時可能不以其他方式具有資格作為先前技術的描述態樣,前述各者既不明示亦不暗示被承認為是本揭露內容之先前技術。
此處之各個實施例係關於在半導體基板上蝕刻凹陷特徵部的方法和設備。例如,在公開之實施例的一個態樣中,提供了一種在基板上蝕刻凹陷特徵部的方法,該方法包含:(a)在反應室中之基板支架上提供該基板,該基板具有一堆疊於其上,該堆疊係包含一或多層的第一材料以及與其交替出現之一或多層之第二材料,第一材料係包含含矽材料,該基板進一步包含定位於該堆疊上方之圖案化遮罩層;(b)使處理氣體流入反應室且自該處理氣體產生電漿,其中該處理氣體係包含:(i)氯源,其係符合下列條件至少其中之一:(1)該氯源包含氯氣(Cl2 ),(2)該氯源包含氯化氫(HCl),(3)該氯源包含僅含有碳和氯的分子,(4)該氯源包含僅含有碳、氫和氯的分子,(5)該氯源包含僅含有碳、氫、溴和氯的分子,以及/或(6)該氯源包含僅含有氯、碳和氮的分子,(ii)碳源;(iii)氟源,以及(iv)氫源;以及(c)將基板暴露至電漿,以蝕刻凹陷特徵部到基板上之堆疊中,該凹陷特徵部係經蝕刻穿過該一或多層之第一材料以及穿過該一或多層之第二材料,其中基板支架在蝕刻期間係維持在低溫溫度。
在某些實施例中,該第一材料包含矽氮化物,該第二材料包含矽氧化物。在一些此等的實施例中,該堆疊可以進一步包含一或多層之第三材料,其與該一或多層之第一材料及該一或多層之第二材料交替出現,該第一材料係包含矽氮化物,該第二材料係包含矽氧化物。在各個實施例中,第三材料可以包含多晶矽。在一些實施方式中,第一材料包含矽氮化物且第二材料包含多晶矽。
在各個實施方式中,氯源可包含一或多種特定反應物。例如,氯源可包含Cl2 。在一些情況下,氯源可包含CCl4 以及/或C2 Cl2 。在某些情況下,氯源係包含Cx Hy Clz ,其中x>0,y>0,且z>0。例如,氯源可包含CH2 Cl2 以及/或C2 H2 Cl2 。在一些實施例中,氯源係包含選自由下列各者組成之群組中至少其中之一物質: CCl4 、CH2 Cl2 、C2 H2 Cl2 、CHCl3 、CH3 Cl、C2 H5 Cl、C2 H4 Cl2 、C2 H3 Cl3 、C2 H3 Cl、C2 Cl2 、ClCN、CH2 BrCl、C2 H2 BrCl、以及C2 H4 BrCl。
在一些實施方式中,氯源可具有特定的特性。例如,氯源可以包含僅含有碳和氯的分子。在某些情況下,氯源可能包含僅含有碳、氫和氯的分子。在某些情況下,氯源可包含僅含有碳、氫、溴和氯的分子。在某些情況下,氯源可能包含僅含氯、碳和氮的分子。
在蝕刻期間可以控制某些處理條件。在一些實施例中,基板支架在蝕刻期間係保持在約-40℃和-10℃之間的低溫。在這些或其它實施例中,電漿係可以是在介於約10-100 kW的RF功率下產生之電容耦合電漿。
在處理氣體中存在的其他物質可包含特定的反應物以及/或特性。例如,在一些情況下,氫源係包含選自由下列各者組成之群組中的至少其中之一材料:H2 、CHx Fy (其中1≤x≤4,且x+y=4)、Cx Hy Fz (其中z>0)、及其組合。在一些這樣的實施例中,氫源包含H2 。在這些或其它實施例中,氟源可以包含選自由下列各者組成之群組中的至少其中之一材料: NF3 、CHx Fy (其中 0≤x≤3,且 x+y=4)、Cx Fy (其中y≥x)、Cx Hy Fz (其中 z>0)、及其組合。在這些或其他實施例中,碳源可以包含選自由下列各者組成之群組中的至少其中之一材料:CHx Fy (其中 0≤x≤4,且 x+y=4)、Cx Fy (其中 y≥x)、Cx Hy Fz (其中 z>0)、及其組合。在特定實施例中,處理氣體包含Cl2 、H2 、NF3 、CH2 F2 、CF4 、以及HBr和CF3 I兩者至少其中之一。
在一些情況下,可以以實現某些結果的方式進行蝕刻。例如,所形成之凹陷特徵部可以具有大約10 nm或更小的3σ扭曲。在這些或其他實施例中,形成之凹陷特徵部可以具有至少大約4000 nm的深度。在這些或其他實施例中,形成之凹陷特徵部可以具有約1.15或更小的橢圓率。在這些或其他實施例中,所形成之凹陷特徵部可以具有大約115 nm或更小的最大臨界尺寸,以及/或大約40 nm或更小的最大-底部CD。
在所揭露之實施例的另一態樣中,提供了一種在基板上蝕刻凹陷特徵部的設備,該設備包含:(a)反應室;(b)定位於反應室中之基板支架;(c)電漿產生器,其配置用以在反應室中產生電漿;(d)通往反應室之一或多個入口;以及(e)控制器,其配置係用以引起:(i)於反應室中之基板支架上提供該基板;(ii)使處理氣體流入反應室且自處理氣體產生電漿,其中該處理氣體係包含:(1)氯源,其係符合下列條件中至少其中之一:(a)該氯源包含氯氣(Cl2 ),(b)該氯源包含氯化氫(HCl),(c)該氯源包含僅含有碳和氯的分子,(d)該氯源包含僅含有碳、氫和氯的分子,(e)該氯源包含僅含有碳、氫、溴和氯的分子,以及/或(f)該氯源包含僅含有氯、碳和氮的分子,(2)碳源;(3)氟源,以及(4)氫源;以及(iii)將基板暴露至電漿,以蝕刻凹陷特徵部至基板上之堆疊中,該堆疊係包含一或多層的第一材料而與一或多層之第二材料交替,該第一材料係包含含矽材料,該凹陷特徵部係經蝕刻穿過該一或多層之第一材料以及穿過該一或多層之第二材料,其中基板支架在蝕刻期間係維持在低溫溫度。
在各個實施例中,該裝置可以配置用以引起本文所請求或以其他方式描述的任何方法。
這些和其它態樣將參考附圖而在下面進一步描述。
在以下描述中,闡述了許多具體細節以便提供對所呈現實施例的透徹理解。可以在沒有這些具體細節中的一些或全部的情況下實踐所揭露實施例。在其他情況下,不詳細描述為人熟知之處理操作,以免不必要地模糊所揭露實施例。雖然將結合具體實施例來描述所揭露之實施例,但吾人應當理解,其並非旨在限制所揭露之實施例。
某些半導體裝置的製造係涉及使用基於電漿的蝕刻製程而將特徵部蝕刻到材料堆疊中。在本文的各個實施例中,材料堆疊包含交替/重複的介電材料層。在許多情況下,堆疊中的至少一層為矽氮化物、或者是包含矽氮化物。在一例中,堆疊包含矽氧化物和矽氮化物的交替層。在另一例中,堆疊包含矽氧化物、矽氮化物和多晶矽的交替層。在另一例中,堆疊包含矽氮化物和多晶矽的交替層。根據特定應用的需要,可以存在附加層。
蝕刻到介電材料中的特徵部可以是圓柱體、溝槽或其他凹陷特徵部。隨著這些特徵部的深寬比不斷增加,便出現了幾個問題,包含(1)特徵部的扭曲(twist),(2)特徵部的非圓形,(3)與深寬比相關的蝕刻速率,(4)彎曲的蝕刻輪廓,(5)遮罩選擇性不足,以及(6)蝕刻速率低。扭曲是指特徵部的預期底部位置與特徵部的實際最終底部位置之間的隨機偏差(例如,特徵部的最終位置對應於特徵部被蝕刻後之特徵部底部的位置)。例如,在某些情況下,意欲以一般的陣列方式蝕刻圓柱形特徵部。當一些或所有特徵部在底部隨機偏離此陣列時,它們便被理解為已經扭曲。
特徵部的非圓形是指底部孔的形狀偏離圓形孔形狀的偏差。當蝕刻例如圓柱體的圓形特徵部時,也就是期望凹陷特徵部之底部為圓形時,這個問題就很有關係了。當底部孔形狀偏離圓形時,其常常形成更接近橢圓形、三角形、或不規則的多邊形。在許多情況下,這些非圓形的形狀是不想要的。
深寬比相關的蝕刻速率是指隨著特徵部之深寬比增加而蝕刻速率變慢的問題。換句話說,隨著特徵部更進一步的蝕刻到介電材料中,蝕刻處理便慢下來了。這個議題是有問題的,因為它會導致低產量和相關聯的高處理成本。
彎曲蝕刻輪廓是指特徵部傾向在介電材料中橫向蝕刻,俾使最終輪廓沿著特徵部深度的某處過度向外彎曲。換句話說,特徵部的實際最大臨界尺寸係超過特徵部的期望最大臨界尺寸,如此會損害正在形成之結構的完整性以及/或限制最終裝置的電性能。
當蝕刻製程移除過量的遮罩時,遮罩選擇性不足是有問題的,如此在製程終了時會沒有遮罩,或者剩下的遮罩不足以將來自遮罩的圖案妥善地轉移到介電薄膜。遮罩選擇性不足的一種常見結果是凹陷特徵部頂部附近的特徵部輪廓劣化。
低蝕刻速率是指比特定應用所需的蝕刻速率慢的蝕刻速率。低蝕刻速率是有問題的,因為它會導致蝕刻時間長、產量降低以及處理成本高。
不幸的是,改善這些問題中的一些問題的技術常常使其他問題變得更糟。因此,在設計蝕刻操作時,這些問題須相互平衡。例如,傳統商業實施的介電質蝕刻製程通常會導致明顯的彎曲。最近開發的低溫蝕刻製程就是為了解決與傳統商業實施之介電蝕刻製程相關聯的彎曲問題。這種低溫製程係定義為將基板支架冷卻至約25℃或更低,在一些情況下約0℃或更低的溫度的製程。有利地,低溫製程亦會導致相對高的蝕刻速率和相對低的彎曲。然而,此種低溫製程卻明顯加劇與特徵部扭曲及非圓性相關的問題。在之前,此種取捨已難以避免。
本文描述的技術可用於將凹陷特徵部蝕刻到介電材料中,而不會出現上述問題中的一些或全部。換句話說,所揭露的技術可用於將凹陷特徵部蝕刻到介電材料中而幾乎沒有扭曲或完全沒有扭曲、且有合理的圓形特徵部、可接受程度的深寬比相關蝕刻速率、可接受的彎曲、足夠的遮罩選擇性和足夠的蝕刻速率。
在本文的各個實施例中係使用一組特定的反應物。該反應物包含氯源、碳源、氟源和氫源。例示氯源包含但不限於Cl2 、HCl、CCl4 、Cx Hy Clz (其中z>0)。Cx Hy Clz 材料的具體實例包含但不限於三氯甲烷(CHCl3 )及二氯甲烷(CH2 Cl2 )。在一些實施例中,氯源可以是CHy Clz 或C2 Hy Clz 。在各個實施例中,氯源不包含氟或其他非氯鹵素。
例示碳源包含但不限於CHx Fy (其中 0≤x≤4,且 x+y=4)、Cx Fy (其中 y≥x)、Cx Hy Fz (其中 z>0)、及其組合。例示氟源包含但不限於CHx Fy (其中 0≤x≤3,且 x+y=4)、Cx Fy (其中 y≥x)、Cx Hy Fz (其中 z>0)及NF3 。例示氫源包含但不限於氫(H2 )、CHx Fy (其中1≤x≤4,且x+y=4) 及Cx Hy Fz (其中z>0)。在一些實施例中,反應物還可進一步包含一或多種非氟和非氯鹵源,其例示包含但不限於HBr、I2 和CF3 I。氯源係與產生大量H自由基和F自由基的反應物以及碳源一起組合作用,以產生本文所述之特殊蝕刻結果。
在一些實施例中,該反應物包含C2 、H2 、NF3 和CHx Fy (其中0≤X≤4,且x+y=4)。在一些實施例中,反應物包含Cl2 、H2 、NF3 、CH2 F2 、CF4 以及HBr和CF3 I中的至少一種。反應物的各個其他組合是可能的,且係認為落在所揭露之實施例的範圍內。
在蝕刻期間可以將基板保持在低溫。這種溫度控制可以透過在蝕刻處理中控制放置基板之基板支架的溫度來實現。在某些實施例中,基板支架係保持在約25℃或更低、或約15℃或更低、或約0℃或更低的低溫溫度。在一些情況下,基板支架可以保持在低至約-40℃、低至約-70℃、或在某些情況下甚至更低的低溫溫度。在一些實施例中,基板支架可以保持在大約-30℃和-10℃之間的低溫。通過在相對低的蝕刻溫度下操作,可以獲得與低溫蝕刻相關聯的益處,例如低彎曲度、相對高蝕刻速率和低程度的深寬比相關蝕刻速率。有利地,將氯氣包含進來作為反應物係減少或消除了與低溫蝕刻相關的問題,例如扭曲和非圓形。
雖然氯氣通常用於蝕刻其他材料,例如多晶矽、鋁和其他金屬和含金屬化合物,但它通常不用於蝕刻含矽介電材料(例如矽氧化物和矽氮化物)。先前,當用於蝕刻這些含矽介電材料時,氯氣的蝕刻效果非常差。然而,發明人已經發現,當在某些溫度下將氯氣與某些反應物結合使用時,上述與扭曲和非圓形相關的問題會顯著減少。出乎意料的是,這些問題減少了,也不會加劇與深寬比相關的蝕刻速率、彎曲、遮罩選擇性和蝕刻速率相關的其他問題。
不受理論或作用機制的束縛,據信本文中的實施例係藉由避免電漿物質以及/或蝕刻副產物在高深寬比凹陷特徵部之側壁上的過度沉積來減少通常與低溫蝕刻相關的扭曲和非圓形問題。由於氯氣先前在用於蝕刻含矽介電質時顯示出較差的蝕刻結果,因此認為氯氣的主要功能可能不是蝕刻含矽材料本身,而是控制反應室中存在的物質附著在生長特徵部之側壁上的程度。這種表面-化學相互作用並非所期望的。
所揭露方法的一種應用是在形成DRAM裝置的上下文中。在這種情況下,例如,可以主要在矽氧化物以及一層、兩層或多層矽氮化物中蝕刻特徵部。在一例中,基板係包含夾在兩個矽氮化物層之間的矽氧化物層,該矽氧化物層的厚度係介於約400-1800 nm,該一或多個矽氮化物層的厚度係介於大約20-600 nm之間。蝕刻特徵部可以是具有最終深度在約1-3μm之間,例如在約1.5-2μm之間的圓柱體。圓柱體可具有約10-50 nm之間的寬度,例如約15-30 nm之間。在蝕刻圓柱體之後,可以在其中形成電容器記憶體單元。
所揭露方法的另一應用是在形成垂直NAND (VNAND,也稱為3D NAND)裝置的背景下。在這種情況下,蝕刻特徵部的材料可以具有重複的分層結構。例如,該材料可以包含矽氧化物和矽氮化物的交替層。該重複的層狀結構包含成對材料或材料的重複群組。在各個情況下,成對材料或材料的重複群組的數量可以在約10-500之間(例如在約20-1000個各別層之間)。基於當前的裝置尺寸,氧化物層的厚度可以在大約20-50 nm之間,例如在大約30-40 nm之間。氮化物或多晶矽層的厚度可介於約20-50 nm之間,例如介於約30-40 nm之間。蝕刻到層堆疊的特徵部可以具有約2- 15 µm之間的深度,例如約5-9 µm之間。特徵部可以具有大約20-450 nm之間的寬度,例如約50-100 nm之間。
本文提供的尺寸/參數細節,例如高深寬比、厚度、寬度和深度等,係僅是例示和說明。基於在此描述的揭露內容,吾人應當理解也可以應用或使用不同的尺寸/參數。
圖1是描述根據本文之各個實施例中蝕刻包含介電材料之堆疊的方法的流程圖。該方法開始於操作101,其中基板係被接收在反應室中。反應室為配置用以蝕刻半導體基板的蝕刻室。在反應室中接收的基板包含位於其上的材料堆疊。該材料堆疊包含一或多層矽氮化物。除了矽氮化物之外,該材料堆疊可以包含一或多層矽氧化物以及/或一或多層的多晶矽。上面已提到了堆疊材料的特定組合。在該堆疊上方提供圖案化遮罩層。遮罩層中所提供的圖案係定義了將在堆疊中形成凹陷特徵部的位置。
接著,在操作103中,將處理氣體(其可以是氣體的混合物,在輸送至反應室之前或之後混合)流入反應室,並從處理氣體產生電漿。該處理氣體如上進一步描述地包含氯源、碳源、氟源、和氫源。處理氣體還可包含一或多種惰性氣體。
在某些實施例中,可以使用特定條件來產生電漿。在許多情況下,電漿是電容耦合電漿。電漿可在約5-200 kW之間的RF功率下生成,例如約10-100 kW之間,或在一些實施例中約10-65 kW。在某些情況下,可以使用雙頻RF來產生電漿。因此,可以在兩個或更多個頻率分量下提供RF功率,例如在大約400 kHz的第一頻率分量以及在大約60 MHz的第二頻率分量。可以在每個頻率分量下提供不同的功率。例如,第一頻率分量(例如大約400 kHz)可以以大約10-40 kW之間的功率提供,例如大約25 kW,而第二頻率分量(例如大約60MHz)可以以不同的功率提供,例如約0.5-8 kW之間,例如約4 kW。這些功率水平係假設RF功率傳送到單一300 mm晶圓。功率水平可以基於額外基板以及/或其他尺寸之基板的基板面積來線性縮放(從而保持輸送到基板的均勻功率密度)。在其他情況下,可以使用三頻RF功率來產生電漿。在某些情況下,所施加的射頻功率可能會以1-50,000 Hz的重複頻率產生脈衝。RF功率可以在兩個非零值之間(例如在較高功率和較低功率狀態之間)或在零和非零值之間(例如在關閉和開啟狀態之間)脈衝。在RF功率在兩個非零值之間脈衝的情況下,上述功率可能與較高功率狀態有關,而較低功率狀態可能對應於大約600 W或更低的RF功率。基板處的最大離子能量可以是相當高,例如在大約1-10 kV之間。最大離子能量係由所施加之RF功率與RF激發頻率、電極尺寸、電極放置、腔室幾何形狀和電漿交互作用的細節相結合而決定。
反應室中的壓力可以在約5-100 mTorr之間,在一些情況下在約15-50 mTorr之間。如上所述,藉由將基板支架保持在期望溫度而將基板保持在低溫溫度。上面討論了例示溫度。
在一些情況下,處理氣體(或其中的一或多種物質)可以在特定流速下流入反應室。例如,在某些情況下,氯氣(Cl2 )或其他氯源可以以約10-100 sccm之間的流速提供到反應室。在使用氫氣(H2 )的情況下,其可以以大約20-500 sccm之間的流速提供至反應室。在使用NF3 的情況下,其可以在約5-100 sccm之間的流速提供到反應室。CHx Fy 物質的總流量可以是約10-300 sccm之間。在使用CH2 F2 的情況下,其可以在約10-300 sccm之間的流速提供給反應室。在使用CF4 的情況下,其可以在約5-100 sccm之間的流速提供到反應室。當使用HBr,其可以在約5-100 sccm之間的流速提供到反應室。在使用CF3 I的情況下,其可以在約5-50 sccm之間的流速提供到反應室。
返回圖1,該方法在操作105處繼續,其中將基板暴露於電漿足夠的持續時間,以將凹陷特徵部蝕刻到材料堆疊中。該特徵部係蝕刻穿過堆疊中的至少一層矽氮化物層。在一些情況下,可以將基板暴露於電漿持續約2000-3000秒之間的時間。在某些情況下,例如功率、壓力和氣體流量等處理參數會在一系列配方步驟中進行調整。圖案化遮罩層係在其所在的位置處保護下面的堆疊材料。如此確保凹陷特徵部形成在圖案化到遮罩層中的開口處,也就是需要凹陷特徵部之處。
在另一種表現形式中,提供了另一種在基板上蝕刻凹陷特徵部的方法。在此實施例中,基板至少包含第一含矽層和位於堆疊上方的圖案化遮罩層。處理氣體流入反應室。該處理氣體包含一氯源,該氯源係選自僅含有碳和氯的分子、或僅含有碳、氫、和氯的分子、或僅含有碳、氫、溴、和氯的分子、或僅含有氯、碳、和氮的分子。在一些實施例中,該氯源係包含選自由下列各者組成之群組中之至少一氯源: 四氯化碳(CCl4 )、二氯乙炔(C2 Cl2 )、氯化氰(ClCN)、溴氯甲烷(CH2 BrCl)、1-溴-2-氯乙烷(C2 H4 BrCl)、二氯甲烷(CH2 Cl2 )、1,1-和反式-1,2-和順式-1,2--二氯乙烯的異構體(C2 H2 Cl2 )、三氯甲烷(CHCl3 )、氯甲烷(CH3 Cl)、氯乙烷(C2 H5 Cl)、1,2-二氯乙烯或1,1-二氯乙烷(C2 H4 Cl2 )、1,1,1和1,1,2三氯乙烷異構體(C2 H3 Cl3 )、氯乙烯(C2 H3 Cl)、順式和反式-1-溴-2-氯乙烯(C2 H2 BrCl)、以及1-溴-1-氯乙烷(C2 H4 BrCl)。處理氣體還包含碳源、氟源和氫源。電漿係從處理氣體產生。將基板暴露於電漿以將凹陷特徵部蝕刻到基板上的堆疊中,凹陷特徵部係蝕刻穿過至少第一含矽層,其中在蝕刻處理期間,基板支架係保持在約25°C或更低的低溫。在一些實施例中,作為氯源的分子也是碳源。在其它實施例中,碳源的分子係無氯,且與作為氯源的分子不同。在各個實施例中,氯源、碳源、氟源和氫源都是不同的分子。
具有較低扭曲的蝕刻可以藉由提供一個含氯源來實現,該含氯源係包含下列各者中的至少一個:(1)僅含有碳和氯的分子,或(2)僅含有碳、氫、和氯的分子,或(3)僅含有碳、氫、溴、和氯的分子,或(4)僅含有氯、碳、和氮的分子。為了提供具有多個Cl原子和足夠蒸氣壓的氯源,以能夠簡單地結合到典型的氣體輸送設備中,在一些實施例中,氯源是C2 Cl2 、CH2 Cl2 和反式-1,2-C2 H2 Cl2 中的至少一種。 儀器
圖2A-2C圖示了可用於執行本文所描述之蝕刻操作的可調間隙電容耦合受限RF電漿反應器200的實施例。如所描繪的,真空室202包含腔室外殼204,其圍繞容納下部電極206的內部空間。在腔室202的上部中,上部電極208係與下部電極206垂直間隔開。上部電極208可以用來當作電漿產生器。上部和下部電極208、206的平坦表面基本上為平行且正交於電極間的垂直方向。較佳地,上部和下部電極208、206是圓形的且相對於垂直軸同軸。上部電極208的下表面係與下部電極206的上表面相對。間隔開之相對電極表面在它們之間界定了可調節的間隙210。在操作期間,下部電極206係由RF電源(匹配)220供應RF功率。RF功率係藉由RF供應導管222、RF帶224和RF功率構件226而供應到下部電極206。接地防護罩236可以圍繞RF功率構件226,以向下部電極206提供更均勻的RF場域。晶圓係藉由穿過晶圓端口282插入。該晶圓係受支撐在下部電極206上的間隙210中以進行處理,且將處理氣體(例如包含氯氣或其他氯源、以及本文所述之其他反應物)供應至間隙210並藉由RF功率激發成電漿狀態。上部電極208可以通電或接地。
在圖2A-2C 所示的實施例中,下部電極206係支撐在下部電極支撐板216上。夾在下部電極206和下部電極支撐板216之間的絕緣環214係使下部電極206絕緣於支撐板216。
RF偏置外殼230將下部電極206支撐在RF偏置外殼碗232上。碗232係穿過腔室壁板218中的開口而藉由RF偏置外殼230的臂234連接到導管支撐板238。在一較佳實施例中,RF偏置外殼碗232和RF偏置外殼臂234係一體形成為一個部件,然而臂234和碗232也可以是螺栓連接或接合在一起的兩個單獨部件。
RF偏置外殼臂234包含一或多個中空通道,用於傳遞RF功率和設施,例如氣體冷卻劑、液體冷卻劑、RF能量、用於升降銷控制的電纜、電監控和來自真空室202外部的致動信號在下部電極206之背側上的空間處進入真空室202內部。RF供應導管222係與RF偏置外殼臂234絕緣,RF偏置外殼臂234係提供RF功率到RF電源220的返回路徑。設施導管240為設施部件提供通道。間隙210較佳地係被限制環組件或護罩(未示出)圍繞。真空室202的內部係藉由通過真空入口280連接到真空泵而保持在低壓。
導管支撐板238係附接到致動機構242。例如伺服機械馬達、步進馬達等的致動機構242係例如藉由如滾珠螺桿的螺旋齒輪246以及用於旋轉滾珠螺桿的馬達而附接到垂直線性軸承244。在調整間隙210尺寸的操作期間,致動機構242係沿著垂直線性軸承244行進。圖2A顯示當致動機構242處於線性軸承244上的高位置而導致小間隙210a的配置。圖2B則顯示當致動機構242處於線性軸承244上的中間位置時的佈置。如圖所示,下部電極206、RF偏置外殼230、導管支撐板238、RF電源220均移動到相對於腔室外殼204和上部電極208的較低位置,從而產生中等大小的間隙210b。
圖2C顯示當致動機構242處於線性軸承上之低位置時的大間隙210c。較佳地,上、下部電極208、206在間隙調整期間係保持共軸,且橫跨間隙兩側之上、下部電極的相對表面保持平行。
本實施例允許間隙210在CCP室202中於多步驟製程配方(BARC、HARC和STRIP等)期間在下部和上部電極206、208之間進行調整,以例如為了維持在大直徑基板(例如300 mm晶圓或平面顯示器)上進行均勻蝕刻。具體而言,本腔室涉及一種機械性的配置,其允許提供下部和上部電極206、208之間之可調節間隙所需的線性運動。
圖2A說明在導管支撐板238之近端處且在腔室壁板218之階梯式凸緣228之遠端處密封的橫向偏轉伸縮囊250。階梯式凸緣的內徑乃界定了腔室壁板218中的開口212,RF偏置外殼臂234係穿過該開口。伸縮囊250的遠端係由夾環252夾住。
橫向偏轉伸縮囊250提供真空密封,同時允許RF偏置外殼230、導管支撐板238和致動機構242垂直移動。RF偏置外殼230、導管支撐板238和致動機構242可被稱為懸臂組件。較佳地,RF電源220係與懸臂組件一起移動並且可以附接到導管支撐板238。圖2B顯示當懸臂組件處於中間位置時,伸縮囊250係處於中立位置。圖2C顯示當懸臂組件處於低位置時,伸縮囊250係橫向偏轉。
迷宮式密封件248係在伸縮囊250和電漿處理室外殼204的內部之間提供顆粒屏障。固定式防護罩256係不可移動地附接到在腔室壁板218處之腔室外殼204之內壁內,俾以提供迷宮式溝槽260(狹槽),其中於溝槽260中,可移動式防護板258乃垂直移動以容納懸臂組件的垂直移動。可移動式防護板258的外部在下部電極206的所有垂直位置均保持在該狹槽中。
在所示的實施例中,迷宮式密封件248包含固定式防護罩256,固定式防護罩256在界定迷宮式溝槽260之腔室壁板218中的開口212外圍處附接到腔室壁板218的內表面。附接的可移動式防護板258係從RF偏置外殼臂234徑向延伸,其中臂234係穿過腔室壁板218中的開口212。可移動式防護板258係延伸到迷宮式溝槽260中,同時與固定式防護罩256間隔第一間隙並與腔室壁板218之內表面間隔第二間隙,從而允許懸臂組件垂直移動。迷宮式密封件248乃阻擋了從伸縮囊250剝落的粒子遷移進入真空室內部205並阻擋了來自處理氣體電漿的自由基遷移到伸縮囊250,在那裡自由基可以形成隨後剝落的沉積物。
圖2A顯示當懸臂式組件處於高位置(小間隙210a)時,可移動式防護板258係位於RF偏置外殼臂234上方之迷宮式溝槽260中之較高的位置。圖2C顯示當懸臂式組件處於低位置(大間隙210c)時,可移動式防護板258係位於RF偏置外殼臂234上方之迷宮式溝槽260中之較低的位置。圖2B顯示當懸臂式組件處於中間位置(中等間隙210b)時,可移動式防護板258係位於迷宮式溝槽260內之中立或中間的位置。雖然迷宮式密封件248係顯示沿著RF偏置外殼臂234而對稱,但在其他實施例中,迷宮式密封件248可以沿著RF偏置臂234而不對稱。
圖3 描繪了具有與真空傳輸模組338(VTM)相接之諸多模組的半導體製程叢集架構。用於在多個儲存設施和處理模組之間「轉移」晶圓之各個轉移模組的配置可以稱為「叢集工具架構」系統。在具有四個處理模組320a-320d的VTM 338中的氣匣330(亦稱為負載鎖或轉移模組)可以被個別最佳化以執行諸多製造處理。舉例來說,可以安裝處理模組320a-320d以用於執行基板蝕刻、沉積、離子注入、晶圓清潔、濺射以及/或其他半導體製程,以及雷射量測及其他缺陷偵測及缺陷鑑別方法。可以如本文所揭露的方式,也就是用於將凹陷特徵部蝕刻進基板中來安裝一或多個處理模組(320a-320d中任一個)。氣匣330和處理模組320a-320d可被稱為「工作站」。每個工作站都有一個刻面336而使該工作站介接於VTM 338。在每一刻面內,感應器1-18被用於當晶圓326在各別工作站之間移動時檢測其通過。
機器人322 係在工作站之間轉移基板。在一實施例中,機器人可以具有一個臂,而在另一實施例中,機器人可以具有兩個臂,其中每個臂具有末端執行器324,以拾取基板進行運送。大氣傳送模組(ATM)340中的前端機器人332係用於將基板從裝載端模組(LPM)342中的卡匣或前開式晶圓傳送盒(FOUP)334 傳送到氣匣330。處理模組320a-320d內之模組中心328可以是用於放置基板的一個位置。在ATM 340中的對準器344係用於對準基板。
在一示例性處理方法中,係將基板放置在LPM 342的其中一個FOUP 334中。前端機器人332係將基板從FOUP 334傳送到對準器344,如此讓基板326在蝕刻或在其上沉積或其他處理之前適當的置中。在對準之後,基板便被前端機器人332移動到氣匣330中。因為氣匣330具有匹配ATM 340和VTM 之間的環境的能力,所以基板能夠在兩個壓力環境下之間移動而不受損壞。基板被機器人322從氣匣模組330移動穿過VTM 338而到處理模組 320a-320d 其中之一中,例如320a中。為了實現該基板移動,機器人322 係使用在其每個臂上的末端執行器324。在處理模組320a中,基板係經歷所述之蝕刻。接著便由機器人322將基板從處理模組320a取出而移動到下一個期望的位置。
吾人應該注意,控制基板移動的電腦可以位於叢集架構的當地,也可以位於製造樓層中之叢集架構的外部,或者位於遠端的位置而透過網路連接到叢集架構。
在一些實施例中,控制器為設備或系統的一部分,其可以是上述範例中的一部分。此種系統可以包含半導體處理設備,其包含一或多個處理工具、一或多個腔室、一或多個用於處理的平台及/或特定的處理部件(晶圓基座、氣流系統等)。這些系統可以與電子設備整合在一起,以控制在半導體晶圓或基板的處理前、中、後的操作。電子設備可以稱之為「控制器」,其可以控制一或多個系統的各個元件或子部件。取決於處理需求及/或系統的類型,控制器可以經程式化而控制此處揭露的任何處理,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置和操作設定、晶圓傳送進出工具以及其他傳送工具及/或連接到特定系統或與特定系統相接的負載鎖。
廣義來說,控制器可以定義為具有各個積體電路、邏輯、記憶體及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用端點測量等。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片及/或一或多個微處理器或執行程式指令之微控制器(例如軟體)。程式指令可以是以各個個別設定(或程式檔案)的形式傳遞給控制器的指令,其定義用於在半導體晶圓或系統上或針對半導體晶圓或系統執行特定處理的操作參數。在一些實施例中,操作參數可以是由製程工程師定義之配方的一部分,以在製造下列一或多個的期間完成一或多個處理步驟: 層、材料、金屬、氧化物、矽、二氧化矽、表面、電路以及/或晶圓之晶粒。
在一些實施方式中,控制器可以是電腦的一部份或是耦合至電腦,而電腦則是整合至系統、耦合至系統或與系統聯網,或前述的組合。例如,控制器可以在「雲端」中或可以是晶圓廠電腦主機系統的全部或一部分,如此可以允許對晶圓處理的遠端存取。該電腦可以啟動對系統進行遠端存取,以監控製造操作的當前進度、檢查過去製造操作的歷史、檢查來自多個製造操作的趨勢或性能指標、改變當前製程的參數、設定製程步驟以接續當前製程、或開始新的製程。在一些例子中,遠端電腦(例如伺服器)可以藉由網路向系統提供製程配方,該網路可以包含區域網路或網際網路。遠端電腦可以包含使用者介面,而使得能夠對參數及/或設定進行輸入或程式化,然後將參數及/或設定從遠端電腦傳送到系統。在一些例子中,控制器係接收數據形式的指令,其為在一或多個操作期間要執行的每個製程步驟指定參數。吾人應理解,參數係針對於欲進行製程的類型以及控制器用以與之相接或控制的工具類型。因此如上所述,可以例如透過包含被聯網在一起並朝著共同目的(例如本文所描述的處理和控制)而工作的一或多個離散控制器來分佈控制器。用於此種目的之分佈式控制器的例子為腔室中的一或多個積體電路,其與遠端(例如在平台等級或作為遠端電腦的一部分)的一或多個積體電路進行通信,這些積體電路相結合以控制腔室中的處理。
系統範例可以包含電漿蝕刻室或模組、沉積室或模組、旋轉清洗室或模組、金屬電鍍室或模組、清潔室或模組、斜面邊緣蝕刻室或模組、物理氣相沉積(PVD)室或模組、化學氣相沉積(CVD)室或模組、原子層沉積(ALD)室或模組、原子層蝕刻(ALE)室或模組、離子植入室或模組、徑跡室或模組、以及可以與半導體晶圓製造及/或生產中相關聯或用於其中之任何其他半導體處理系統,而不受任何限制。
如上所述,取決於工具要執行的一或多個處理步驟,控制器可以與下列一或多個通信:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、相鄰工具、鄰近工具、遍布工廠各處的工具、主電腦、另一控制器或用於可將晶圓容器往返於半導體製造工廠的工具位置及/或裝載埠之材料運輸的工具。
上述之各種硬體及方法實施例可以與微影圖案化工具或製程結合使用,例如用於製造或生產半導體裝置、顯示器、LED、光電板等。通常,儘管並非必須,這樣的設備和製程將在共同的製造設施中一起使用或進行。
膜的微影圖案化通常包含一些或所有下列步驟,每一步驟係由多個可能的工具啟用:(1)使用旋塗或噴塗工具在工作件(例如具有矽氮化物膜形成於其上的基板)上施加光阻;(2)使用熱板或爐子或其他合適的固化工具來固化光阻;(3)使用例如晶圓步進機的工具將光阻暴露在可見光或UV或X射線下;(4)使光阻顯影以選擇性地移除光阻,進而使用例如濕式清洗機或噴霧顯影機的工具進行圖案化;(5)使用乾式蝕刻工具或電漿輔助蝕刻工具將光阻圖案轉移到下層薄膜或工作件上;(6)使用例如RF或微波電漿光阻剝離劑的工具移除光阻。在一些實施例中,可以在施加光阻之前,先沉積一可灰化硬遮罩層(例如非晶碳層)以及另一合適的硬遮罩(例如抗反射層)。
在本申請案中 ,「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」和「部分製造的積體電路」等用語可互換使用。 熟習本技藝者將理解,「部分製造的積體電路」之用語可以指在矽晶圓上之積體電路製造的許多階段中之任一階段期間的矽晶圓。半導體裝置業中使用的晶圓或基板通常具有200mm、300mm或450mm的直徑。 上面之詳細描述係假設實施例係在晶圓上實現。然而本實施例並不限於此。工作件可以是各種形狀、尺寸和材料。除了半導體晶圓之外,可以利用本揭示實施例之其他工作件包括例如印刷電路板、磁性紀錄媒體、磁性紀錄感應器、鏡、光學元件、微機械裝置等等的各種物件。
除非對特定參數另有定義,否則本文所用的術語「約」和「大約」旨在表示相對於相關值的±10%。
吾人應當理解,本文所描述的配置以及/或方法本質上是例示性的,且這些具體實施例或例示不應被視為限制性的,因為許多變化是可能的。本文所描述的特定程序或方法可以代表任何數量之處理策略中的一或多種。因此,所示的各個動作可以按照所示的順序、以其他順序、並行或在一些情況下省略。同樣的,上述處理的順序可以改變。某些參考文獻已通過引用併入本文。吾人應當理解,在這些參考文獻中做出的任何免責聲明或否認並不一定適用於這裡描述的實施例。類似地,在本文的實施例中可以省略在這些參考文獻中描述的任何必要特徵。本揭露內容之標的係包含本文所揭露的各種處理、系統和配置以及其他特徵部、功能、動作以及/或特性的所有新穎和非顯而易見的組合和子組合,以及它們的任何等效物及所有等效物。 實驗性
實驗結果已經證明,與先前的蝕刻方法相比,所揭露的方法可以用於蝕刻基板上之包含介電質的材料堆疊且具有較佳結果。具體而言,所揭露的方法可用於實現與低溫蝕刻相關的益處(例如,很少或沒有彎曲、低程度的深寬比相關蝕刻速率、以及高蝕刻速率)而沒有通常與低溫蝕刻相關的問題(例如所得特徵部的扭曲和非圓形)。這些結果是在成功的3D NAND應用(例如在可接受的規格/容差範圍內的3D NAND應用,下面將進一步討論)的背景下實現的。這些結果代表了對傳統商業實施的蝕刻方法和較新的低溫蝕刻方法的實質性改進。
下面的表1顯示與三種不同蝕刻製程相關的實驗結果。製程A和B是未使用氯氣或其他氯源的低溫蝕刻製程,而製程C則是根據本文實施例之確實使用氯氣的低溫蝕刻製程。 表格1
  製程A 製程B 製程C
蝕刻深度 (nm) 3880 3956 3958
蝕刻速率 (nm/分鐘) 302 360 231
特徵部底部之CD (nm) 51 37 40
最大CD (nm) 87 94 89
最大–底部CD (nm) 36 57 49
選擇性 4.2 3.2 3.4
3σ扭曲 (nm) 16 16 5
橢圓率(主要/次要) 1.05 1.14 1.04
如本文所用,CD是指特徵部內特定位置處的特徵部的臨界尺寸(例如在平行於基板表面之方向上的寬度)。「最大–底部CD」是指特徵部之最大臨界尺寸與特徵部底部之臨界尺寸的差異。選擇性是指要移除之目標材料的蝕刻速率與遮罩材料的蝕刻速率之間的比率。例如,如果目標材料係以200 nm/分鐘的速率蝕刻而遮罩係以50 nm/分鐘的速率蝕刻,則選擇性計算為200/50,而可能描述為 4:1 或更簡單的4。扭曲是指特徵部偏離期望陣列圖案的程度。本文描述的扭曲為「3σ扭曲」(例如三倍標準差扭曲 (three sigma twist)),其計算方式為在特徵部底部處的孔與孔之間距離的標準差,乘以三。因為扭曲不是一個理想的特徵部,所以3σ扭曲越低越好。橢圓率描述了圓柱特徵部的底部從正圓形向橢圓形狀偏離的程度,計算方法是將橢圓擬合到底部孔形狀的主要軸長度與次要軸長度之比。完美圓形特徵部的橢圓率為1.0。因為通常需要圓形特徵部(例如當蝕刻圓柱體時),橢圓率最好接近1.0。
表1中的結果表明,所揭露之技術可用於顯著改善與蝕刻特徵部的扭曲和非圓形相關的問題。在低蝕刻溫度下包含氯氣實現了低溫蝕刻方案的好處,而沒有這種低溫蝕刻通常會觀察到的扭曲和非圓形問題。這些結果表明與以前獲得的結果相比有了實質性的改進。
在本文的某些實施例中,形成的特徵部可以符合定義用於3D NAND應用之成功製程的某些標準。例如,特徵部可以具有約20 nm或更小、或約15 nm或更小、或約10 nm或更小、或約8 nm或更小的3σ扭曲。這種低程度的扭曲可在至少約3500 nm、或至少約4000 nm、或至少約8000 nm的蝕刻深度上實現。特徵部可具有約1.15或更小、或約1.05或更小的橢圓率。特徵部可以在至少約125 nm/分鐘、或至少約175 nm/分鐘的速率下蝕刻。特徵部可以具有約70 nm或更小、在一些情況下約50 nm或更小、或約40 nm或更小的最大-底部CD。可以以大約3或更大的選擇性來蝕刻特徵部。在特定實施例中可以存在任何或所有這些特性。
圖4顯示電腦系統400的高階方塊圖,電腦系統400適於實現用於各個實施例中的控制器。電腦系統400亦可以用於控制圖2A-2C之RF電漿反應器200。電腦系統可以具有許多實體形式,範圍從積體電路、印刷電路板、小型手持裝置到大型超級電腦。電腦系統400包含一或多個處理器402、且還可以包含電子顯示裝置404(例如用於顯示圖像、文字和其他資料)、主記憶體406(例如隨機存取記憶體(RAM))、儲存裝置408 (例如硬碟)、可卸除式儲存裝置410(例如光碟機)、使用者介面裝置412(例如鍵盤、觸控式螢幕、鍵板、滑鼠或其他指向裝置等)以及通信介面414(例如無線網路介面)。通信介面414允許軟體和資料經由鏈結而在電腦系統400和外部裝置之間傳輸。該系統還可以包含上述裝置/模組所連接到的通信基礎設施416(例如通信匯流排、交叉匯流排(cross-over bar)或網路)。
經由通信介面414所傳輸之資訊可例如為下列信號形式:電子、電磁、光、或其他能經由通信連結(其可傳送信號且可使用電線或電纜、光纖、電話線、行動電話連結、射頻連結、及/或其他通信通道來實現)而被通信介面414所接收之信號。有了此類通信介面,預期一或更多之處理器402在執行上述方法步驟的處理中,可從網路接收資訊或可輸出資訊到網路。此外,方法實施例可僅於這些處理器上執行、或可在網路(如網際網路)上會同遠端處理器(其分擔一部分的處理)來執行。
用語「非暫時性電腦可讀媒體(non-transient computer readable medium)」一般用於指稱如主記憶體、輔助記憶體、可卸除式儲存器、以及儲存裝置(例如硬式磁碟機、快閃記憶體、磁碟機記憶體、CD-ROM、以及用於儲存電腦碼或電腦可執行指令之其他形式的永久記憶體)之媒體,而且不應理解為涵蓋暫時性標的(例如載波或信號)。電腦碼的例子包含機器碼以及可儲存在電腦可讀媒體上之電腦可執行指令(例如由編譯器產生者),以及由電腦利用直譯器所執行之含有較高階編碼的檔案。電腦可讀媒體亦可為藉由包含在載波中之電腦資料信號來傳送並代表由處理器所執行之指令序列的電腦碼。
電腦可讀媒體可以包含電腦可執行指令,其用於在基板支架上提供基板並使處理氣體流入反應室,從處理氣體產生電漿,以及將基板暴露於電漿。 結論
雖然前述實施例為了清楚理解的目的而詳盡說明,然而,明顯的是在所附之申請專利範圍之範圍內可實施某種程度的改變與修改。吾人應注意到有許多實現本實施例之製程、系統以及設備的替代方式。因此,該等實施例應視為說明性而非限制性的,且該等實施例並不限於此處所提出之細節。
1-18:感應器 101:操作 103:操作 105:操作 200:RF電漿反應器 202:真空室 204:腔室外殼 205:真空室內部 206:下部電極 208:上部電極 210:間隙 210a:小間隙 210b:中等間隙 210c:大間隙 212:開口 214:絕緣環 216:下部電極支撐板 218:腔室壁板 220:RF電源 222:RF供應導管 224:RF帶 226:RF功率構件 228:階梯式凸緣 230:RF偏置外殼 232:RF偏置外殼碗 234:RF偏置外殼臂 236:接地防護罩 238:導管支撐板 240:設施導管 242:致動機構 244:線性軸承 246:螺旋齒輪 248:迷宮式密封件 250:伸縮囊 252:夾環 256:固定式防護罩 258:可移動式防護板 260:溝槽 280:真空入口 282:晶圓端口 320a-320d:處理模組 322:機器人 324:末端執行器 326:晶圓 328:模組中心 330:氣匣 332:前端機器人 334:前開式晶圓傳送盒(FOUP) 336:刻面 338:真空傳輸模組 (VTM) 340:大氣傳送模組(ATM) 342:裝載端模組(LPM) 344:對準器 400:電腦系統 402:處理器 404:電子顯示裝置 406:主記憶體 408:儲存裝置 410:可卸除式儲存裝置 412:使用者介面裝置 414:通信介面 416:通信基礎設施
圖1描繪了一流程圖,說明根據各個實施例將凹陷特徵部蝕刻入包含介電材料之堆疊中的方法。
圖2A-2C顯示根據某些實施例中可用於執行本文所述技術之反應室。
圖3顯示根據某些實施例中可用於執行本文所述之技術的半導體製程叢集架構。
圖4為可用於執行實施例之電腦系統的示意圖。
101:操作
103:操作
105:操作

Claims (27)

  1. 一種在基板上蝕刻凹陷特徵部的方法,該方法包含: a.        在一反應室中之一基板支架上提供該基板,該基板具有一堆疊於其上,該堆疊係包含一或多層的一第一材料以及與其交替出現之一或多層之一第二材料,該第一材料係包含一含矽材料,該基板進一步包含定位於該堆疊上方之一圖案化遮罩層; b.       使處理氣體流入該反應室且自該處理氣體產生一電漿,其中該處理氣體係包含: i.         一氯源,其係符合下列條件至少其中之一: 1.         該氯源包含氯氣(Cl2 ), 2.         該氯源包含氯化氫(HCl), 3.         該氯源包含僅含有碳和氯的分子, 4.         該氯源包含僅含有碳、氫和氯的分子, 5.         該氯源包含僅含有碳、氫、溴和氯的分子,以及/或 6.         該氯源包含僅含有氯、碳和氮的分子, ii.         一碳源; iii.         一氟源,以及 iv.         一氫源;以及 c.        將該基板暴露至該電漿,以蝕刻凹陷特徵部到該基板上之該堆疊中,該凹陷特徵部係經蝕刻穿過該一或多層之該第一材料以及穿過該一或多層之該第二材料,其中該基板支架在蝕刻期間係維持在一低溫溫度。
  2. 如請求項1之在基板上蝕刻凹陷特徵部的方法,其中該第一材料包含矽氮化物,該第二材料包含矽氧化物。
  3. 如請求項2之在基板上蝕刻凹陷特徵部的方法,其中該堆疊進一步包含一或多層之一第三材料,其與該一或多層之該第一材料及該一或多層之該第二材料交替出現,該第一材料係包含矽氮化物,該第二材料係包含矽氧化物。
  4. 如請求項3之在基板上蝕刻凹陷特徵部的方法,其中該第三材料係包含多晶矽。
  5. 如請求項1之在基板上蝕刻凹陷特徵部的方法,其中該第一材料係包含矽氮化物,該第二材料係包含多晶矽。
  6. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含Cl2
  7. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含HCl。
  8. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含CCl4 以及/或C2 Cl2
  9. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含Cx Hy Clz ,其中x>0,y>0,且z>0。
  10. 如請求項9之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含CH2 Cl2 以及/或C2 H2 Cl2
  11. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含選自由下列各者組成之一群組中之至少其中之一物質: CCl4 、CH2 Cl2 、C2 H2 Cl2 、CHCl3 、CH3 Cl、C2 H5 Cl、C2 H4 Cl2 、C2 H3 Cl3 、C2 H3 Cl、C2 Cl2 、ClCN、CH2 BrCl、C2 H2 BrCl、以及C2 H4 BrCl。
  12. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含僅含有碳和氯的分子。
  13. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含僅含有碳、氫和氯的分子。
  14. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含僅含有碳、氫、溴和氯的分子。
  15. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氯源係包含僅含有氯、碳和氮的分子。
  16. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中在蝕刻期間,該基板支架係維持在介於-40℃到-10℃之間的一低溫溫度。
  17. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該電漿係在介於約10-100 kW的一RF功率下產生之一電容耦合電漿。
  18. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氫源係包含選自由下列各者組成之一群組中的至少其中之一材料:H2 、CHx Fy (其中1≤x≤4,且x+y=4)、Cx Hy Fz (其中z>0)、及其組合。
  19. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氫源係包含H2
  20. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該氟源係包含選自由下列各者組成之一群組中的至少其中之一材料:NF3 、CHx Fy (其中 0≤x≤3,且 x+y=4)、Cx Fy (其中 y≥x)、Cx Hy Fz (其中 z>0)、及其組合。
  21. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該碳源係包含選自由下列各者組成之一群組中的至少其中之一材料:CHx Fy (其中 0≤x≤4,且 x+y=4)、Cx Fy (其中 y≥x)、Cx Hy Fz (其中 z>0)、及其組合。
  22. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該處理氣體係包含Cl2 、H2 、NF3 、CH2 F2 、CF4 、以及HBr和CF3 I兩者至少其中之一。
  23. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該凹陷特徵部的形成係具有在約10 nm或更小的3σ扭曲內。
  24. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該凹陷特徵部的形成係具有至少約4000 nm的一深度。
  25. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該凹陷特徵部的形成係具有約1.15或更小的一橢圓率。
  26. 如請求項1到5中任一項之在基板上蝕刻凹陷特徵部的方法,其中該凹陷特徵部的形成係具有約115 nm或更小的一最大臨界尺寸,以及/或約40 nm或更小的一最大-底部CD。
  27. 一種在基板上蝕刻凹陷特徵部的設備,該設備包含: a.        一反應室; b.       定位於該反應室中之一基板支架; c.        一電漿產生器,其配置用以在該反應室中產生電漿; d.       通往該反應室之一或多個入口;以及 e.        一控制器,其配置係用以引起: i.            於該反應室中之該基板支架上提供該基板; ii.            使處理氣體流入該反應室且自該處理氣體產生該電漿,其中該處理氣體係包含: 1.       一氯源,其係符合下列條件中至少其中之一: a.        該氯源包含氯氣(Cl2 ), b.       該氯源包含氯化氫(HCl), c.        該氯源包含僅含有碳和氯的分子, d.       該氯源包含僅含有碳、氫和氯的分子, e.        該氯源包含僅含有碳、氫、溴和氯的分子,以及/或 f.        該氯源包含僅含有氯、碳和氮的分子, 2.       一碳源; 3.       一氟源,以及 4.       一氫源;以及 iii.             將該基板暴露至該電漿,以在該基板上之一堆疊中蝕刻該凹陷特徵部,該堆疊係包含一或多層的一第一材料而與一或多層之一第二材料交替,該第一材料係包含一含矽材料,該凹陷特徵部係經蝕刻穿過該一或多層之該第一材料以及穿過該一或多層之該第二材料,其中該基板支架在蝕刻期間係維持在一低溫溫度。
TW110111113A 2020-03-31 2021-03-26 利用氯之高深寬比介電質蝕刻 TW202201536A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063002598P 2020-03-31 2020-03-31
US63/002,598 2020-03-31
US202063081598P 2020-09-22 2020-09-22
US63/081,598 2020-09-22

Publications (1)

Publication Number Publication Date
TW202201536A true TW202201536A (zh) 2022-01-01

Family

ID=77928334

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110111113A TW202201536A (zh) 2020-03-31 2021-03-26 利用氯之高深寬比介電質蝕刻

Country Status (6)

Country Link
US (1) US20230127597A1 (zh)
JP (1) JP2023519708A (zh)
KR (1) KR20220161452A (zh)
CN (1) CN115668462A (zh)
TW (1) TW202201536A (zh)
WO (1) WO2021202070A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023215385A1 (en) * 2022-05-05 2023-11-09 Lam Research Corporation Organochloride etch with passivation and profile control

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5180466A (en) * 1984-12-29 1993-01-19 Fujitsu Limited Process for dry etching a silicon nitride layer
KR100561522B1 (ko) * 2003-12-30 2006-03-16 동부아남반도체 주식회사 반도체 소자 분리막 형성 방법
DE102007033685A1 (de) * 2007-07-19 2009-01-22 Robert Bosch Gmbh Verfahren zum Ätzen einer Schicht auf einem Silizium-Halbleitersubstrat
US8716798B2 (en) * 2010-05-13 2014-05-06 International Business Machines Corporation Methodology for fabricating isotropically recessed source and drain regions of CMOS transistors
US20180286707A1 (en) * 2017-03-30 2018-10-04 Lam Research Corporation Gas additives for sidewall passivation during high aspect ratio cryogenic etch

Also Published As

Publication number Publication date
CN115668462A (zh) 2023-01-31
KR20220161452A (ko) 2022-12-06
US20230127597A1 (en) 2023-04-27
JP2023519708A (ja) 2023-05-12
WO2021202070A1 (en) 2021-10-07

Similar Documents

Publication Publication Date Title
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
EP3038142A1 (en) Selective nitride etch
US10847377B2 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
TWI727992B (zh) 具有高產能之超高選擇性多晶矽蝕刻
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
EP4022670A1 (en) High density, modulus, and hardness amorphous carbon films at low pressure
US20230081817A1 (en) High aspect ratio etch with infinite selectivity
TW202201536A (zh) 利用氯之高深寬比介電質蝕刻
JP2023523677A (ja) 高アスペクト比の3d nandエッチングのための側壁のノッチ低減
US20230260798A1 (en) Chemistry for high aspect ratio etch for 3d-nand
US20220351980A1 (en) Waterborne dispersion composition
WO2023081703A1 (en) Modification of metal-containing surfaces in high aspect ratio plasma etching
TW202135293A (zh) 對於高深寬比之3d nand 蝕刻的側壁缺口縮減
JP2024521260A (ja) 3d-nand用の高アスペクト比エッチングのための化学物質