US8969151B2 - Integrated circuit system employing resistance altering techniques - Google Patents

Integrated circuit system employing resistance altering techniques Download PDF

Info

Publication number
US8969151B2
US8969151B2 US12/040,761 US4076108A US8969151B2 US 8969151 B2 US8969151 B2 US 8969151B2 US 4076108 A US4076108 A US 4076108A US 8969151 B2 US8969151 B2 US 8969151B2
Authority
US
United States
Prior art keywords
dielectric layer
over
forming
gate
resistance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US12/040,761
Other versions
US20090221117A1 (en
Inventor
Shyue Seng Tan
Lee Wee Teo
Chung Foong Tan
Jae Gon Lee
Elgin Kiok Boone Quek
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
GlobalFoundries Singapore Pte Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Singapore Pte Ltd filed Critical GlobalFoundries Singapore Pte Ltd
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, JAE GON, QUEK, ELGIN KIOK BOONE, TAN, CHUNG FOONG, TAN, SHYUE SENG, TEO, LEE WEE
Priority to US12/040,761 priority Critical patent/US8969151B2/en
Priority to SG2011061371A priority patent/SG174739A1/en
Priority to SG200901409-3A priority patent/SG155152A1/en
Publication of US20090221117A1 publication Critical patent/US20090221117A1/en
Assigned to GLOBALFOUNDRIES SINGAPORE PTE. LTD. reassignment GLOBALFOUNDRIES SINGAPORE PTE. LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD.
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: CHARTERED SEMICONDUCTOR MANUFACTURING LTD.
Publication of US8969151B2 publication Critical patent/US8969151B2/en
Application granted granted Critical
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES SINGAPORE PTE. LTD.
Assigned to GLOBALFOUNDRIES SINGAPORE PTE. LTD. reassignment GLOBALFOUNDRIES SINGAPORE PTE. LTD. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7847Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate using a memorization technique, e.g. re-crystallization under strain, bonding on a substrate having a thermal expansion coefficient different from the one of the region

Definitions

  • the present invention relates generally to integrated circuits, and more particularly to an integrated circuit system employing resistance altering techniques.
  • Integrated circuits find application in many of today's consumer electronics, such as cell phones, video cameras, portable music players, printers, computers, etc.
  • Integrated circuits may include a combination of active devices, passive devices and their interconnections.
  • extrinsic poly-silicon is typically used to form resistors, not only because the resistivity of the resistor can be precisely controlled by introducing dopants, but also because resistors can be concurrently formed from the same poly-silicon layer that forms the gate structures of adjacent active devices.
  • concurrent formation requires additional special process steps to alter the resistivity of the resistor, such as shallow implants with reduced doping concentration and reduced thermal budgets.
  • these special process steps inevitably degrade the poly-depletion effect and transistor performance.
  • the present invention provides an integrated circuit system including: providing a substrate including a first region and a second region; forming a first device over the first region and a resistance device over the second region; forming a first dielectric layer and a second dielectric layer over the substrate; removing a portion of the second dielectric layer; and annealing the integrated circuit system to remove dopant from the resistance device.
  • FIG. 1 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with an embodiment of the present invention
  • FIG. 2 is the structure of FIG. 1 after forming a first dielectric layer and a second dielectric layer;
  • FIG. 3 is the structure of FIG. 2 after removing a second dielectric layer from over a first device
  • FIG. 4 is the structure of FIG. 3 after removing a first dielectric layer from over a first device
  • FIG. 5 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention.
  • FIG. 6 is the structure of FIG. 5 after forming a second dielectric layer
  • FIG. 7 is the structure of FIG. 6 after removing a second dielectric layer from over a first device
  • FIG. 8 is the structure of FIG. 7 after removing a first dielectric layer from over a first device
  • FIG. 9 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention.
  • FIG. 10 is the structure of FIG. 9 after forming a first dielectric layer and a second dielectric layer;
  • FIG. 11 is the structure of FIG. 10 after removing a second dielectric layer from over a second device
  • FIG. 12 is the structure of FIG. 11 after removing a first dielectric layer and a second dielectric layer from over a first region;
  • FIG. 13 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention.
  • FIG. 14 is the structure of FIG. 13 after forming a first dielectric layer and a second dielectric layer;
  • FIG. 15 is the structure of FIG. 14 after removing a second dielectric layer from over a first device and a second device;
  • FIG. 16 is the structure of FIG. 15 after removing a first dielectric layer from over a first device and a second device;
  • FIG. 17 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention.
  • FIG. 18 is the structure of FIG. 17 after forming a first dielectric layer and a second dielectric layer;
  • FIG. 19 is the structure of FIG. 18 after removing a second dielectric layer from over a first device and a second device;
  • FIG. 20 is the structure of FIG. 19 after removing a first dielectric layer from over a first device and a second device;
  • FIG. 21 is a flow chart of an integrated circuit system for an integrated circuit system, in accordance with an embodiment of the present invention.
  • horizontal as used herein is defined as a plane parallel to the conventional plane or surface of the substrate, regardless of its orientation.
  • vertical refers to a direction perpendicular to the horizontal as just defined. Terms, such as “on”, “above”, “below”, “bottom”, “top”, “side” (as in “sidewall”), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane.
  • processing includes deposition of material or photoresist, patterning, exposure, development, etching, cleaning, and/or removal of the material or photoresist as required in forming a described structure.
  • example or “exemplary” are used herein to mean serving as an instance or illustration. Any aspect or embodiment described herein as an “example” or as “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs.
  • first and second as used herein are for purposes of differentiation between elements only and are not to be construed as limiting the scope of the present invention.
  • system means and refers to the method and to the apparatus of the present invention in accordance with the context in which the term is used.
  • exhibiting the characteristics of stress memorization includes a structure or element that has its physical properties affected by a stress memorization layer.
  • the following embodiments relate to the formation of a resistor including, but not limited to, a high resistance resistor within an integrated circuit system.
  • the resistor can be manufactured by utilizing strategically engineered dielectric layers that block the deposition of low resistance electrical contacts, while promoting the out-diffusion of dopants from within the resistor.
  • a hydrogen doping process can be employed in conjunction with the strategically engineered dielectric layers to further enhance the out-diffusion of dopants from within the resistor.
  • the strategically engineered dielectric layers can also induce stress memorization within adjacent active device structures, thereby enhancing active device performance.
  • FIGS. 1-8 depict by way of example and not by limitation, an exemplary process flow for the formation of an integrated circuit system and they are not to be construed as limiting. It is to be understood that a plurality of conventional processes that are well known within the art and not repeated herein, may precede or follow FIGS. 1-8 . Moreover, it is to be understood that many modifications, additions, and/or omissions may be made to the below described process without departing from the scope or spirit of the claimed subject matter. For example, the below described process may include more, fewer, or other steps. Additionally, steps may be performed in any suitable order without departing from the scope of the present invention.
  • the integrated circuit system of the present disclosure may include any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode.
  • Exemplary illustrations may include an n-channel field effect transistor (NFET), a p-channel field effect transistor (PFET), a complementary metal-oxide-silicon (CMOS) configuration, a single-gate transistor, a multi-gate transistor, a fin-FET, or an annular gate transistor.
  • NFET n-channel field effect transistor
  • PFET p-channel field effect transistor
  • CMOS complementary metal-oxide-silicon
  • the integrated circuit system of the present disclosure may include any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein.
  • one or more of the integrated circuit system could be prepared at one time on a medium, which could be separated into individual or multiple integrated circuit assemblies at a later stage of fabrication.
  • the integrated circuit system 100 includes a first region 102 and a second region 104 .
  • the first region 102 may include active and passive devices and the second region 104 may include passive devices.
  • the first region 102 may include, more particularly, any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode and the second region 104 may include, more particularly, any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein.
  • the integrated circuit system 100 can be used within processor components, memory components, logic components, digital components, analog components, mixed-signal components, power components, radio-frequency (RF) components (e.g., RF CMOS circuits), digital signal processor components, micro-electromechanical components, optical sensor components, and so forth, in numerous configurations and arrangements as may be needed.
  • RF radio-frequency
  • the first region 102 and the second region 104 are formed within or over a substrate 106 .
  • the substrate 106 may include any semiconducting material, such as, Si, SiC, SiGe, Si/SiGe, SiGeC, Ge, GaAs, InAs, InP, other III/V or II/VI compound semiconductors, as well as silicon-on-insulator configurations.
  • the substrate 106 may also include doped and undoped configurations, epitaxial layers, strained configurations, and one or more crystal orientations (e.g.— ⁇ 100>, ⁇ 110>, and/or ⁇ 111> orientations), which may be strategically employed to optimize carrier mobility within NFET and PFET devices.
  • the substrate 106 may also include any material that becomes amorphous upon implantation.
  • the substrate 106 may possess a thickness ranging from about one hundred (100) nanometers to about several hundred microns, for example.
  • the examples provided for the substrate 106 are not to be construed as limiting and the composition of the substrate 106 may include any material, configuration, or thickness that physically and electrically enables the formation of active and/or passive device structures.
  • a first device 108 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active and/or passive devices.
  • the first device 108 may include one or more of a PFET device, an NFET device, and/or a combination thereof (i.e.—a CMOS device), even though only one of the first device 108 is depicted.
  • the first device 108 includes a gate 110 , a gate dielectric 112 under the gate 110 , a channel 114 , a liner 116 , a spacer 118 , a source/drain extension 120 , and a source/drain 122 .
  • the gate 110 may be formed from conventional materials including doped and undoped semiconducting materials (such as, for example, polySi, amorphous Si, or SiGe), a metal, a metallic alloy, a silicide, or a combination thereof, for example. In other embodiments, the gate 110 may also include any conducting material or composition that becomes amorphous upon implantation.
  • the gate dielectric 112 may be made from materials including, but not limited to, silicon oxide, silicon oxynitride, silicon nitride, a silicon oxide/nitride/oxide stack, a high-k dielectric material (i.e.—one having a dielectric constant value greater than silicon oxide), or a combination thereof.
  • the type of material chosen for the gate dielectric 112 is not limited to the above examples; for example, the gate dielectric 112 may include any material that permits induction of a charge in the channel 114 when an appropriate voltage is applied to the gate 110 . Accordingly, other materials, which may be known to those skilled in the art for gate structures, may also be used for the gate 110 and the gate dielectric 112 .
  • the thickness of the gate 110 is between about 500 angstroms and about 3000 angstroms and the thickness of the gate dielectric 112 is between about 10 angstroms and about 50 angstroms. However, larger or smaller thicknesses of the gate 110 and the gate dielectric 112 may be appropriate depending on the design specifications of the first device 108 .
  • the liner 116 may include dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon dioxide.
  • the spacer 118 may also be formed from conventional dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon nitride.
  • the type of materials chosen for the liner 116 and the spacer 118 are not limited to the above examples and may include any material that permits electrical isolation of the gate 110 and formation of the source/drain 122 aligned to the spacer 118 .
  • the thickness for each of the liner 116 and the spacer 118 will vary with the design specifications of the first device 108 .
  • the first device 108 may also include the source/drain extension 120 and the source/drain 122 formed adjacent the gate 110 .
  • the source/drain extension 120 may be formed to a shallow depth with a low concentration of impurities relative to the source/drain 122 .
  • the source/drain extension 120 may include a dopant concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm 3 .
  • larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the first device 108 .
  • the impurities used to form the source/drain extension 120 may include n-type or p-type, depending on the first device 108 being formed (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). It is to be understood that the source/drain extension 120 can be formed by aligning the source/drain extension 120 to the gate 110 (i.e., before forming the liner 116 and the spacer 118 ) or subsequent to forming the liner 116 and the spacer 118 by employing an angled implant.
  • the source/drain 122 can be aligned to the spacer 118 .
  • the source/drain 122 may be of the same conductivity type as the dopants used to form the source/drain extension 120 (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device).
  • Exemplary energies and concentrations used to form the source/drain 122 may include an energy between about 1 keV and about 150 keV and a concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm 3 .
  • larger or smaller energies and concentrations may be used depending upon the impurity used and the design specifications of the first device 108 .
  • the implant energy used to form the source/drain 122 must possess sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 of the first device 108 .
  • An implant with sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 allows a subsequent anneal step to transfer/memorize a stress to the gate 110 and the source/drain 122 during recrystallization, thereby promoting stress within the channel 114 and improving active device performance.
  • a resistance device 124 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active/passive devices. More specifically, the resistance device 124 can be formed over an isolation structure 126 , such as a shallow trench isolation structure, which can electrically isolate and/or separate the resistance device 124 from the first device 108 .
  • the isolation structure 126 may be made from a dielectric material such as silicon dioxide (“SiO 2 ”)
  • the resistance device 124 may include a high resistance resistor, such as one with a resistance value exceeding 500 ohms/square.
  • the structure of the resistance device 124 is substantially similar to the first device 108 and includes the gate 110 , the gate dielectric 112 , the liner 116 , and the spacer 118 , thereby enabling concurrent formation of the resistance device 124 with the first device 108 on the substrate 106 .
  • the layers, structures and process steps corresponding to similar reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to the first device 108 , and, therefore, their descriptions are not reiterated in detail.
  • the gate 110 of the resistance device 124 may be exposed to the dopant implant process used to form the source/drain 122 and/or the source/drain extension 120 , thereby adversely altering the resistance of the resistance device 124 (i.e., lowering the desired resistance value of the resistance device 124 below the design specifications of the integrated circuit system 100 ).
  • the resistance device 124 may include a heavily p-type doped (i.e.—p+) resistor, wherein the p-type dopant concentration within the gate 110 includes a concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm 3 .
  • larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the resistance device 124 .
  • resistance device 124 operates according to what is conventionally known in the art.
  • the first dielectric layer 200 can be formed over or on the integrated circuit system 100 .
  • the first dielectric layer 200 includes an insulating material such as an oxide grown by wet or dry oxidation techniques.
  • the first dielectric layer 200 may include an oxide deposited by chemical vapor deposition techniques.
  • the first dielectric layer 200 may include a low temperature oxide film deposited by reacting silane with either oxygen, nitrous oxide, or carbon dioxide at temperatures below about 800° C. in a plasma.
  • the first dielectric layer 200 may include any oxide that is deposited using temperatures, pressures, and reactants to maximize the hydrogen concentration trapped within the first dielectric layer 200 , as described in FIG. 5 .
  • the first dielectric layer 200 may have a thickness ranging from about 50 angstroms to about 300 angstroms.
  • the second dielectric layer 202 can be formed over or on the first dielectric layer 200 .
  • the second dielectric layer 202 includes an insulating material such as a nitride deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD).
  • the first dielectric layer 200 may include a silicon nitride film deposited by rapid thermal chemical vapor deposition (RTCVD).
  • the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the hydrogen concentration trapped within the second dielectric layer 202 .
  • the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the density of the second dielectric layer 202 , as described in FIG. 3 .
  • the second dielectric layer 202 may have a thickness ranging from about 200 angstroms to about 700 angstroms.
  • the second dielectric layer 202 may also include any material, such as a stress memorization material/layer, that transfers its inherent or intrinsic stress to the gate 110 , the channel 114 , and/or the source/drain 122 of the first device 108 during an anneal step.
  • a stress memorization material/layer that transfers its inherent or intrinsic stress to the gate 110 , the channel 114 , and/or the source/drain 122 of the first device 108 during an anneal step.
  • the second dielectric layer 202 includes the characteristics of a stress memorization layer, the second dielectric layer 202 can transfer its intrinsic stress to the first device 108 upon recrystallization of the amorphous regions within the first device 108 .
  • the second dielectric layer 202 may include a compressively stressed layer or a tensile stressed layer.
  • the stress induced within the first device 108 by the second dielectric layer 202 can be increased by increasing the intrinsic stress within the second dielectric layer 202 .
  • a multitude of deposition parameters such as reactant flow rates, pressure, temperature, RF power, reactant materials, and thickness, can be adjusted to modulate the intrinsic stress within the second dielectric layer 202 and thereby maximize its stress transference effect upon the first device 108 .
  • the second dielectric layer 202 may include a silicon nitride layer deposited by a plasma enhanced chemical vapor deposition process.
  • the second dielectric layer 202 can be strategically designed to exhibit the characteristics of increased density, increased hydrogen concentration, and stress memorization transference.
  • the second dielectric layer 202 can be removed from over the first device 108 by forming a mask layer (not shown) over the resistance device 124 and etching the remaining exposed portion of the second dielectric layer 202 by methods selective to the composition of the second dielectric layer 202 .
  • the second dielectric layer 202 can be etched by common gas chemistries such as CF 4 , SiF 4 , NF 3 , CHF 3 and C 2 F 6 .
  • the second dielectric layer 202 can be removed by any dry etching process that removes a nitride material.
  • the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 after etching.
  • an anneal step is performed to electrically activate the dopants within the first device 108 .
  • the anneal step may include any thermal process that electrically activates the dopants within the first device 108 , such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
  • the present inventors have discovered that by not removing the second dielectric layer 202 from over the resistance device 124 that the sheet resistance value of the resistance device 124 can be increased by about 40% to about 70% over that of a resistor formed without the second dielectric layer 202 .
  • the present inventors have discovered that the sheet resistance value of the resistance device 124 can be increased by about 40% to about 70% by increasing the density of the second dielectric layer 202 . It is to be understood that the density of the second dielectric layer 202 can be increased by strategically altering the deposition parameters and the deposition methods used to form the second dielectric layer 202 .
  • the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the density of the second dielectric layer 202 that does not require additional process steps.
  • the increase in resistance of the resistance device 124 is due in part to the ability of the second dielectric layer 202 to prevent hydrogen flushing or out-gassing from the first dielectric layer 200 .
  • the density of the second dielectric layer 202 increases, its ability to block the diffusion or out-gassing of hydrogen is also increased.
  • the hydrogen trapped by the second dielectric layer 202 causes out-diffusion and deactivation of the dopant within the resistance device 124 .
  • the hydrogen trapped within the first dielectric layer 200 adjacent the resistance device 124 can cause boron, for example, within the resistance device 124 to out-gas and become deactivated upon binding to the hydrogen, thereby increasing the resistance of the resistance device 124 .
  • the first dielectric layer 200 can be removed from over the first device 108 by forming a mask layer (not shown) over the resistance device 124 and etching the remaining exposed portion of the first dielectric layer 200 .
  • the first dielectric layer 200 can be etched by common wet or dry etch chemistries or a salicide preclean process.
  • the type of etch chemistry used to etch the first dielectric layer 200 is not essential, what is important is that the first dielectric layer 200 is removed from over the first device 108 after etching.
  • an electrical contact 400 such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 by processes well known within the art for forming the electrical contact 400 . It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact (i.e., the electrical contact 400 ), thereby preventing a lowering of the resistance of the resistance device 124 .
  • a low resistance silicide electrical contact i.e., the electrical contact 400
  • the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124 .
  • the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square.
  • the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 700 ohms/square to about 900 ohms/square.
  • FIGS. 5-8 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 1-4 and the process steps of FIGS. 1-4 . It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 1-4 and, therefore, their descriptions are not reiterated in detail for FIGS. 5-8 . Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 1-4 are incorporated for the same reference numbers included in FIGS. 5-8 .
  • the integrated circuit system 100 may include the first region 102 , the second region 104 , the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the liner 116 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , and the isolation structure 126 .
  • the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the liner 116 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , and the isolation structure 126 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 1 .
  • the integrated circuit system 100 differs from the integrated circuit system 100 , of FIG. 1 , by including a hydrogen treatment process for the first dielectric layer 200 (i.e., processing the first dielectric layer 200 with a hydrogen treatment).
  • the hydrogen treatment helps to increase the concentration of hydrogen within the first dielectric layer 200 , thereby enhancing the ability of the first dielectric layer 200 to promote out-diffusion and/or deactivation of dopants from within the resistance device 124 .
  • the first dielectric layer 200 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 2 .
  • the hydrogen treatment may include a hydrogen forming gas anneal.
  • the hydrogen forming gas anneal may include a hydrogen percentage ranging from 0% to 100% pure hydrogen.
  • the hydrogen forming gas anneal may more specifically include a 5% hydrogen and 95% nitrogen gas or a 10% hydrogen and 90% nitrogen gas.
  • the hydrogen forming gas anneal process may include a temperature of about 350° C. to about 475° C., and a time of about 10 minutes to about several hours (e.g., 5 hours).
  • the hydrogen treatment may include a hydrogen plasma treatment. It is to be understood that the hydrogen plasma treatment process parameters can be manipulated to alter the hydrogen content within the first dielectric layer 200 to cause out-diffusion and deactivation of a dopant from within a structure located adjacent the first dielectric layer 200 . For example, higher plasma powers and longer durations for the hydrogen plasma treatment can increase the content of hydrogen within the first dielectric layer 200 .
  • the hydrogen plasma treatment may include a pressure of about 0.3 to about 20 (Torr), an RF power of about 20 to about 3000 (Watts), an H 2 flow rate of about 100 to about 10,000 (sccm), the substrate 106 temperature of about 15° C.
  • the second dielectric layer 202 can be formed over or on the first dielectric layer 200 . It is to be understood that the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 2 . In some embodiments, the second dielectric layer 202 includes a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200 . In other embodiments, the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202 .
  • FIG. 7 therein is shown the structure of FIG. 6 after removing the second dielectric layer 202 from over the first device 108 .
  • the second dielectric layer 202 can be removed from over the first device 108 in a manner similar to the processes described in reference to FIG. 3 above.
  • an anneal step can be performed in a manner similar to the processes described in reference to FIG. 3 above.
  • the present inventors have discovered that by treating the first dielectric layer 200 with a hydrogen treatment and by not removing the second dielectric layer 202 from over the resistance device 124 that the resistance value of the resistance device 124 can be increased over that of a resistor formed without the second dielectric layer 202 and the first dielectric layer 200 with a hydrogen treatment. It is to be understood that the increased hydrogen concentration within the first dielectric layer 200 helps to promote out-diffusion and deactivation of the dopant within the resistance device 124 , thereby increasing the resistance of the resistance device 124 .
  • the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the density of the second dielectric layer 202 and/or by altering the hydrogen concentration within the first dielectric layer 200 .
  • the first dielectric layer 200 can be removed from over the first device 108 in a manner similar to the processes described in reference to FIG. 4 above.
  • the electrical contact 400 such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 by processes well known within the art for forming the electrical contact 400 .
  • first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124 .
  • the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 , by removing dopants from the resistance device 124 , and/or by utilizing a hydrogen treated form of the first dielectric layer 200 .
  • the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square.
  • the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 700 ohms/square to about 900 ohms/square.
  • FIGS. 9-20 depict by way of example and not by limitation, an exemplary process flow for the formation of an integrated circuit system and they are not to be construed as limiting. It is to be understood that a plurality of conventional processes that are well known within the art and not repeated herein, may precede or follow FIGS. 9-20 . Moreover, it is to be understood that many modifications, additions, and/or omissions may be made to the below described process without departing from the scope or spirit of the claimed subject matter. For example, the below described process may include more, fewer, or other steps. Additionally, steps may be performed in any suitable order without departing from the scope of the present invention.
  • the integrated circuit system of the present disclosure may include any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode.
  • Exemplary illustrations may include an n-channel field effect transistor (NFET), a p-channel field effect transistor (PFET), a complementary metal-oxide-silicon (CMOS) configuration, a single-gate transistor, a multi-gate transistor, a fin-FET, or an annular gate transistor.
  • NFET n-channel field effect transistor
  • PFET p-channel field effect transistor
  • CMOS complementary metal-oxide-silicon
  • the integrated circuit system of the present disclosure may include any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein.
  • one or more of the integrated circuit system could be prepared at one time on a medium, which could be separated into individual or multiple integrated circuit assemblies at a later stage of fabrication.
  • FIGS. 9-12 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 1-4 and the process steps of FIGS. 1-4 . It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 1-4 and, therefore, their descriptions are not reiterated in detail for FIGS. 9-12 . Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 1-4 are incorporated for the same reference numbers included in FIGS. 9-12 .
  • the integrated circuit system 100 includes the first region 102 and the second region 104 .
  • the first region 102 may include active and passive devices and the second region 104 may include passive devices.
  • the first region 102 may include, more particularly, any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode and the second region 104 may include, more particularly, any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein.
  • the integrated circuit system 100 can be used within processor components, memory components, logic components, digital components, analog components, mixed-signal components, power components, radio-frequency (RF) components (e.g., RF CMOS circuits), digital signal processor components, micro-electromechanical components, optical sensor components, and so forth, in numerous configurations and arrangements as may be needed.
  • RF radio-frequency
  • the first region 102 and the second region 104 are formed within or over the substrate 106 .
  • the substrate 106 may include any semiconducting material, such as, Si, SiC, SiGe, Si/SiGe, SiGeC, Ge, GaAs, InAs, InP, other III/V or II/VI compound semiconductors, as well as silicon-on-insulator configurations. Additionally, the substrate 106 may also include doped and undoped configurations, epitaxial layers, strained configurations, and one or more crystal orientations (e.g.— ⁇ 100>, ⁇ 110>, and/or ⁇ 111> orientations), which may be strategically employed to optimize carrier mobility within NFET and PFET devices.
  • the substrate 106 may also include any material that becomes amorphous upon implantation.
  • the substrate 106 may possess a thickness ranging from about one hundred (100) nanometers to about several hundred microns, for example.
  • the examples provided for the substrate 106 are not to be construed as limiting and the composition of the substrate 106 may include any material, configuration, or thickness that physically and electrically enables the formation of active and/or passive device structures.
  • the first device 108 and a second device 900 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active and/or passive devices.
  • the first device 108 and the second device 900 may include one or more of a PFET device and/or an NFET device.
  • the first device 108 and the second device 900 may include a PFET device and an NFET device cooperatively coupled, thereby forming a CMOS device.
  • the first device 108 and the second device 900 both include the gate 110 , the gate dielectric 112 , the channel 114 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , and a well 902 .
  • the well 902 can be formed within the substrate 106 prior to or subsequent to the formation of the gate 110 and the gate dielectric 112 , depending upon, for example, the desired dopant concentration within the channel 114 .
  • the dopants used to form the well 902 are of opposite conductivity type as compared to the dopants used to form the source/drain extension 120 and the source/drain 122 (i.e., p-type impurities for NFET devices and n-type impurities for PFET devices).
  • the depth, dopant concentration, and energy used to form the well 902 can depend upon the dopant used and the design specifications of the first device 108 and the second device 900 .
  • the gate 110 may be formed from conventional materials including doped and undoped semiconducting materials (such as, for example, polySi, amorphous Si, or SiGe), a metal, a metallic alloy, a silicide, or a combination thereof, for example. In other embodiments, the gate 110 may also include any conducting material or composition that becomes amorphous upon implantation.
  • the gate dielectric 112 may be made from materials including, but not limited to, silicon oxide, silicon oxynitride, silicon nitride, a silicon oxide/nitride/oxide stack, a high-k dielectric material (i.e.—one having a dielectric constant value greater than silicon oxide), or a combination thereof.
  • the type of material chosen for the gate dielectric 112 is not limited to the above examples; for example, the gate dielectric 112 may include any material that permits induction of a charge in the channel 114 when an appropriate voltage is applied to the gate 110 . Accordingly, other materials, which may be known to those skilled in the art for gate structures, may also be used for the gate 110 and the gate dielectric 112 .
  • the thickness of the gate 110 is between about 500 angstroms and about 3000 angstroms and the thickness of the gate dielectric 112 is between about 10 angstroms and about 50 angstroms. However, larger or smaller thicknesses of the gate 110 and the gate dielectric 112 may be appropriate depending on the design specifications of the first device 108 and the second device 900 .
  • the spacer 118 may be formed from conventional dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon nitride.
  • the type of materials chosen for the spacer 118 are not limited to the above examples and may include any material that permits electrical isolation of the gate 110 and formation of the source/drain 122 aligned to the spacer 118 .
  • the thickness of the spacer 118 will vary with the design specifications of the first device 108 and the second device 900 .
  • the first device 108 and the second device 900 may also include the source/drain extension 120 and the source/drain 122 formed adjacent the gate 110 .
  • the source/drain extension 120 may be formed to a shallow depth with a low concentration of impurities relative to the source/drain 122 .
  • the source/drain extension 120 may include a dopant concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm 3 .
  • larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the first device 108 and the second device 900 .
  • the impurities used to form the source/drain extension 120 may include n-type or p-type, depending on the first device 108 and/or the second device 900 being formed (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). It is to be understood that the source/drain extension 120 can be formed by aligning the source/drain extension 120 to the gate 110 (i.e., before forming the spacer 118 ) or subsequent to forming the spacer 118 by employing an angled implant.
  • the source/drain 122 can be aligned to the spacer 118 .
  • the source/drain 122 may be of the same conductivity type as the dopants used to form the source/drain extension 120 (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device).
  • Exemplary energies and concentrations used to form the source/drain 122 may include an energy between about 1 keV and about 150 keV and a concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm 3 .
  • larger or smaller energies and concentrations may be used depending upon the impurity used and the design specifications of the first device 108 and the second device 900 .
  • the implant energy used to form the source/drain 122 must possess sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 of the first device 108 and the second device 900 .
  • An implant with sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 allows a subsequent anneal step to transfer/memorize a stress to the gate 110 and the source/drain 122 during recrystallization.
  • the resistance device 124 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active/passive devices. More specifically, the resistance device 124 can be formed over the isolation structure 126 , such as a shallow trench isolation structure, which can electrically isolate and/or separate the resistance device 124 from the first device 108 and the second device 900 .
  • the isolation structure 126 may be made from a dielectric material such as silicon dioxide (“SiO 2 ”).
  • the resistance device 124 may include a high resistance resistor, such as one with a resistance value exceeding 500 ohms/square.
  • the structure of the resistance device 124 is substantially similar to the first device 108 and the second device 900 and may include the gate 110 , the gate dielectric 112 , and the spacer 118 , thereby enabling concurrent formation of the resistance device 124 with the first device 108 and the second device 900 on the substrate 106 .
  • the layers, structures and process steps corresponding to similar reference numbers generally include the same characteristics (e.g.—composition, thickness, function, process techniques, etc.) as those described in reference to the first device 108 and the second device 900 , and therefore, their descriptions are not reiterated in detail.
  • the gate 110 of the resistance device 124 may be exposed to a dopant implant process used to form the source/drain 122 and/or the source/drain extension 120 , thereby adversely altering the resistance of the resistance device 124 (e.g., lowering the desired resistance value of the resistance device 124 below the design specifications of the integrated circuit system 100 ).
  • the resistance device 124 may include a heavily p-type doped (i.e., p+) resistor, wherein the p-type dopant concentration within the gate 110 includes a concentration between about 1 ⁇ 10 16 ions/cm 3 to about 1 ⁇ 10 22 ions/cm3.
  • p+ heavily p-type doped resistor
  • resistance device 124 operates according to what is conventionally known in the art.
  • the first dielectric layer 200 can be formed over or on the integrated circuit system 100 .
  • the first dielectric layer 200 may include an insulating material such as an oxide grown by wet or dry oxidation techniques.
  • the first dielectric layer 200 may include an oxide deposited by chemical vapor deposition techniques.
  • the first dielectric layer 200 may include a low temperature oxide film deposited by reacting silane with either oxygen, nitrous oxide, or carbon dioxide at temperatures below about 800° C. in a plasma.
  • the first dielectric layer 200 may include any oxide that is deposited using temperatures, pressures, and reactants to maximize the hydrogen concentration trapped within the first dielectric layer 200 .
  • the first dielectric layer 200 may include an oxide that has been treated with a hydrogen process to increase the concentration of hydrogen, similar to the processes described above in FIG. 5 .
  • the first dielectric layer 200 may have a thickness ranging from about 50 angstroms to about 300 angstroms.
  • the second dielectric layer 202 can be formed over or on the first dielectric layer 200 .
  • the second dielectric layer 202 may include an insulating material such as a nitride deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD).
  • the first dielectric layer 200 may include a silicon nitride film deposited by rapid thermal chemical vapor deposition (RTCVD).
  • the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the hydrogen concentration trapped within the second dielectric layer 202 .
  • the second dielectric layer 202 may include a nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the density of the second dielectric layer 202 .
  • the second dielectric layer 202 may have a thickness ranging from about 200 angstroms to about 700 angstroms.
  • the second dielectric layer 202 may include any material, such as a stress memorization material/layer, that transfers its inherent or intrinsic stress to the gate 110 , the channel 114 , and/or the source/drain 122 of the first device 108 and/or the second device 900 during an anneal step.
  • the second dielectric layer 202 when the second dielectric layer 202 includes the characteristics of a stress memorization layer, the second dielectric layer 202 can transfer its intrinsic stress to the first device 108 and/or the second device 900 upon recrystallization of the amorphous regions within the first device 108 and/or the second device 900 .
  • the second dielectric layer 202 may include a compressively stressed layer or a tensile stressed layer.
  • the stress induced within the first device 108 and/or the second device 900 by the second dielectric layer 202 can be increased by increasing the intrinsic stress within the second dielectric layer 202 .
  • a multitude of deposition parameters such as reactant flow rates, pressure, temperature, RF power, reactant materials, and thickness, can be adjusted to modulate the intrinsic stress within the second dielectric layer 202 and thereby maximize its stress transference effect upon the first device 108 and/or the second device 900 .
  • the second dielectric layer 202 may include a silicon nitride layer deposited by a plasma enhanced chemical vapor deposition process.
  • the second dielectric layer 202 can be strategically designed to exhibit the characteristics of increased density, increases hydrogen concentration, and stress memorization transference.
  • FIG. 11 therein is shown the structure of FIG. 10 after removing the second dielectric layer 202 from over the second device 900 .
  • exposure of the second device 900 versus exposure of the first device 108 is not critical, what is important is that the second dielectric layer 202 be removed from over any structure that can act as a PFET device. Accordingly, for purposes of discussion, the second device 900 can be referred to as a PFET device for the remainder of this embodiment.
  • the second dielectric layer 202 can be removed from over the second device 900 by forming and processing a mask layer (not shown). In such cases, the first dielectric layer 200 remains over the first device 108 , the second device 900 and the resistance device 124 , while the second dielectric layer 202 remains intact over the first device 108 and the resistance device 124 .
  • the exposed portion of the second dielectric layer 202 formed over the second device 900 can be etched to form an opening within the second dielectric layer 202 by methods selective to the composition of the second dielectric layer 202 .
  • the second dielectric layer 202 can be etched by common gas chemistries such as CF 4 , SiF 4 , NF 3 , CHF 3 and C 2 F 6 .
  • the second dielectric layer 202 can be removed by any dry etching process that removes a nitride material.
  • the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the second device 900 after etching. It is to be understood that removal of the second dielectric layer 202 from over the second device 900 helps to prevent any adverse effects that the second dielectric layer 202 may have upon a PFET device during annealing.
  • an anneal step is performed to electrically activate the dopants within the first device 108 and the second device 900 .
  • the anneal step may include any thermal process that electrically activates the dopants within the first device 108 and the second device 900 , such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
  • the present inventors have discovered that by not removing the second dielectric layer 202 from over the resistance device 124 that the sheet resistance value of the resistance device 124 can be increased by about 35% over that of a resistor formed without the second dielectric layer 202 .
  • This increase in the sheet resistance of the resistance device 124 is approximately equivalent to employing a reduced source and drain dose of about 1.5 ⁇ 10 15 ions/cm 2 (noting that a conventional source and drain dose is about 2 ⁇ 10 15 ions/cm 2 ).
  • the present inventors have found this process to very repeatable and easily implemented because no additional process steps are required.
  • the resistance value of the resistance device 124 can be increased by about 35% by merely forming and/or altering the density of the second dielectric layer 202 formed over the resistance device 124 . It is to be understood that the density of the second dielectric layer 202 can be altered/increased by strategically manipulating the deposition parameters and the deposition methods used to form the second dielectric layer 202 . Moreover, it is to be understood that the resistance value of the resistance device 124 can also be increased by employing a hydrogen treatment process for the first dielectric layer 200 .
  • the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased even further. It is to be understood that an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124 , thereby increasing the resistance of the resistance device 124 . Moreover, it is to be understood that increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200 , thereby helping to increase the resistance of the resistance device 124 .
  • the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by merely forming and/or altering the density of the second dielectric layer 202 that does not require additional process steps.
  • the present inventors believe that the increase in resistance of the resistance device 124 is due in part to the ability of the second dielectric layer 202 to prevent hydrogen flushing or out-gassing from the first dielectric layer 200 . It is to be understood that as the density of the second dielectric layer 202 increases, its ability to block the diffusion or out-gassing of hydrogen is also increased. It is believed that the hydrogen trapped by the second dielectric layer 202 causes out-diffusion and deactivation of the dopant within the resistance device 124 . More specifically, the hydrogen trapped within the first dielectric layer 200 adjacent the resistance device 124 can cause boron, for example, within the resistance device 124 to out-gas and become deactivated upon binding to the hydrogen, thereby increasing the resistance of the resistance device 124 .
  • the present inventors have discovered that by not removing the second dielectric layer 202 from over the first device 108 that the performance of the first device 108 can be enhanced. It is to be understood that the anneal step employed to electrically activate dopants within the first device 108 can also transfer the intrinsic stress from within the second dielectric layer 202 into the gate 110 and/or the source/drain 122 of the first device 108 . After annealing with the second dielectric layer 202 formed over the first device 108 , each of the gate 110 , the channel 114 and/or the source/drain 122 of the first device 108 can be described as exhibiting the characteristics of stress memorization (i.e., their physical properties have been affected by the second dielectric layer 202 ). For example, the gate 110 , the channel 114 and/or the source/drain 122 may exhibit a tensile stressed nature or a compressive stressed nature.
  • the present invention achieves stress memorization transfer by annealing the integrated circuit system 100 with a temperature sufficiently high enough to cause recrystallization of amorphous regions (e.g., due to previous implantations) located within the gate 110 and/or the source/drain 122 of the first device 108 .
  • the stress from the second dielectric layer 202 can be retained within the gate 110 and/or the source/drain 122 through the recrystallization anneal.
  • the present inventors believe that during annealing, the amorphous regions located within the gate 110 and/or the source/drain 122 of the first device 108 are recrystallized under a field of stress induced by the second dielectric layer 202 .
  • the field of stress induced by the second dielectric layer 202 is believed to cause the atoms of the gate 110 and/or the source/drain 122 to align or crystallize with a compressively stressed lattice constant or a tensile stressed lattice constant.
  • the stress transferred by the second dielectric layer 202 into the gate 110 and/or the source/drain 122 of the first device 108 improves the carrier mobility of the integrated circuit system 100 .
  • the carrier mobility of the integrated circuit system 100 is improved because the recrystallized stressed regions of the gate 110 and/or the source/drain 122 induce stress within the channel 114 , thereby improving carrier mobility.
  • the anneal of the present invention may include any thermal process that causes the amorphous regions of the gate 110 and/or the source/drain 122 of the first device 108 to recrystallize under the stress of, and retain the stress of, the second dielectric layer 202 .
  • the anneal may include a rapid thermal anneal, a spike anneal and/or a laser anneal.
  • the second dielectric layer 202 can be removed from over the first device 108 by forming an additional mask (not shown) over the resistance device 124 and etching the remaining exposed portion of the second dielectric layer 202 .
  • the second dielectric layer 202 can be etched by common wet or dry etch chemistries.
  • the type of etch chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 after etching.
  • an additional etch process can be employed to remove the first dielectric layer 200 from over the first device 108 and the second device 900 .
  • the etch process may include a salicide preclean etch.
  • the etch process/chemistry used to remove the first dielectric layer 200 from over the first device 108 and the second device 900 is not critical, what is important is that the etch process/chemistry prepare the integrated circuit system 100 for formation of the electrical contact 400 over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 .
  • the electrical contact 400 such as a low resistance silicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400 . It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact (i.e., the electrical contact 400 ), thereby preventing a lowering of the resistance of the resistance device 124 .
  • a low resistance silicide electrical contact i.e., the electrical contact 400
  • the above process steps form a high resistance resistor by blocking the formation of the electrical contact 400 from forming on the resistance device 124 and by removing dopants from the resistance device 124 .
  • the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square.
  • the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square.
  • FIGS. 13-16 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 9-12 and the process steps of FIGS. 9-12 . It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 9-12 and, therefore, their descriptions are not reiterated in detail for FIGS. 13-16 . Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 9-12 are incorporated for the same reference numbers included in FIGS. 13-16 .
  • the integrated circuit system 100 may include the first region 102 , the second region 104 , the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , the isolation structure 126 , the second device 900 and the well 902 .
  • the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , the isolation structure 126 , the second device 900 , and the well 902 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 9 .
  • the first dielectric layer 200 can be formed over or on the integrated circuit system 100 and the second dielectric layer 202 can be formed over or on the first dielectric layer 200 . It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 10 .
  • the first dielectric layer 200 may include a material with increased hydrogen concentration.
  • the first dielectric layer may include an oxide that has undergone a hydrogen treatment in a manner similar to the processes described in FIG. 5 above.
  • the second dielectric layer 202 may include a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200 .
  • the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202 .
  • the second dielectric layer 202 can be removed from over the first device 108 and the second device 900 by forming and processing a mask layer (not shown) to expose the first device 108 and the second device 900 .
  • the first dielectric layer 200 remains over the first device 108 , the second device 900 and the resistance device 124 , while the second dielectric layer 202 remains intact over the resistance device 124 .
  • the exposed portion of the second dielectric layer 202 formed over the first device 108 and the second device 900 can be etched by methods selective to the composition of the second dielectric layer 202 .
  • the second dielectric layer 202 can be etched by common gas chemistries such as CF 4 , SiF 4 , NF 3 , CHF 3 and C 2 F 6 .
  • the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 and the second device 900 after etching. It is to be understood that selective removal of the second dielectric layer 202 from over the first region 102 can prevent the application of the stress memorization transfer process to selected structures within the first region 102 .
  • an anneal step can be performed in a manner similar to the processes described in reference to FIG. 11 above.
  • the present inventors have discovered that by not removing the first dielectric layer 200 and the second dielectric layer 202 from over the resistance device 124 that the resistance value of the resistance device 124 can be increased over that of a resistor formed without the second dielectric layer 202 . More particularly, the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased even further. It is to be understood that an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124 , thereby increasing the resistance of the resistance device 124 . Moreover, it is to be understood that increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200 , thereby helping to increase the resistance of the resistance device 124 .
  • the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the hydrogen concentration within the first dielectric layer 200 and by altering the density of the second dielectric layer 202 .
  • the first dielectric layer 200 can be removed from over the first device 108 and the second device 900 in a manner similar to the processes described in reference to FIG. 12 above.
  • the electrical contact 400 such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400 .
  • first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124 .
  • the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124 .
  • the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square.
  • the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square.
  • FIGS. 17-20 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 9-12 and the process steps of FIGS. 9-12 . It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 9-12 and, therefore, their descriptions are not reiterated in detail for FIGS. 17-20 . Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 9-12 are incorporated for the same reference numbers included in FIGS. 17-20 .
  • the integrated circuit system 100 may include the first region 102 , the second region 104 , the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , the isolation structure 126 , the second device 900 and the well 902 .
  • the substrate 106 , the first device 108 , the gate 110 , the gate dielectric 112 , the channel 114 , the spacer 118 , the source/drain extension 120 , the source/drain 122 , the resistance device 124 , the isolation structure 126 , the second device 900 , and the well 902 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 9 .
  • the substrate 106 differs from the substrate 106 , of FIG. 9 , by orienting the substrate 106 of the present embodiment at a forty-five degree angle with respect to the preferred crystalline plane orientation, thereby strategically enhancing the carrier mobility within the channel 114 of the first device 108 and/or the second device 900 .
  • the substrate 106 can be oriented forty-five degrees with respect to a standard [001] surface with a notch along ⁇ 110>, thereby strategically making standard [001] surface with rotated notch along ⁇ 100> (i.e., the channel orientation direction of the first device 108 and the second device 900 becomes ⁇ 100>). It is to be understood that rotating the substrate 106 can help to alter the carrier mobility and influence of stress memorization transfer techniques upon the first device 108 and the second device 900 .
  • the mechanical stress induced by the second dielectric layer 202 , of FIG. 18 , upon the first device 108 and the second device 900 can be partially reduced.
  • the first device 108 and/or the second device 900 can be tuned (i.e., formed within a crystalline plane that is rotated between zero and forty-five degrees from the preferred crystalline orientation) to be unaffected by the applied stress of the second dielectric layer 202 .
  • the orientation of the first device 108 and the second device 900 may also be tuned to enhance the applied stress of the second dielectric layer 202 .
  • the first dielectric layer 200 can be formed over or on the integrated circuit system 100 and the second dielectric layer 202 can be formed over or on the first dielectric layer 200 . It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 10 .
  • the first dielectric layer 200 may include a material with increased hydrogen concentration.
  • the first dielectric layer may include an oxide that has undergone a hydrogen treatment in a manner similar to the processes described in FIG. 5 above.
  • the second dielectric layer 202 may include a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200 .
  • the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202 .
  • an anneal step can be performed to electrically activate the dopants within the first device 108 and the second device 900 .
  • the anneal step may include any thermal process that electrically activates the dopants within the first device 108 and the second device 900 , such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
  • the present embodiment performs the anneal before removing at least a portion of the second dielectric layer 202 from over the first region 102 .
  • the process of the present embodiment permits annealing without removal of the second dielectric layer, thereby eliminating process steps, while not adversely impacting the performance of a PFET device due to the orientation (e.g., a forty-five degree angle with respect to a standard [001] surface with a notch along ⁇ 110>) of the substrate 106 .
  • the second dielectric layer 202 can still effectuate stress memorization transfer upon an NFET device and out-diffusion of dopants from within the resistance device 124 , as described in previous embodiments.
  • the present inventors have discovered that by not removing the first dielectric layer 200 and the second dielectric layer 202 from over the integrated circuit system 100 that the resistance value of the resistance device 124 can be increased and that the performance of the first device 108 and the second device 900 can be improved. More particularly, the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased over that of resistors formed without the second dielectric layer 202 thereover.
  • an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124 , thereby increasing the resistance of the resistance device 124 .
  • increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200 , thereby helping to increase the resistance of the resistance device 124 .
  • the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the hydrogen concentration within the first dielectric layer 200 and by altering the density of the second dielectric layer 202 that does not require the additional processing steps of removing the second dielectric layer 202 from over one or more of the first device 108 and/or the second device 900 before annealing.
  • the second dielectric layer 202 can be removed from over the first device 108 and the second device 900 by forming and processing a mask layer (not shown) to expose the first device 108 and the second device 900 .
  • the first dielectric layer 200 remains over the first device 108 , the second device 900 and the resistance device 124 , while the second dielectric layer 202 remains intact over the resistance device 124 .
  • the exposed portion of the second dielectric layer 202 formed over the first device 108 and the second device 900 can be etched by methods selective to the composition of the second dielectric layer 202 .
  • the second dielectric layer 202 can be etched by common gas chemistries such as CF 4 , SiF 4 , NF 3 , CHF 3 and C 2 F 6 .
  • common gas chemistries such as CF 4 , SiF 4 , NF 3 , CHF 3 and C 2 F 6 .
  • the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 and the second device 900 after etching.
  • the process of the present embodiment helps to eliminate previously necessary processing steps, such as the entire removal of the second dielectric layer 202 after a stress memorization anneal and formation and etching of an another one of the second dielectric layer 202 to block silicidation of the resistance device 124 .
  • the first dielectric layer 200 can be removed from over the first device 108 and the second device 900 in a manner similar to the processes described in reference to FIG. 12 above.
  • the electrical contact 400 such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400 .
  • first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124 .
  • the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124 .
  • the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square.
  • the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square.
  • the performance of active devices within the first region 102 can be improved due to the application of a stress memorization transfer process.
  • the integrated circuit system 2100 includes providing a substrate including a first region and a second region in a block 2102 ; forming a first device over the first region and a resistance device over the second region in a block 2104 ; forming a first dielectric layer and a second dielectric layer over the substrate in a block 2106 ; removing a portion of the second dielectric layer in a block 2108 ; and annealing the integrated circuit system to remove dopant from the resistance device in a block 2110 .
  • the present invention thus has numerous aspects.
  • One such aspect is that the present invention improves the performance of an active device by utilizing stress memorization transfer techniques.
  • the present invention can increase the resistance value of a resistor by employing a strategically engineered dielectric layer to prevent hydrogen out-gassing.
  • the present invention can increase the resistance value of a resistor by altering the density of a strategically engineered dielectric layer to prevent hydrogen out-gassing.
  • the present invention can increase the resistance value of a resistor by forming a strategically engineered dielectric layer with increased hydrogen concentration.
  • the present invention can increase the resistance value of a resistor by forming a strategically engineered dielectric layer with increased hydrogen concentration via a hydrogen treatment process.
  • Yet another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying systems, and increasing performance.
  • the integrated circuit system of the present invention furnishes important and heretofore unknown and unavailable solutions, capabilities, and functional aspects for forming an integrated circuit system including a high resistance resistor and enhanced active device performance.
  • the resulting processes and configurations are straightforward, cost-effective, uncomplicated, highly versatile and effective, can be implemented by adapting known technologies, and are thus readily suited for efficiently and economically manufacturing integrated circuit package devices.

Abstract

An integrated circuit system that includes: providing a substrate including a first region and a second region; forming a first device over the first region and a resistance device over the second region; forming a first dielectric layer and a second dielectric layer over the substrate; removing a portion of the second dielectric layer; and annealing the integrated circuit system to remove dopant from the resistance device.

Description

TECHNICAL FIELD
The present invention relates generally to integrated circuits, and more particularly to an integrated circuit system employing resistance altering techniques.
BACKGROUND ART
Integrated circuits find application in many of today's consumer electronics, such as cell phones, video cameras, portable music players, printers, computers, etc. Integrated circuits may include a combination of active devices, passive devices and their interconnections.
Mixed-signal, logic and RF integrated circuits often contain resistors to exert a desired control over current in a particular part of an electronic circuit. To achieve a particular resistance value within a resistor, a circuit designer typically modifies the length and/or width of the resistor material because, as is well known in the art, resistance is directly related to the length of the resistor and inversely related to the width of the resistor. Moreover, it is desirable to manufacture the resistor from a material with a high sheet resistance value because resistance is directly related to sheet resistance. Fortunately, for the semiconductor industry intrinsic silicon, which possesses a resistivity of about 2.5×105 ohms-cm, can be altered through the introduction of dopants to obtain a desired resistivity or conductivity.
Accordingly, extrinsic poly-silicon is typically used to form resistors, not only because the resistivity of the resistor can be precisely controlled by introducing dopants, but also because resistors can be concurrently formed from the same poly-silicon layer that forms the gate structures of adjacent active devices. Unfortunately, concurrent formation requires additional special process steps to alter the resistivity of the resistor, such as shallow implants with reduced doping concentration and reduced thermal budgets. However, these special process steps inevitably degrade the poly-depletion effect and transistor performance.
Thus, a need still remains for a reliable integrated circuit system and method of fabrication, wherein the integrated circuit system includes a high resistance resistor without degrading transistor performance. In view of the ever-increasing commercial competitive pressures, increasing consumer expectations, and diminishing opportunities for meaningful product differentiation in the marketplace, it is increasingly critical that answers be found to these problems. Moreover, the ever-increasing need to save costs, improve efficiencies, and meet such competitive pressures adds even greater urgency to the critical necessity that answers be found to these problems.
Solutions to these problems have been long sought but prior developments have not taught or suggested any solutions and, thus, solutions to these problems have long eluded those skilled in the art.
DISCLOSURE OF THE INVENTION
The present invention provides an integrated circuit system including: providing a substrate including a first region and a second region; forming a first device over the first region and a resistance device over the second region; forming a first dielectric layer and a second dielectric layer over the substrate; removing a portion of the second dielectric layer; and annealing the integrated circuit system to remove dopant from the resistance device.
Certain embodiments of the invention have other aspects in addition to or in place of those mentioned above. The aspects will become apparent to those skilled in the art from a reading of the following detailed description when taken with reference to the accompanying drawings.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with an embodiment of the present invention;
FIG. 2 is the structure of FIG. 1 after forming a first dielectric layer and a second dielectric layer;
FIG. 3 is the structure of FIG. 2 after removing a second dielectric layer from over a first device;
FIG. 4 is the structure of FIG. 3 after removing a first dielectric layer from over a first device;
FIG. 5 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention;
FIG. 6 is the structure of FIG. 5 after forming a second dielectric layer;
FIG. 7 is the structure of FIG. 6 after removing a second dielectric layer from over a first device;
FIG. 8 is the structure of FIG. 7 after removing a first dielectric layer from over a first device;
FIG. 9 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention;
FIG. 10 is the structure of FIG. 9 after forming a first dielectric layer and a second dielectric layer;
FIG. 11 is the structure of FIG. 10 after removing a second dielectric layer from over a second device;
FIG. 12 is the structure of FIG. 11 after removing a first dielectric layer and a second dielectric layer from over a first region;
FIG. 13 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention;
FIG. 14 is the structure of FIG. 13 after forming a first dielectric layer and a second dielectric layer;
FIG. 15 is the structure of FIG. 14 after removing a second dielectric layer from over a first device and a second device;
FIG. 16 is the structure of FIG. 15 after removing a first dielectric layer from over a first device and a second device;
FIG. 17 is a partial cross sectional view of an integrated circuit system in an initial stage of manufacture in accordance with another embodiment of the present invention;
FIG. 18 is the structure of FIG. 17 after forming a first dielectric layer and a second dielectric layer;
FIG. 19 is the structure of FIG. 18 after removing a second dielectric layer from over a first device and a second device;
FIG. 20 is the structure of FIG. 19 after removing a first dielectric layer from over a first device and a second device; and
FIG. 21 is a flow chart of an integrated circuit system for an integrated circuit system, in accordance with an embodiment of the present invention.
BEST MODE FOR CARRYING OUT THE INVENTION
The following embodiments are described in sufficient detail to enable those skilled in the art to make and use the invention. It is to be understood that other embodiments would be evident based on the present disclosure, and that process or mechanical changes may be made without departing from the scope of the present invention.
In the following description, numerous specific details are given to provide a thorough understanding of the invention. However, it will be apparent that the invention may be practiced without these specific details. In order to avoid obscuring the present invention, some well-known circuits, system configurations, and process steps are not disclosed in detail.
Likewise, the drawings showing embodiments of the system are semi-diagrammatic and not to scale and, particularly, some of the dimensions are for the clarity of presentation and are shown exaggerated in the drawing FIGS. Additionally, where multiple embodiments are disclosed and described having some features in common, for clarity and ease of illustration, description, and comprehension thereof, similar and like features one to another will ordinarily be described with like reference numerals.
The term “horizontal” as used herein is defined as a plane parallel to the conventional plane or surface of the substrate, regardless of its orientation. The term “vertical” refers to a direction perpendicular to the horizontal as just defined. Terms, such as “on”, “above”, “below”, “bottom”, “top”, “side” (as in “sidewall”), “higher”, “lower”, “upper”, “over”, and “under”, are defined with respect to the horizontal plane.
The term “processing” as used herein includes deposition of material or photoresist, patterning, exposure, development, etching, cleaning, and/or removal of the material or photoresist as required in forming a described structure.
The term “on” is used herein to mean there is direct contact among elements.
The terms “example” or “exemplary” are used herein to mean serving as an instance or illustration. Any aspect or embodiment described herein as an “example” or as “exemplary” is not necessarily to be construed as preferred or advantageous over other aspects or designs.
The terms “first” and “second” as used herein are for purposes of differentiation between elements only and are not to be construed as limiting the scope of the present invention.
The term “system” as used herein means and refers to the method and to the apparatus of the present invention in accordance with the context in which the term is used.
The term “exhibiting the characteristics of stress memorization” as used herein includes a structure or element that has its physical properties affected by a stress memorization layer.
Generally, the following embodiments relate to the formation of a resistor including, but not limited to, a high resistance resistor within an integrated circuit system. In some embodiments, the resistor can be manufactured by utilizing strategically engineered dielectric layers that block the deposition of low resistance electrical contacts, while promoting the out-diffusion of dopants from within the resistor. In other embodiments, a hydrogen doping process can be employed in conjunction with the strategically engineered dielectric layers to further enhance the out-diffusion of dopants from within the resistor. In yet other embodiments, the strategically engineered dielectric layers can also induce stress memorization within adjacent active device structures, thereby enhancing active device performance.
FIGS. 1-8, which follow, depict by way of example and not by limitation, an exemplary process flow for the formation of an integrated circuit system and they are not to be construed as limiting. It is to be understood that a plurality of conventional processes that are well known within the art and not repeated herein, may precede or follow FIGS. 1-8. Moreover, it is to be understood that many modifications, additions, and/or omissions may be made to the below described process without departing from the scope or spirit of the claimed subject matter. For example, the below described process may include more, fewer, or other steps. Additionally, steps may be performed in any suitable order without departing from the scope of the present invention.
Moreover, it is to be appreciated that the integrated circuit system of the present disclosure may include any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode. Exemplary illustrations may include an n-channel field effect transistor (NFET), a p-channel field effect transistor (PFET), a complementary metal-oxide-silicon (CMOS) configuration, a single-gate transistor, a multi-gate transistor, a fin-FET, or an annular gate transistor. Additionally, it is to be understood that the integrated circuit system of the present disclosure may include any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein. Furthermore, it is to be understood that one or more of the integrated circuit system could be prepared at one time on a medium, which could be separated into individual or multiple integrated circuit assemblies at a later stage of fabrication.
Referring now to FIG. 1, therein is shown a partial cross sectional view of an integrated circuit system 100 in an initial stage of manufacture in accordance with an embodiment of the present invention. The integrated circuit system 100 includes a first region 102 and a second region 104. In some embodiments, the first region 102 may include active and passive devices and the second region 104 may include passive devices. In other embodiments, the first region 102 may include, more particularly, any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode and the second region 104 may include, more particularly, any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein. It is to be understood that the integrated circuit system 100 can be used within processor components, memory components, logic components, digital components, analog components, mixed-signal components, power components, radio-frequency (RF) components (e.g., RF CMOS circuits), digital signal processor components, micro-electromechanical components, optical sensor components, and so forth, in numerous configurations and arrangements as may be needed.
The first region 102 and the second region 104 are formed within or over a substrate 106. By way of example, the substrate 106 may include any semiconducting material, such as, Si, SiC, SiGe, Si/SiGe, SiGeC, Ge, GaAs, InAs, InP, other III/V or II/VI compound semiconductors, as well as silicon-on-insulator configurations. Additionally, the substrate 106 may also include doped and undoped configurations, epitaxial layers, strained configurations, and one or more crystal orientations (e.g.—<100>, <110>, and/or <111> orientations), which may be strategically employed to optimize carrier mobility within NFET and PFET devices. The substrate 106 may also include any material that becomes amorphous upon implantation.
In some embodiments, the substrate 106 may possess a thickness ranging from about one hundred (100) nanometers to about several hundred microns, for example.
However, the examples provided for the substrate 106 are not to be construed as limiting and the composition of the substrate 106 may include any material, configuration, or thickness that physically and electrically enables the formation of active and/or passive device structures.
A first device 108 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active and/or passive devices. In some embodiments, the first device 108 may include one or more of a PFET device, an NFET device, and/or a combination thereof (i.e.—a CMOS device), even though only one of the first device 108 is depicted.
In general, the first device 108 includes a gate 110, a gate dielectric 112 under the gate 110, a channel 114, a liner 116, a spacer 118, a source/drain extension 120, and a source/drain 122.
In some embodiments, the gate 110 may be formed from conventional materials including doped and undoped semiconducting materials (such as, for example, polySi, amorphous Si, or SiGe), a metal, a metallic alloy, a silicide, or a combination thereof, for example. In other embodiments, the gate 110 may also include any conducting material or composition that becomes amorphous upon implantation. The gate dielectric 112 may be made from materials including, but not limited to, silicon oxide, silicon oxynitride, silicon nitride, a silicon oxide/nitride/oxide stack, a high-k dielectric material (i.e.—one having a dielectric constant value greater than silicon oxide), or a combination thereof. However, it is to be understood that the type of material chosen for the gate dielectric 112 is not limited to the above examples; for example, the gate dielectric 112 may include any material that permits induction of a charge in the channel 114 when an appropriate voltage is applied to the gate 110. Accordingly, other materials, which may be known to those skilled in the art for gate structures, may also be used for the gate 110 and the gate dielectric 112.
Generally, the thickness of the gate 110 is between about 500 angstroms and about 3000 angstroms and the thickness of the gate dielectric 112 is between about 10 angstroms and about 50 angstroms. However, larger or smaller thicknesses of the gate 110 and the gate dielectric 112 may be appropriate depending on the design specifications of the first device 108.
The liner 116 may include dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon dioxide. The spacer 118 may also be formed from conventional dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon nitride. However, it is to be understood that the type of materials chosen for the liner 116 and the spacer 118 are not limited to the above examples and may include any material that permits electrical isolation of the gate 110 and formation of the source/drain 122 aligned to the spacer 118. Moreover, it is to be understood that the thickness for each of the liner 116 and the spacer 118 will vary with the design specifications of the first device 108.
The first device 108 may also include the source/drain extension 120 and the source/drain 122 formed adjacent the gate 110. In general, the source/drain extension 120 may be formed to a shallow depth with a low concentration of impurities relative to the source/drain 122. More specifically, the source/drain extension 120 may include a dopant concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the first device 108. The impurities used to form the source/drain extension 120 may include n-type or p-type, depending on the first device 108 being formed (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). It is to be understood that the source/drain extension 120 can be formed by aligning the source/drain extension 120 to the gate 110 (i.e., before forming the liner 116 and the spacer 118) or subsequent to forming the liner 116 and the spacer 118 by employing an angled implant.
In some embodiments the source/drain 122 can be aligned to the spacer 118. In general, the source/drain 122 may be of the same conductivity type as the dopants used to form the source/drain extension 120 (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). Exemplary energies and concentrations used to form the source/drain 122 may include an energy between about 1 keV and about 150 keV and a concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller energies and concentrations may be used depending upon the impurity used and the design specifications of the first device 108.
In yet other embodiments, the implant energy used to form the source/drain 122 must possess sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 of the first device 108. An implant with sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 allows a subsequent anneal step to transfer/memorize a stress to the gate 110 and the source/drain 122 during recrystallization, thereby promoting stress within the channel 114 and improving active device performance.
In some embodiments, a resistance device 124 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active/passive devices. More specifically, the resistance device 124 can be formed over an isolation structure 126, such as a shallow trench isolation structure, which can electrically isolate and/or separate the resistance device 124 from the first device 108. For purposes of illustration, the isolation structure 126 may be made from a dielectric material such as silicon dioxide (“SiO2”) In some embodiments, the resistance device 124 may include a high resistance resistor, such as one with a resistance value exceeding 500 ohms/square.
The structure of the resistance device 124 is substantially similar to the first device 108 and includes the gate 110, the gate dielectric 112, the liner 116, and the spacer 118, thereby enabling concurrent formation of the resistance device 124 with the first device 108 on the substrate 106. It is noted that the layers, structures and process steps corresponding to similar reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to the first device 108, and, therefore, their descriptions are not reiterated in detail.
In some embodiments, the gate 110 of the resistance device 124 may be exposed to the dopant implant process used to form the source/drain 122 and/or the source/drain extension 120, thereby adversely altering the resistance of the resistance device 124 (i.e., lowering the desired resistance value of the resistance device 124 below the design specifications of the integrated circuit system 100). For example, the resistance device 124 may include a heavily p-type doped (i.e.—p+) resistor, wherein the p-type dopant concentration within the gate 110 includes a concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the resistance device 124.
It is to be understood that the resistance device 124 operates according to what is conventionally known in the art.
Referring now to FIG. 2, therein is shown the structure of FIG. 1 after forming a first dielectric layer 200 and a second dielectric layer 202. The first dielectric layer 200 can be formed over or on the integrated circuit system 100. In some embodiments, the first dielectric layer 200 includes an insulating material such as an oxide grown by wet or dry oxidation techniques. In other embodiments, the first dielectric layer 200 may include an oxide deposited by chemical vapor deposition techniques. In yet other embodiments, the first dielectric layer 200 may include a low temperature oxide film deposited by reacting silane with either oxygen, nitrous oxide, or carbon dioxide at temperatures below about 800° C. in a plasma. In still yet other embodiments, the first dielectric layer 200 may include any oxide that is deposited using temperatures, pressures, and reactants to maximize the hydrogen concentration trapped within the first dielectric layer 200, as described in FIG. 5. By way of example, the first dielectric layer 200 may have a thickness ranging from about 50 angstroms to about 300 angstroms.
Subsequent to forming the first dielectric layer 200, the second dielectric layer 202 can be formed over or on the first dielectric layer 200. In some embodiments, the second dielectric layer 202 includes an insulating material such as a nitride deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). In other embodiments, the first dielectric layer 200 may include a silicon nitride film deposited by rapid thermal chemical vapor deposition (RTCVD). In yet other embodiments, the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the hydrogen concentration trapped within the second dielectric layer 202. In still yet other embodiments, the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the density of the second dielectric layer 202, as described in FIG. 3. In general, the second dielectric layer 202 may have a thickness ranging from about 200 angstroms to about 700 angstroms.
In additional embodiments, the second dielectric layer 202 may also include any material, such as a stress memorization material/layer, that transfers its inherent or intrinsic stress to the gate 110, the channel 114, and/or the source/drain 122 of the first device 108 during an anneal step. In general, when the second dielectric layer 202 includes the characteristics of a stress memorization layer, the second dielectric layer 202 can transfer its intrinsic stress to the first device 108 upon recrystallization of the amorphous regions within the first device 108. By way of example, the second dielectric layer 202 may include a compressively stressed layer or a tensile stressed layer.
It is to be understood that the stress induced within the first device 108 by the second dielectric layer 202 can be increased by increasing the intrinsic stress within the second dielectric layer 202. For example, a multitude of deposition parameters, such as reactant flow rates, pressure, temperature, RF power, reactant materials, and thickness, can be adjusted to modulate the intrinsic stress within the second dielectric layer 202 and thereby maximize its stress transference effect upon the first device 108. In an embodiment, the second dielectric layer 202 may include a silicon nitride layer deposited by a plasma enhanced chemical vapor deposition process.
Moreover, it is to be understood that the second dielectric layer 202 can be strategically designed to exhibit the characteristics of increased density, increased hydrogen concentration, and stress memorization transference.
Referring now to FIG. 3, therein is shown the structure of FIG. 2 after removing the second dielectric layer 202 from over the first device 108. In some embodiments, the second dielectric layer 202 can be removed from over the first device 108 by forming a mask layer (not shown) over the resistance device 124 and etching the remaining exposed portion of the second dielectric layer 202 by methods selective to the composition of the second dielectric layer 202. In such cases, the second dielectric layer 202 can be etched by common gas chemistries such as CF4, SiF4, NF3, CHF3 and C2F6. In other cases, the second dielectric layer 202 can be removed by any dry etching process that removes a nitride material. However, it is to be understood that the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 after etching.
Subsequent to removing the mask layer and the second dielectric layer 202 from over the first device 108, an anneal step is performed to electrically activate the dopants within the first device 108. It is to be understood that the anneal step may include any thermal process that electrically activates the dopants within the first device 108, such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
Notably, the present inventors have discovered that by not removing the second dielectric layer 202 from over the resistance device 124 that the sheet resistance value of the resistance device 124 can be increased by about 40% to about 70% over that of a resistor formed without the second dielectric layer 202. By way of example, the present inventors have discovered that the sheet resistance value of the resistance device 124 can be increased by about 40% to about 70% by increasing the density of the second dielectric layer 202. It is to be understood that the density of the second dielectric layer 202 can be increased by strategically altering the deposition parameters and the deposition methods used to form the second dielectric layer 202.
Accordingly, the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the density of the second dielectric layer 202 that does not require additional process steps. Not wishing to be limited to any particular theory, the present inventors believe that the increase in resistance of the resistance device 124 is due in part to the ability of the second dielectric layer 202 to prevent hydrogen flushing or out-gassing from the first dielectric layer 200. It is to be understood that as the density of the second dielectric layer 202 increases, its ability to block the diffusion or out-gassing of hydrogen is also increased. It is believed that the hydrogen trapped by the second dielectric layer 202 causes out-diffusion and deactivation of the dopant within the resistance device 124. More specifically, the hydrogen trapped within the first dielectric layer 200 adjacent the resistance device 124 can cause boron, for example, within the resistance device 124 to out-gas and become deactivated upon binding to the hydrogen, thereby increasing the resistance of the resistance device 124.
Referring now to FIG. 4, therein is shown the structure of FIG. 3 after removing the first dielectric layer 200 from over the first device 108. In some embodiments, the first dielectric layer 200 can be removed from over the first device 108 by forming a mask layer (not shown) over the resistance device 124 and etching the remaining exposed portion of the first dielectric layer 200. In such cases, the first dielectric layer 200 can be etched by common wet or dry etch chemistries or a salicide preclean process. However, it is to be understood that the type of etch chemistry used to etch the first dielectric layer 200 is not essential, what is important is that the first dielectric layer 200 is removed from over the first device 108 after etching.
Subsequent to removing the first dielectric layer 200 from over the first device 108, an electrical contact 400, such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 by processes well known within the art for forming the electrical contact 400. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact (i.e., the electrical contact 400), thereby preventing a lowering of the resistance of the resistance device 124.
It is to be understood that the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124. In some embodiments, the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square. In other embodiments, the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 700 ohms/square to about 900 ohms/square.
Referring now to FIGS. 5-8. FIGS. 5-8 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 1-4 and the process steps of FIGS. 1-4. It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 1-4 and, therefore, their descriptions are not reiterated in detail for FIGS. 5-8. Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 1-4 are incorporated for the same reference numbers included in FIGS. 5-8.
Referring now to FIG. 5, therein is shown a partial cross sectional view of the integrated circuit system 100 in an initial stage of manufacture in accordance with another embodiment of the present invention. The integrated circuit system 100 may include the first region 102, the second region 104, the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the liner 116, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, and the isolation structure 126. It is to be understood that the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the liner 116, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, and the isolation structure 126 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 1.
The integrated circuit system 100, however, differs from the integrated circuit system 100, of FIG. 1, by including a hydrogen treatment process for the first dielectric layer 200 (i.e., processing the first dielectric layer 200 with a hydrogen treatment). In such cases, the hydrogen treatment helps to increase the concentration of hydrogen within the first dielectric layer 200, thereby enhancing the ability of the first dielectric layer 200 to promote out-diffusion and/or deactivation of dopants from within the resistance device 124. It is to be understood that the first dielectric layer 200 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 2.
In some embodiments, the hydrogen treatment may include a hydrogen forming gas anneal. In such cases, the hydrogen forming gas anneal may include a hydrogen percentage ranging from 0% to 100% pure hydrogen. In other cases, the hydrogen forming gas anneal may more specifically include a 5% hydrogen and 95% nitrogen gas or a 10% hydrogen and 90% nitrogen gas. As an exemplary illustration, the hydrogen forming gas anneal process may include a temperature of about 350° C. to about 475° C., and a time of about 10 minutes to about several hours (e.g., 5 hours). However, it is to be understood that these parameters (e.g., hydrogen percentage, temperature, and time) are not limiting and those skilled in the art will appreciate that additional parameters may also be employed/manipulated to effectuate the purpose of forming the first dielectric layer 200 with an increased hydrogen concentration.
In other embodiments, the hydrogen treatment may include a hydrogen plasma treatment. It is to be understood that the hydrogen plasma treatment process parameters can be manipulated to alter the hydrogen content within the first dielectric layer 200 to cause out-diffusion and deactivation of a dopant from within a structure located adjacent the first dielectric layer 200. For example, higher plasma powers and longer durations for the hydrogen plasma treatment can increase the content of hydrogen within the first dielectric layer 200. As an exemplary illustration, the hydrogen plasma treatment may include a pressure of about 0.3 to about 20 (Torr), an RF power of about 20 to about 3000 (Watts), an H2 flow rate of about 100 to about 10,000 (sccm), the substrate 106 temperature of about 15° C. to about 550° C., and a time of about 5 seconds to about 5 minutes. However, it is to be understood that these parameters are not limiting and those skilled in the art will appreciate that additional parameters may also be employed/manipulated to effectuate the purpose of forming the first dielectric layer 200 with an increased hydrogen concentration.
Referring now to FIG. 6, therein is shown the structure of FIG. 5 after forming the second dielectric layer 202. The second dielectric layer 202 can be formed over or on the first dielectric layer 200. It is to be understood that the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 2. In some embodiments, the second dielectric layer 202 includes a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200. In other embodiments, the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202.
Referring now to FIG. 7, therein is shown the structure of FIG. 6 after removing the second dielectric layer 202 from over the first device 108. In some embodiments, the second dielectric layer 202 can be removed from over the first device 108 in a manner similar to the processes described in reference to FIG. 3 above. Additionally, subsequent to removing the second dielectric layer 202 from over the first device 108, an anneal step can be performed in a manner similar to the processes described in reference to FIG. 3 above.
Notably, the present inventors have discovered that by treating the first dielectric layer 200 with a hydrogen treatment and by not removing the second dielectric layer 202 from over the resistance device 124 that the resistance value of the resistance device 124 can be increased over that of a resistor formed without the second dielectric layer 202 and the first dielectric layer 200 with a hydrogen treatment. It is to be understood that the increased hydrogen concentration within the first dielectric layer 200 helps to promote out-diffusion and deactivation of the dopant within the resistance device 124, thereby increasing the resistance of the resistance device 124.
Accordingly, the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the density of the second dielectric layer 202 and/or by altering the hydrogen concentration within the first dielectric layer 200.
Referring now to FIG. 8, therein is shown the structure of FIG. 7 after removing the first dielectric layer 200 from over the first device 108. In some embodiments, the first dielectric layer 200 can be removed from over the first device 108 in a manner similar to the processes described in reference to FIG. 4 above. Subsequent to removing the first dielectric layer 200 from over the first device 108, the electrical contact 400, such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 by processes well known within the art for forming the electrical contact 400. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124.
It is to be understood that the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124, by removing dopants from the resistance device 124, and/or by utilizing a hydrogen treated form of the first dielectric layer 200. In some embodiments, the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square. In other embodiments, the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 700 ohms/square to about 900 ohms/square.
Embodiment Two
FIGS. 9-20, which follow, depict by way of example and not by limitation, an exemplary process flow for the formation of an integrated circuit system and they are not to be construed as limiting. It is to be understood that a plurality of conventional processes that are well known within the art and not repeated herein, may precede or follow FIGS. 9-20. Moreover, it is to be understood that many modifications, additions, and/or omissions may be made to the below described process without departing from the scope or spirit of the claimed subject matter. For example, the below described process may include more, fewer, or other steps. Additionally, steps may be performed in any suitable order without departing from the scope of the present invention.
Moreover, it is to be appreciated that the integrated circuit system of the present disclosure may include any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode. Exemplary illustrations may include an n-channel field effect transistor (NFET), a p-channel field effect transistor (PFET), a complementary metal-oxide-silicon (CMOS) configuration, a single-gate transistor, a multi-gate transistor, a fin-FET, or an annular gate transistor. Additionally, it is to be understood that the integrated circuit system of the present disclosure may include any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein. Furthermore, it is to be understood that one or more of the integrated circuit system could be prepared at one time on a medium, which could be separated into individual or multiple integrated circuit assemblies at a later stage of fabrication.
Referring now to FIGS. 9-12. FIGS. 9-12 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 1-4 and the process steps of FIGS. 1-4. It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 1-4 and, therefore, their descriptions are not reiterated in detail for FIGS. 9-12. Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 1-4 are incorporated for the same reference numbers included in FIGS. 9-12.
Referring now to FIG. 9, therein is shown a partial cross sectional view of the integrated circuit system 100 in an initial stage of manufacture in accordance with another embodiment of the present invention. The integrated circuit system 100 includes the first region 102 and the second region 104. In some embodiments, the first region 102 may include active and passive devices and the second region 104 may include passive devices. In other embodiments, the first region 102 may include, more particularly, any number of multi-electrode devices in which the current flowing between two specified electrodes is controlled or modulated by the voltage applied at a control electrode and the second region 104 may include, more particularly, any number of resistance devices with varying resistance values formed by strategically altering the process techniques described herein. It is to be understood that the integrated circuit system 100 can be used within processor components, memory components, logic components, digital components, analog components, mixed-signal components, power components, radio-frequency (RF) components (e.g., RF CMOS circuits), digital signal processor components, micro-electromechanical components, optical sensor components, and so forth, in numerous configurations and arrangements as may be needed.
The first region 102 and the second region 104 are formed within or over the substrate 106. By way of example, the substrate 106 may include any semiconducting material, such as, Si, SiC, SiGe, Si/SiGe, SiGeC, Ge, GaAs, InAs, InP, other III/V or II/VI compound semiconductors, as well as silicon-on-insulator configurations. Additionally, the substrate 106 may also include doped and undoped configurations, epitaxial layers, strained configurations, and one or more crystal orientations (e.g.—<100>, <110>, and/or <111> orientations), which may be strategically employed to optimize carrier mobility within NFET and PFET devices. The substrate 106 may also include any material that becomes amorphous upon implantation.
In some embodiments, the substrate 106 may possess a thickness ranging from about one hundred (100) nanometers to about several hundred microns, for example.
However, the examples provided for the substrate 106 are not to be construed as limiting and the composition of the substrate 106 may include any material, configuration, or thickness that physically and electrically enables the formation of active and/or passive device structures.
The first device 108 and a second device 900 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active and/or passive devices. In some embodiments, the first device 108 and the second device 900 may include one or more of a PFET device and/or an NFET device. In other embodiments, the first device 108 and the second device 900 may include a PFET device and an NFET device cooperatively coupled, thereby forming a CMOS device.
In general, the first device 108 and the second device 900 both include the gate 110, the gate dielectric 112, the channel 114, the spacer 118, the source/drain extension 120, the source/drain 122, and a well 902.
It is to be understood that the well 902 can be formed within the substrate 106 prior to or subsequent to the formation of the gate 110 and the gate dielectric 112, depending upon, for example, the desired dopant concentration within the channel 114. Generally, the dopants used to form the well 902 are of opposite conductivity type as compared to the dopants used to form the source/drain extension 120 and the source/drain 122 (i.e., p-type impurities for NFET devices and n-type impurities for PFET devices). It is to be understood that the depth, dopant concentration, and energy used to form the well 902 can depend upon the dopant used and the design specifications of the first device 108 and the second device 900.
In some embodiments, the gate 110 may be formed from conventional materials including doped and undoped semiconducting materials (such as, for example, polySi, amorphous Si, or SiGe), a metal, a metallic alloy, a silicide, or a combination thereof, for example. In other embodiments, the gate 110 may also include any conducting material or composition that becomes amorphous upon implantation. The gate dielectric 112 may be made from materials including, but not limited to, silicon oxide, silicon oxynitride, silicon nitride, a silicon oxide/nitride/oxide stack, a high-k dielectric material (i.e.—one having a dielectric constant value greater than silicon oxide), or a combination thereof. However, it is to be understood that the type of material chosen for the gate dielectric 112 is not limited to the above examples; for example, the gate dielectric 112 may include any material that permits induction of a charge in the channel 114 when an appropriate voltage is applied to the gate 110. Accordingly, other materials, which may be known to those skilled in the art for gate structures, may also be used for the gate 110 and the gate dielectric 112.
Generally, the thickness of the gate 110 is between about 500 angstroms and about 3000 angstroms and the thickness of the gate dielectric 112 is between about 10 angstroms and about 50 angstroms. However, larger or smaller thicknesses of the gate 110 and the gate dielectric 112 may be appropriate depending on the design specifications of the first device 108 and the second device 900.
The spacer 118 may be formed from conventional dielectric materials such as an oxide, a nitride, or a combination thereof, but preferably includes silicon nitride. However, it is to be understood that the type of materials chosen for the spacer 118 are not limited to the above examples and may include any material that permits electrical isolation of the gate 110 and formation of the source/drain 122 aligned to the spacer 118. Moreover, it is to be understood that the thickness of the spacer 118 will vary with the design specifications of the first device 108 and the second device 900.
The first device 108 and the second device 900 may also include the source/drain extension 120 and the source/drain 122 formed adjacent the gate 110. In general, the source/drain extension 120 may be formed to a shallow depth with a low concentration of impurities relative to the source/drain 122. More specifically, the source/drain extension 120 may include a dopant concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the first device 108 and the second device 900.
The impurities used to form the source/drain extension 120 may include n-type or p-type, depending on the first device 108 and/or the second device 900 being formed (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). It is to be understood that the source/drain extension 120 can be formed by aligning the source/drain extension 120 to the gate 110 (i.e., before forming the spacer 118) or subsequent to forming the spacer 118 by employing an angled implant.
In some embodiments the source/drain 122 can be aligned to the spacer 118. In general, the source/drain 122 may be of the same conductivity type as the dopants used to form the source/drain extension 120 (e.g., n-type impurities for an NMOS device and p-type impurities for a PMOS device). Exemplary energies and concentrations used to form the source/drain 122 may include an energy between about 1 keV and about 150 keV and a concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller energies and concentrations may be used depending upon the impurity used and the design specifications of the first device 108 and the second device 900.
In yet other embodiments, the implant energy used to form the source/drain 122 must possess sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 of the first device 108 and the second device 900. An implant with sufficient energy to amorphize at least a portion of the substrate 106 and/or at least a portion of the gate 110 allows a subsequent anneal step to transfer/memorize a stress to the gate 110 and the source/drain 122 during recrystallization.
In some embodiments, the resistance device 124 can be formed over, on and/or within the substrate 106 by conventional deposition, patterning, photolithography, and etching techniques known in the semiconductor processing industry for the manufacture of active/passive devices. More specifically, the resistance device 124 can be formed over the isolation structure 126, such as a shallow trench isolation structure, which can electrically isolate and/or separate the resistance device 124 from the first device 108 and the second device 900. For purposes of illustration, the isolation structure 126 may be made from a dielectric material such as silicon dioxide (“SiO2”). In some embodiments, the resistance device 124 may include a high resistance resistor, such as one with a resistance value exceeding 500 ohms/square.
The structure of the resistance device 124 is substantially similar to the first device 108 and the second device 900 and may include the gate 110, the gate dielectric 112, and the spacer 118, thereby enabling concurrent formation of the resistance device 124 with the first device 108 and the second device 900 on the substrate 106. It is noted that the layers, structures and process steps corresponding to similar reference numbers generally include the same characteristics (e.g.—composition, thickness, function, process techniques, etc.) as those described in reference to the first device 108 and the second device 900, and therefore, their descriptions are not reiterated in detail.
In some embodiments, the gate 110 of the resistance device 124 may be exposed to a dopant implant process used to form the source/drain 122 and/or the source/drain extension 120, thereby adversely altering the resistance of the resistance device 124 (e.g., lowering the desired resistance value of the resistance device 124 below the design specifications of the integrated circuit system 100). For example, the resistance device 124 may include a heavily p-type doped (i.e., p+) resistor, wherein the p-type dopant concentration within the gate 110 includes a concentration between about 1×1016 ions/cm3 to about 1×1022 ions/cm3. However, larger or smaller concentrations may be used depending upon the impurity used and the design specifications of the resistance device 124.
It is to be understood that the resistance device 124 operates according to what is conventionally known in the art.
Referring now to FIG. 10, therein is shown the structure of FIG. 9 after forming the first dielectric layer 200 and the second dielectric layer 202. The first dielectric layer 200 can be formed over or on the integrated circuit system 100. In some embodiments, the first dielectric layer 200 may include an insulating material such as an oxide grown by wet or dry oxidation techniques. In other embodiments, the first dielectric layer 200 may include an oxide deposited by chemical vapor deposition techniques. In yet other embodiments, the first dielectric layer 200 may include a low temperature oxide film deposited by reacting silane with either oxygen, nitrous oxide, or carbon dioxide at temperatures below about 800° C. in a plasma. In still yet other embodiments, the first dielectric layer 200 may include any oxide that is deposited using temperatures, pressures, and reactants to maximize the hydrogen concentration trapped within the first dielectric layer 200. In still other embodiments, the first dielectric layer 200 may include an oxide that has been treated with a hydrogen process to increase the concentration of hydrogen, similar to the processes described above in FIG. 5. By way of example, the first dielectric layer 200 may have a thickness ranging from about 50 angstroms to about 300 angstroms.
Subsequent to forming the first dielectric layer 200, the second dielectric layer 202 can be formed over or on the first dielectric layer 200. In some embodiments, the second dielectric layer 202 may include an insulating material such as a nitride deposited by low pressure chemical vapor deposition (LPCVD) or plasma enhanced chemical vapor deposition (PECVD). In other embodiments, the first dielectric layer 200 may include a silicon nitride film deposited by rapid thermal chemical vapor deposition (RTCVD). In yet other embodiments, the second dielectric layer 202 may include any nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the hydrogen concentration trapped within the second dielectric layer 202. In still yet other embodiments, the second dielectric layer 202 may include a nitride that is deposited using temperatures, pressures, and reactants to maximize or increase the density of the second dielectric layer 202. In general, the second dielectric layer 202 may have a thickness ranging from about 200 angstroms to about 700 angstroms.
In other embodiments, the second dielectric layer 202 may include any material, such as a stress memorization material/layer, that transfers its inherent or intrinsic stress to the gate 110, the channel 114, and/or the source/drain 122 of the first device 108 and/or the second device 900 during an anneal step. In general, when the second dielectric layer 202 includes the characteristics of a stress memorization layer, the second dielectric layer 202 can transfer its intrinsic stress to the first device 108 and/or the second device 900 upon recrystallization of the amorphous regions within the first device 108 and/or the second device 900. By way of example, the second dielectric layer 202 may include a compressively stressed layer or a tensile stressed layer.
It is to be understood that the stress induced within the first device 108 and/or the second device 900 by the second dielectric layer 202 can be increased by increasing the intrinsic stress within the second dielectric layer 202. For example, a multitude of deposition parameters, such as reactant flow rates, pressure, temperature, RF power, reactant materials, and thickness, can be adjusted to modulate the intrinsic stress within the second dielectric layer 202 and thereby maximize its stress transference effect upon the first device 108 and/or the second device 900. In an embodiment, the second dielectric layer 202 may include a silicon nitride layer deposited by a plasma enhanced chemical vapor deposition process.
Moreover, it is to be understood that the second dielectric layer 202 can be strategically designed to exhibit the characteristics of increased density, increases hydrogen concentration, and stress memorization transference.
Referring now to FIG. 11, therein is shown the structure of FIG. 10 after removing the second dielectric layer 202 from over the second device 900. However, it is to be understood that exposure of the second device 900 versus exposure of the first device 108 is not critical, what is important is that the second dielectric layer 202 be removed from over any structure that can act as a PFET device. Accordingly, for purposes of discussion, the second device 900 can be referred to as a PFET device for the remainder of this embodiment.
In some embodiments, the second dielectric layer 202 can be removed from over the second device 900 by forming and processing a mask layer (not shown). In such cases, the first dielectric layer 200 remains over the first device 108, the second device 900 and the resistance device 124, while the second dielectric layer 202 remains intact over the first device 108 and the resistance device 124.
Subsequent to patterning the mask layer, the exposed portion of the second dielectric layer 202 formed over the second device 900 can be etched to form an opening within the second dielectric layer 202 by methods selective to the composition of the second dielectric layer 202. Generally, the second dielectric layer 202 can be etched by common gas chemistries such as CF4, SiF4, NF3, CHF3 and C2F6. In other cases, the second dielectric layer 202 can be removed by any dry etching process that removes a nitride material. However, it is to be understood that the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the second device 900 after etching. It is to be understood that removal of the second dielectric layer 202 from over the second device 900 helps to prevent any adverse effects that the second dielectric layer 202 may have upon a PFET device during annealing.
Subsequent to removing the mask layer and the second dielectric layer 202 from over the second device 900, an anneal step is performed to electrically activate the dopants within the first device 108 and the second device 900. It is to be understood that the anneal step may include any thermal process that electrically activates the dopants within the first device 108 and the second device 900, such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
Notably, the present inventors have discovered that by not removing the second dielectric layer 202 from over the resistance device 124 that the sheet resistance value of the resistance device 124 can be increased by about 35% over that of a resistor formed without the second dielectric layer 202. This increase in the sheet resistance of the resistance device 124 is approximately equivalent to employing a reduced source and drain dose of about 1.5×1015 ions/cm2 (noting that a conventional source and drain dose is about 2×1015 ions/cm2). Moreover, the present inventors have found this process to very repeatable and easily implemented because no additional process steps are required.
The present inventors have discovered that the resistance value of the resistance device 124 can be increased by about 35% by merely forming and/or altering the density of the second dielectric layer 202 formed over the resistance device 124. It is to be understood that the density of the second dielectric layer 202 can be altered/increased by strategically manipulating the deposition parameters and the deposition methods used to form the second dielectric layer 202. Moreover, it is to be understood that the resistance value of the resistance device 124 can also be increased by employing a hydrogen treatment process for the first dielectric layer 200.
More particularly, the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased even further. It is to be understood that an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124, thereby increasing the resistance of the resistance device 124. Moreover, it is to be understood that increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200, thereby helping to increase the resistance of the resistance device 124.
Accordingly, the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by merely forming and/or altering the density of the second dielectric layer 202 that does not require additional process steps. Not wishing to be limited to any particular theory, the present inventors believe that the increase in resistance of the resistance device 124 is due in part to the ability of the second dielectric layer 202 to prevent hydrogen flushing or out-gassing from the first dielectric layer 200. It is to be understood that as the density of the second dielectric layer 202 increases, its ability to block the diffusion or out-gassing of hydrogen is also increased. It is believed that the hydrogen trapped by the second dielectric layer 202 causes out-diffusion and deactivation of the dopant within the resistance device 124. More specifically, the hydrogen trapped within the first dielectric layer 200 adjacent the resistance device 124 can cause boron, for example, within the resistance device 124 to out-gas and become deactivated upon binding to the hydrogen, thereby increasing the resistance of the resistance device 124.
Additionally, the present inventors have discovered that by not removing the second dielectric layer 202 from over the first device 108 that the performance of the first device 108 can be enhanced. It is to be understood that the anneal step employed to electrically activate dopants within the first device 108 can also transfer the intrinsic stress from within the second dielectric layer 202 into the gate 110 and/or the source/drain 122 of the first device 108. After annealing with the second dielectric layer 202 formed over the first device 108, each of the gate 110, the channel 114 and/or the source/drain 122 of the first device 108 can be described as exhibiting the characteristics of stress memorization (i.e., their physical properties have been affected by the second dielectric layer 202). For example, the gate 110, the channel 114 and/or the source/drain 122 may exhibit a tensile stressed nature or a compressive stressed nature.
The present invention achieves stress memorization transfer by annealing the integrated circuit system 100 with a temperature sufficiently high enough to cause recrystallization of amorphous regions (e.g., due to previous implantations) located within the gate 110 and/or the source/drain 122 of the first device 108. The stress from the second dielectric layer 202 can be retained within the gate 110 and/or the source/drain 122 through the recrystallization anneal.
Not wishing to be limited to any particular theory, the present inventors believe that during annealing, the amorphous regions located within the gate 110 and/or the source/drain 122 of the first device 108 are recrystallized under a field of stress induced by the second dielectric layer 202. The field of stress induced by the second dielectric layer 202 is believed to cause the atoms of the gate 110 and/or the source/drain 122 to align or crystallize with a compressively stressed lattice constant or a tensile stressed lattice constant.
The stress transferred by the second dielectric layer 202 into the gate 110 and/or the source/drain 122 of the first device 108 improves the carrier mobility of the integrated circuit system 100. The carrier mobility of the integrated circuit system 100 is improved because the recrystallized stressed regions of the gate 110 and/or the source/drain 122 induce stress within the channel 114, thereby improving carrier mobility.
It is to be understood that the anneal of the present invention may include any thermal process that causes the amorphous regions of the gate 110 and/or the source/drain 122 of the first device 108 to recrystallize under the stress of, and retain the stress of, the second dielectric layer 202. As exemplary illustrations, the anneal may include a rapid thermal anneal, a spike anneal and/or a laser anneal.
Referring now to FIG. 12, therein is shown the structure of FIG. 11 after removing the first dielectric layer 200 and the second dielectric layer 202 from over the first region 102. In some embodiments, the second dielectric layer 202 can be removed from over the first device 108 by forming an additional mask (not shown) over the resistance device 124 and etching the remaining exposed portion of the second dielectric layer 202. In such cases, the second dielectric layer 202 can be etched by common wet or dry etch chemistries. However, it is to be understood that the type of etch chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 after etching.
Subsequent to removing the second dielectric layer 202 from over the first device 108, an additional etch process can be employed to remove the first dielectric layer 200 from over the first device 108 and the second device 900. By way of example, the etch process may include a salicide preclean etch. However, it is to be understood that the etch process/chemistry used to remove the first dielectric layer 200 from over the first device 108 and the second device 900 is not critical, what is important is that the etch process/chemistry prepare the integrated circuit system 100 for formation of the electrical contact 400 over the gate 110 and the source/drain 122 of the first device 108 and the second device 900.
Subsequent to removing the first dielectric layer 200 from over the first device 108 and the second device 900, the electrical contact 400, such as a low resistance silicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact (i.e., the electrical contact 400), thereby preventing a lowering of the resistance of the resistance device 124.
It is to be understood that the above process steps form a high resistance resistor by blocking the formation of the electrical contact 400 from forming on the resistance device 124 and by removing dopants from the resistance device 124. In some embodiments, the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square. In other embodiments, the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square.
Moreover, it is to be understood that the above process steps also forms active device structures with improved carrier mobility within the first region 102 by selectively utilizing stress memorization transfer techniques.
Referring now to FIGS. 13-16. FIGS. 13-16 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 9-12 and the process steps of FIGS. 9-12. It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 9-12 and, therefore, their descriptions are not reiterated in detail for FIGS. 13-16. Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 9-12 are incorporated for the same reference numbers included in FIGS. 13-16.
Referring now to FIG. 13, therein is shown a partial cross sectional view of the integrated circuit system 100 in an initial stage of manufacture in accordance with another embodiment of the present invention. The integrated circuit system 100 may include the first region 102, the second region 104, the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, the isolation structure 126, the second device 900 and the well 902. It is to be understood that the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, the isolation structure 126, the second device 900, and the well 902 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 9.
Referring now to FIG. 14, therein is shown the structure of FIG. 13 after forming the first dielectric layer 200 and the second dielectric layer 202. The first dielectric layer 200 can be formed over or on the integrated circuit system 100 and the second dielectric layer 202 can be formed over or on the first dielectric layer 200. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 10.
By way of example, in some embodiments, the first dielectric layer 200 may include a material with increased hydrogen concentration. In other embodiments, the first dielectric layer may include an oxide that has undergone a hydrogen treatment in a manner similar to the processes described in FIG. 5 above.
Additionally, in some embodiments, the second dielectric layer 202 may include a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200. In other embodiments, the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202.
Referring now to FIG. 15, therein is shown the structure of FIG. 14 after removing the second dielectric layer 202 from over the first device 108 and the second device 900. In some embodiments, the second dielectric layer 202 can be removed from over the first device 108 and the second device 900 by forming and processing a mask layer (not shown) to expose the first device 108 and the second device 900. In such cases, the first dielectric layer 200 remains over the first device 108, the second device 900 and the resistance device 124, while the second dielectric layer 202 remains intact over the resistance device 124.
Subsequent to patterning the mask layer, the exposed portion of the second dielectric layer 202 formed over the first device 108 and the second device 900 can be etched by methods selective to the composition of the second dielectric layer 202. Generally, the second dielectric layer 202 can be etched by common gas chemistries such as CF4, SiF4, NF3, CHF3 and C2F6. However, it is to be understood that the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 and the second device 900 after etching. It is to be understood that selective removal of the second dielectric layer 202 from over the first region 102 can prevent the application of the stress memorization transfer process to selected structures within the first region 102.
Additionally, subsequent to removing the second dielectric layer 202 from over the first device 108 and the second device 900, an anneal step can be performed in a manner similar to the processes described in reference to FIG. 11 above.
Notably, the present inventors have discovered that by not removing the first dielectric layer 200 and the second dielectric layer 202 from over the resistance device 124 that the resistance value of the resistance device 124 can be increased over that of a resistor formed without the second dielectric layer 202. More particularly, the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased even further. It is to be understood that an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124, thereby increasing the resistance of the resistance device 124. Moreover, it is to be understood that increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200, thereby helping to increase the resistance of the resistance device 124.
Accordingly, the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the hydrogen concentration within the first dielectric layer 200 and by altering the density of the second dielectric layer 202.
Referring now to FIG. 16, therein is shown the structure of FIG. 15 after removing the first dielectric layer 200 from over the first device 108 and the second device 900. In some embodiments, the first dielectric layer 200 can be removed from over the first device 108 and the second device 900 in a manner similar to the processes described in reference to FIG. 12 above. Subsequent to removing the first dielectric layer 200 from over the first device 108 and the second device 900, the electrical contact 400, such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124.
It is to be understood that the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124. In some embodiments, the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square. In other embodiments, the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square.
Referring now to FIGS. 17-20. FIGS. 17-20 include some of the same reference numbers used to describe the integrated circuit system 100 in FIGS. 9-12 and the process steps of FIGS. 9-12. It is noted that the layers, structures, and process steps corresponding to such reference numbers generally include the same characteristics (e.g., composition, thickness, function, process techniques, etc.) as those described in reference to FIGS. 9-12 and, therefore, their descriptions are not reiterated in detail for FIGS. 17-20. Rather the descriptions of the layers, structures, and process steps corresponding to reference numbers in FIGS. 9-12 are incorporated for the same reference numbers included in FIGS. 17-20.
Referring now to FIG. 17, therein is shown a partial cross sectional view of the integrated circuit system 100 in an initial stage of manufacture in accordance with another embodiment of the present invention. The integrated circuit system 100 may include the first region 102, the second region 104, the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, the isolation structure 126, the second device 900 and the well 902. It is to be understood that the substrate 106, the first device 108, the gate 110, the gate dielectric 112, the channel 114, the spacer 118, the source/drain extension 120, the source/drain 122, the resistance device 124, the isolation structure 126, the second device 900, and the well 902 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 9.
However, in some embodiments, the substrate 106 differs from the substrate 106, of FIG. 9, by orienting the substrate 106 of the present embodiment at a forty-five degree angle with respect to the preferred crystalline plane orientation, thereby strategically enhancing the carrier mobility within the channel 114 of the first device 108 and/or the second device 900. For example, the substrate 106 can be oriented forty-five degrees with respect to a standard [001] surface with a notch along <110>, thereby strategically making standard [001] surface with rotated notch along <100> (i.e., the channel orientation direction of the first device 108 and the second device 900 becomes <100>). It is to be understood that rotating the substrate 106 can help to alter the carrier mobility and influence of stress memorization transfer techniques upon the first device 108 and the second device 900.
For example, by rotating the substrate 106 forty-five degrees with respect to a standard [001] surface with a notch along <110>, the mechanical stress induced by the second dielectric layer 202, of FIG. 18, upon the first device 108 and the second device 900 can be partially reduced. By evaluating the orientation dependence of the substrate 106 upon piezoresistance coefficients, the first device 108 and/or the second device 900 can be tuned (i.e., formed within a crystalline plane that is rotated between zero and forty-five degrees from the preferred crystalline orientation) to be unaffected by the applied stress of the second dielectric layer 202. Alternatively, the orientation of the first device 108 and the second device 900 may also be tuned to enhance the applied stress of the second dielectric layer 202.
Referring now to FIG. 18, therein is shown the structure of FIG. 17 after forming the first dielectric layer 200 and the second dielectric layer 202. The first dielectric layer 200 can be formed over or on the integrated circuit system 100 and the second dielectric layer 202 can be formed over or on the first dielectric layer 200. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 may include any of the characteristics, such as material composition, thickness, and process techniques, described above in regard to FIG. 10.
By way of example, in some embodiments, the first dielectric layer 200 may include a material with increased hydrogen concentration. In other embodiments, the first dielectric layer may include an oxide that has undergone a hydrogen treatment in a manner similar to the processes described in FIG. 5 above.
Additionally, in some embodiments, the second dielectric layer 202 may include a material with a density specifically engineered to prevent the out-gassing of hydrogen from the first dielectric layer 200. In other embodiments, the second dielectric layer 202 may preferably include a silicon nitride layer deposited by a RTCVD process, thereby increasing the density of the second dielectric layer 202.
Subsequent to forming the first dielectric layer 200 and the second dielectric layer 202 over the first device 108, the second device 900, and the resistance device 124, an anneal step can be performed to electrically activate the dopants within the first device 108 and the second device 900. It is to be understood that the anneal step may include any thermal process that electrically activates the dopants within the first device 108 and the second device 900, such as a rapid thermal anneal, a spike anneal and/or a laser anneal.
Unlike previous embodiments, the present embodiment performs the anneal before removing at least a portion of the second dielectric layer 202 from over the first region 102. Notably, the process of the present embodiment permits annealing without removal of the second dielectric layer, thereby eliminating process steps, while not adversely impacting the performance of a PFET device due to the orientation (e.g., a forty-five degree angle with respect to a standard [001] surface with a notch along <110>) of the substrate 106. Moreover, it is to be understood that the second dielectric layer 202 can still effectuate stress memorization transfer upon an NFET device and out-diffusion of dopants from within the resistance device 124, as described in previous embodiments.
Accordingly, the present inventors have discovered that by not removing the first dielectric layer 200 and the second dielectric layer 202 from over the integrated circuit system 100 that the resistance value of the resistance device 124 can be increased and that the performance of the first device 108 and the second device 900 can be improved. More particularly, the present inventors have discovered that by modulating the hydrogen concentration within the first dielectric layer 200 and/or by modulating the density of the second dielectric layer 202 that the resistance value of the resistance device 124 can be increased over that of resistors formed without the second dielectric layer 202 thereover. It is to be understood that an increased hydrogen concentration within the first dielectric layer 200 can help promote out-diffusion and deactivation of the dopant within the resistance device 124, thereby increasing the resistance of the resistance device 124. Moreover, it is to be understood that increasing the density of the second dielectric layer 202 can help block the out-gassing of hydrogen from the first dielectric layer 200, thereby helping to increase the resistance of the resistance device 124.
Accordingly, the present inventors have discovered a method for easily modulating the resistance of the resistance device 124 by altering the hydrogen concentration within the first dielectric layer 200 and by altering the density of the second dielectric layer 202 that does not require the additional processing steps of removing the second dielectric layer 202 from over one or more of the first device 108 and/or the second device 900 before annealing.
Referring now to FIG. 19, therein is shown the structure of FIG. 18 after removing the second dielectric layer 202 from over the first device 108 and the second device 900. In some embodiments, the second dielectric layer 202 can be removed from over the first device 108 and the second device 900 by forming and processing a mask layer (not shown) to expose the first device 108 and the second device 900. In such cases, the first dielectric layer 200 remains over the first device 108, the second device 900 and the resistance device 124, while the second dielectric layer 202 remains intact over the resistance device 124.
Subsequent to patterning the mask layer, the exposed portion of the second dielectric layer 202 formed over the first device 108 and the second device 900 can be etched by methods selective to the composition of the second dielectric layer 202. Generally, the second dielectric layer 202 can be etched by common gas chemistries such as CF4, SiF4, NF3, CHF3 and C2F6. However, it is to be understood that the type of gas chemistry used to etch the second dielectric layer 202 is not essential, what is important is that the second dielectric layer 202 is removed from over the first device 108 and the second device 900 after etching.
Notably, the process of the present embodiment helps to eliminate previously necessary processing steps, such as the entire removal of the second dielectric layer 202 after a stress memorization anneal and formation and etching of an another one of the second dielectric layer 202 to block silicidation of the resistance device 124.
Referring now to FIG. 20, therein is shown the structure of FIG. 19 after removing the first dielectric layer 200 from over the first device 108 and the second device 900. In some embodiments, the first dielectric layer 200 can be removed from over the first device 108 and the second device 900 in a manner similar to the processes described in reference to FIG. 12 above. Subsequent to removing the first dielectric layer 200 from over the first device 108 and the second device 900, the electrical contact 400, such as a low resistance silicide or salicide electrical contact, can be formed over the gate 110 and the source/drain 122 of the first device 108 and the second device 900 by processes well known within the art for forming the electrical contact 400. It is to be understood that the first dielectric layer 200 and the second dielectric layer 202 remaining over the resistance device 124 prevents and/or blocks the deposition of a low resistance silicide electrical contact, thereby preventing a lowering of the resistance of the resistance device 124.
In general, the above process steps form a high resistance resistor by blocking the formation of a silicide contact from forming on the resistance device 124 and by removing dopants from the resistance device 124. In some embodiments, the resistance device 124 formed by the above process steps may include a high resistance resistor, such as one with a sheet resistance value exceeding 500 ohms/square. In other embodiments, the resistance device 124 may include a high resistance resistor with a sheet resistance value varying between about 750 ohms/square to about 775 ohms/square. Moreover, it is to be understood that the performance of active devices within the first region 102 can be improved due to the application of a stress memorization transfer process.
Referring now to FIG. 21, therein is shown a flow chart of an integrated circuit system 2100 for the integrated circuit system 100, in accordance with an embodiment of the present invention. The integrated circuit system 2100 includes providing a substrate including a first region and a second region in a block 2102; forming a first device over the first region and a resistance device over the second region in a block 2104; forming a first dielectric layer and a second dielectric layer over the substrate in a block 2106; removing a portion of the second dielectric layer in a block 2108; and annealing the integrated circuit system to remove dopant from the resistance device in a block 2110.
It has been discovered that the present invention thus has numerous aspects. One such aspect is that the present invention improves the performance of an active device by utilizing stress memorization transfer techniques.
Another aspect is that the present invention can increase the resistance value of a resistor by employing a strategically engineered dielectric layer to prevent hydrogen out-gassing.
Another aspect is that the present invention can increase the resistance value of a resistor by altering the density of a strategically engineered dielectric layer to prevent hydrogen out-gassing.
Another aspect is that the present invention can increase the resistance value of a resistor by forming a strategically engineered dielectric layer with increased hydrogen concentration.
Another aspect is that the present invention can increase the resistance value of a resistor by forming a strategically engineered dielectric layer with increased hydrogen concentration via a hydrogen treatment process.
Yet another important aspect of the present invention is that it valuably supports and services the historical trend of reducing costs, simplifying systems, and increasing performance.
These and other valuable aspects of the present invention consequently further the state of the technology to at least the next level.
Thus, it has been discovered that the integrated circuit system of the present invention furnishes important and heretofore unknown and unavailable solutions, capabilities, and functional aspects for forming an integrated circuit system including a high resistance resistor and enhanced active device performance. The resulting processes and configurations are straightforward, cost-effective, uncomplicated, highly versatile and effective, can be implemented by adapting known technologies, and are thus readily suited for efficiently and economically manufacturing integrated circuit package devices.
While the invention has been described in conjunction with a specific best mode, it is to be understood that many alternatives, modifications, and variations will be apparent to those skilled in the art in light of the aforegoing description. Accordingly, it is intended to embrace all such alternatives, modifications, and variations, which fall within the scope of the included claims. All matters hithertofore set forth herein or shown in the accompanying drawings are to be interpreted in an illustrative and non-limiting sense.

Claims (20)

What is claimed is:
1. A method of manufacture of an integrated circuit system comprising:
providing a substrate including a first region and a second region;
forming a first device over the first region, the first device having a first gate over a first gate dielectric;
forming a resistance device over the second region, the resistance device having a second gate over a second gate dielectric similar to the first gate and the first gate dielectric of the first device;
forming a first dielectric layer and a second dielectric layer over the substrate wherein forming the second dielectric layer includes increasing the density of the second dielectric layer to increase a resistance value of the resistance device;
removing a portion of the second dielectric layer; and
annealing the integrated circuit system to remove dopant from the resistance device.
2. The method as claimed in claim 1 wherein:
forming the first dielectric layer includes forming an oxide and forming the second dielectric layer includes forming a nitride.
3. The method as claimed in claim 1 wherein:
removing a portion of the second dielectric layer includes removing the second dielectric layer from over the first device.
4. The method as claimed in claim 1 wherein:
annealing the integrated circuit system includes forming the resistance device with a sheet resistance value between about 700 ohms/square to about 900 ohms/square.
5. The method as claimed in claim 1 wherein:
increasing the density of the second dielectric layer includes forming the second dielectric layer by rapid thermal chemical vapor deposition.
6. A method of manufacture of an integrated circuit system comprising:
providing a substrate including a first region and a second region;
forming a first device over the first region, the first device having a first gate over a first gate dielectric;
forming a resistance device over the second region, the resistance device having a second gate over a second gate dielectric similar to the first gate and the first gate dielectric of the first device;
forming a first dielectric layer over the substrate;
processing the first dielectric layer with a hydrogen treatment;
forming a second dielectric layer over the first dielectric layer wherein forming the second dielectric layer includes increasing the density of the second dielectric layer to increase a resistance value of the resistance device;
removing a portion of the second dielectric layer; and
annealing the integrated circuit system to remove dopant from the resistance device.
7. The method as claimed in claim 6 wherein:
processing the first dielectric layer with a hydrogen treatment includes utilizing a hydrogen forming gas anneal.
8. The method as claimed in claim 6 wherein:
processing the first dielectric layer with a hydrogen treatment includes utilizing a hydrogen plasma treatment.
9. The method as claimed in claim 6 wherein:
forming the second dielectric layer over the first dielectric layer prevents deposition of an electrical contact over the resistance device.
10. The method as claimed in claim 6 wherein:
increasing the density of the second dielectric layer includes forming the second dielectric layer by rapid thermal chemical vapor deposition.
11. A method of manufacture of an integrated circuit system comprising:
providing a substrate including a first region and a second region;
forming a first device and a second device over the first region, the first device having a first gate over a first gate dielectric;
forming a resistance device over the second region, the resistance device having a second gate over a second gate dielectric similar to the first gate and the first gate dielectric of the first device;
forming a first dielectric layer and a second dielectric layer over the substrate wherein forming the second dielectric layer includes increasing the density of the second dielectric layer to increase a resistance value of the resistance device;
removing a portion of the second dielectric layer; and
annealing the integrated circuit system to remove dopant from the resistance device.
12. The method as claimed in claim 11 wherein:
forming the first dielectric layer includes forming an oxide and forming the second dielectric layer includes forming a nitride.
13. The method as claimed in claim 11 wherein:
removing a portion of the second dielectric layer includes removing the second dielectric layer from over the first region.
14. The method as claimed in claim 11 wherein:
removing a portion of the second dielectric layer includes removing the second dielectric layer from over the second device or removing the second dielectric layer from over the first device and the second device.
15. The method as claimed in claim 11 wherein:
removing a portion of the second dielectric layer includes removing the second dielectric layer from over the first region either before annealing or after annealing.
16. The method as claimed in claim 11 wherein:
annealing the integrated circuit system includes a stress memorization transfer process.
17. The method as claimed in claim 11 wherein:
providing the substrate includes providing the substrate with a crystalline plane that is rotated about forty-five degrees.
18. The method as claimed in claim 11 further comprising:
processing the first dielectric layer with a hydrogen treatment.
19. The method as claimed in claim 11 wherein:
increasing the density of the second dielectric layer includes forming the second dielectric layer by rapid thermal chemical vapor deposition.
20. The method as claimed in claim 11 further comprising:
forming an electrical contact over the first gate and a source/drain of the first device and the second device.
US12/040,761 2008-02-29 2008-02-29 Integrated circuit system employing resistance altering techniques Active 2031-09-21 US8969151B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/040,761 US8969151B2 (en) 2008-02-29 2008-02-29 Integrated circuit system employing resistance altering techniques
SG2011061371A SG174739A1 (en) 2008-02-29 2009-02-27 Integrated circuit system employing resistance altering techniques
SG200901409-3A SG155152A1 (en) 2008-02-29 2009-02-27 Integrated circuit system employing resistance altering techniques

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/040,761 US8969151B2 (en) 2008-02-29 2008-02-29 Integrated circuit system employing resistance altering techniques

Publications (2)

Publication Number Publication Date
US20090221117A1 US20090221117A1 (en) 2009-09-03
US8969151B2 true US8969151B2 (en) 2015-03-03

Family

ID=41013499

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/040,761 Active 2031-09-21 US8969151B2 (en) 2008-02-29 2008-02-29 Integrated circuit system employing resistance altering techniques

Country Status (2)

Country Link
US (1) US8969151B2 (en)
SG (2) SG155152A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150084183A1 (en) * 2013-09-23 2015-03-26 GlobalFoundries, Inc. Integrated circuits with protected resistors and methods for fabricating the same
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048750B2 (en) * 2008-03-10 2011-11-01 Texas Instruments Incorporated Method to enhance channel stress in CMOS processes
US7858482B2 (en) * 2008-03-31 2010-12-28 Freescale Semiconductor, Inc. Method of forming a semiconductor device using stress memorization
US8207043B2 (en) * 2009-09-28 2012-06-26 United Microelectronics Corp. Method for fabricating a semiconductor device
US8293659B2 (en) * 2011-01-26 2012-10-23 Nanya Technology Corporation Method for fabricating dielectric layer with improved insulating properties
CN102646590B (en) * 2011-02-21 2015-05-13 中芯国际集成电路制造(上海)有限公司 Method for forming NMOS (n-channel metal oxide semiconductor) transistor
DE102013214436B4 (en) * 2013-07-24 2017-05-11 Globalfoundries Inc. A method of forming a semiconductor structure comprising silicided and non-silicided circuit elements
JP6361180B2 (en) * 2014-03-10 2018-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US9379185B2 (en) 2014-04-24 2016-06-28 International Business Machines Corporation Method of forming channel region dopant control in fin field effect transistor
US20160181116A1 (en) * 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10020306B2 (en) 2015-10-12 2018-07-10 International Business Machines Corporation Spacer for trench epitaxial structures
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4406051A (en) * 1979-09-11 1983-09-27 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device
US5980025A (en) * 1997-11-21 1999-11-09 Xerox Corporation Thermal inkjet printhead with increased resistance control and method for making the printhead
US6436747B1 (en) * 1999-04-21 2002-08-20 Matsushita Electtric Industrial Co., Ltd. Method of fabricating semiconductor device
US6645803B1 (en) * 1995-09-28 2003-11-11 Sgs-Thomson Microelectronics S.A. Method for modifying the doping level of a silicon layer
US6730554B1 (en) 2002-11-21 2004-05-04 Texas Instruments Incorporated Multi-layer silicide block process
US20040097032A1 (en) * 2002-10-31 2004-05-20 Fujitsu Limited Method for fabricating semiconductor device
US6811448B1 (en) * 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US20060202277A1 (en) * 2005-03-09 2006-09-14 Matthias Hierlemann Semiconductor devices with rotated substrates and methods of manufacture thereof
US20070161143A1 (en) * 2005-12-29 2007-07-12 Jin Han Kim Method of manufacturing a complementary metal oxide silicon image sensor
US20070267685A1 (en) * 2006-05-18 2007-11-22 Shigeru Ishibashi Nonvolatile semiconductor memory and method of manufacturing the same
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4406051A (en) * 1979-09-11 1983-09-27 Tokyo Shibaura Denki Kabushiki Kaisha Method for manufacturing a semiconductor device
US6645803B1 (en) * 1995-09-28 2003-11-11 Sgs-Thomson Microelectronics S.A. Method for modifying the doping level of a silicon layer
US5980025A (en) * 1997-11-21 1999-11-09 Xerox Corporation Thermal inkjet printhead with increased resistance control and method for making the printhead
US6436747B1 (en) * 1999-04-21 2002-08-20 Matsushita Electtric Industrial Co., Ltd. Method of fabricating semiconductor device
US6936520B2 (en) 2002-10-31 2005-08-30 Fujitsu Limited Method for fabricating semiconductor device having gate electrode together with resistance element
US20040097032A1 (en) * 2002-10-31 2004-05-20 Fujitsu Limited Method for fabricating semiconductor device
US6864135B2 (en) 2002-10-31 2005-03-08 Freescale Semiconductor, Inc. Semiconductor fabrication process using transistor spacers of differing widths
US6730554B1 (en) 2002-11-21 2004-05-04 Texas Instruments Incorporated Multi-layer silicide block process
US6811448B1 (en) * 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US20060202277A1 (en) * 2005-03-09 2006-09-14 Matthias Hierlemann Semiconductor devices with rotated substrates and methods of manufacture thereof
US20070161143A1 (en) * 2005-12-29 2007-07-12 Jin Han Kim Method of manufacturing a complementary metal oxide silicon image sensor
US20070267685A1 (en) * 2006-05-18 2007-11-22 Shigeru Ishibashi Nonvolatile semiconductor memory and method of manufacturing the same
US20080003734A1 (en) * 2006-06-29 2008-01-03 Harry Chuang Selective formation of stress memorization layer

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
C. Ortolland, P. Morin, C. Chaton, E. Mastromatteo, C. Populaire, S. Orain, F. Leverd, P. Stolk, F. Boeuf & F. Arnaud, Stress Memorization Technique (SMT) Optimization for 45nm CMOS, 2006 Symposium on VLSI Technology Digest of Technical Papers, Posted on line:Oct. 2, 2006 08:15:12.0, pp. 78-79, Honolulu, HI.
Pierre Morin, Francois Wacquant, Marc Juhel, Cyrille Laviron, & D. Lenoble, Influence of the spacer dielectric processes on PMOS junction properties, Materials Science and Engineering: B, Aug. 31, 2005, pp. 319-322,vol. 124(1), Elsevier publishing company, France.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150084183A1 (en) * 2013-09-23 2015-03-26 GlobalFoundries, Inc. Integrated circuits with protected resistors and methods for fabricating the same
US9111756B2 (en) * 2013-09-23 2015-08-18 GlobalFoundries, Inc. Integrated circuits with protected resistors and methods for fabricating the same
US10892263B2 (en) 2018-06-15 2021-01-12 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor device

Also Published As

Publication number Publication date
SG174739A1 (en) 2011-10-28
US20090221117A1 (en) 2009-09-03
SG155152A1 (en) 2009-09-30

Similar Documents

Publication Publication Date Title
US8969151B2 (en) Integrated circuit system employing resistance altering techniques
US7867835B2 (en) Integrated circuit system for suppressing short channel effects
US7892900B2 (en) Integrated circuit system employing sacrificial spacers
US8183115B2 (en) Method of manufacturing a semiconductor device having elevated layers of differing thickness
US8148214B2 (en) Stressed field effect transistor and methods for its fabrication
US7692213B2 (en) Integrated circuit system employing a condensation process
US8076194B2 (en) Method of fabricating metal oxide semiconductor transistor
US8338245B2 (en) Integrated circuit system employing stress-engineered spacers
US7348231B2 (en) Methods of fabricating semiconductor devices having insulating layers with differing compressive stresses
US20030203560A1 (en) CMOS transistor having different PMOS and NMOS gate electrode structures and method of fabrication thereof
US9076867B2 (en) Semiconductor device structures including strained transistor channels
US8242559B2 (en) Integrated circuit system with a floating dielectric region and method of manufacture thereof
US7759207B2 (en) Integrated circuit system employing stress memorization transfer
KR20080057143A (en) Intergrated circuit compring stability improved and selectively stressed sram cell
US20090146181A1 (en) Integrated circuit system employing diffused source/drain extensions
US20100109045A1 (en) Integrated circuit system employing stress-engineered layers
US20100078687A1 (en) Method for Transistor Fabrication with Optimized Performance
WO2005122272A1 (en) Mis field-effect transistor having strained silicon channel layer
US7951680B2 (en) Integrated circuit system employing an elevated drain
US7833888B2 (en) Integrated circuit system employing grain size enlargement
US20090090975A1 (en) Integrated circuit system employing fluorine doping
US20210257450A1 (en) Creation of stress in the channel of a nanosheet transistor
US11749744B2 (en) Fin structure for vertical transport field effect transistor
TWI546968B (en) Finfet devices having a body contact and methods of forming the same
US20080157118A1 (en) Integrated circuit system employing strained technology

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., SINGAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TAN, SHYUE SENG;TEO, LEE WEE;TAN, CHUNG FOONG;AND OTHERS;REEL/FRAME:020586/0596

Effective date: 20080214

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD., S

Free format text: CHANGE OF NAME;ASSIGNOR:CHARTERED SEMICONDUCTOR MANUFACTURING LTD.;REEL/FRAME:034807/0614

Effective date: 20100115

Owner name: GLOBALFOUNDRIES SINGAPORE PTE. LTD., SINGAPORE

Free format text: CHANGE OF NAME;ASSIGNOR:CHARTERED SEMICONDUCTOR MANUFACTURING PTE. LTD.;REEL/FRAME:034807/0526

Effective date: 20100115

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES SINGAPORE PTE. LTD.;REEL/FRAME:047660/0203

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES SINGAPORE PTE. LTD., SINGAPORE

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054481/0673

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8