TW202233883A - 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善 - Google Patents

藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善 Download PDF

Info

Publication number
TW202233883A
TW202233883A TW110135982A TW110135982A TW202233883A TW 202233883 A TW202233883 A TW 202233883A TW 110135982 A TW110135982 A TW 110135982A TW 110135982 A TW110135982 A TW 110135982A TW 202233883 A TW202233883 A TW 202233883A
Authority
TW
Taiwan
Prior art keywords
ahm
film
deposition
gas
substrate
Prior art date
Application number
TW110135982A
Other languages
English (en)
Inventor
馬修 史考特 韋默
拉杰什 普森科維拉甘
卡蒲 瑟利西 瑞迪
許晉睿
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202233883A publication Critical patent/TW202233883A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供使用減少競爭性蝕刻過程之添加劑在高溫下將可灰化硬遮罩(AHM)沉積在基板上的方法及相關設備。六氟化硫可用以在對所產生膜之性質有最小改變的情況下改善AHM的沉積速率。

Description

藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
本發明係關於沉積非晶碳膜的方法及系統。
非晶碳膜可用作半導體處理中的硬遮罩及蝕刻停止層,包含在記憶體及邏輯裝置製造中。這些膜亦稱為可灰化硬遮罩(AHM),因為其可藉由灰化技術加以去除。隨著深寬比增加,特別是針對3D NAND應用,AHM需要更高的蝕刻選擇性及/或厚度。目前的使用電漿增強化學氣相沉積(PECVD)製程形成高選擇性AHM的方法需要長時間來達到所需的厚度,而增加擁有成本。
本文所包含的背景及上下文敘述僅用於一般性呈現本揭示內容之脈絡的目的。此揭示內容的大部分呈現發明人的成果,且僅因為如此成果在先前技術章節中敘述或呈現為本文他處之上下文並不意味著將其納入先前技術。
本文揭示沉積非晶碳膜的方法及系統。在本文實施例的一態樣中,提供形成可灰化硬遮罩(AHM)膜的方法,該方法包含:將基板暴露於包含碳氫化合物前驅物氣體及沉積增強劑分子的製程氣體;及使用製程氣體藉由電漿增強化學氣相沉積(PECVD)製程在基板上沉積AHM膜。在一些實施例中,碳氫化合物前驅物為丙烯。在一些實施例中,沉積增強劑分子與碳氫化合物前驅物的體積流量比在約0.01至約0.5之間。在一些實施例中,AHM以大於約0.45 μm/分的速率沉積。在一些實施例中,更包含在沉積AHM膜的期間形成HF。
在一些實施例中,製程氣體更包含惰性氣體。在一些實施例中,惰性氣體為氦、氬、及氮中的一或更多者。在一些實施例中,製程氣體實質上由碳氫化合物前驅物、沉積增強劑分子及惰性氣體組成。在一些實施例中,在沉積AHM膜時將半導體基板定位於台座上,且台座具有約20℃與約750℃之間的溫度。在一些實施例中,沉積增強劑分子抑制由與所沉積之AHM中之碳原子鍵結的氫自由基及/或離子造成的蝕刻過程。在一些實施例中,沉積增強劑分子不引起AHM膜的蝕刻。
在一些實施例中,AHM為約1 μm與約2 μm之間厚。在一些實施例中,PECVD製程包含點燃由包含高頻(HF)分量及低頻(LF)分量之雙射頻(RF)電漿源產生的電漿。在一些實施例中,HF分量具有約50至約8000W的功率。在一些實施例中,LF分量具有約0至約6000W的功率。在一些實施例中,PECVD製程在約1至約11托(Torr)的壓力下執行。
在一些實施例中,AHM具有約43至90 GPa的模數。在一些實施例中,AHM具有約5.3至約8.5 GPa的硬度。在一些實施例中,AHM具有約-100至約-550 MPa的內應力。在一些實施例中,AHM具有約0.45至約0.65的消光係數。在一些實施例中,AHM具有約1.9至約2.2的折射率。在一些實施例中,AHM主要包含碳。在一些實施例中,AHM具有至多約10原子%的氫含量。
在本文實施例的另一態樣中,提供用於形成可灰化硬遮罩(AHM)膜的設備,該設備包含:一或更多處理腔室,各處理腔室包含基板支撐件;進入處理腔室的一或更多氣體入口及相關的流量控制硬體;及一或更多處理器,其係配置成:在一或更多處理腔室之一者中將基板暴露於包含碳氫化合物前驅物氣體及沉積增強劑分子的製程氣體;及使用製程氣體藉由電漿增強化學氣相沉積(PECVD)製程在基板上沉積AHM膜。在一些實施例中,碳氫化合物前驅物為丙烯。在一些實施例中,沉積增強劑分子與碳氫化合物前驅物的體積流量比在約0.01至約0.5之間。在一些實施例中,AHM以大於約0.45 μm/分的速率沉積。在一些實施例中,更包含在沉積AHM膜期間形成HF。
在一些實施例中,製程氣體更包含惰性氣體。在一些實施例中,惰性氣體為氦、氬、及氮中的一或更多者。在一些實施例中,製程氣體實質上由碳氫化合物前驅物、沉積增強劑分子、及惰性氣體組成。在一些實施例中,在沉積AHM膜時將半導體基板定位於台座上,且台座具有在約100℃與約750℃之間的溫度。在一些實施例中,沉積增強劑分子抑制由與所沉積之AHM中之碳原子鍵結的氫自由基及/或離子造成的蝕刻過程。在一些實施例中,沉積增強劑分子不引起AHM膜的蝕刻。在一些實施例中,AHM為至少約1.5 μm厚。在一些實施例中,PECVD製程包含點燃由包含高頻(HF)分量及低頻(LF)分量之雙射頻(RF)電漿源產生的電漿。在一些實施例中,HF分量具有約50至約8000W的功率。在一些實施例中,LF分量具有約0至約6000W的功率。在一些實施例中,PECVD製程在約1至約11托的壓力下執行。
在一些實施例中,AHM具有約43至90 GPa的模數。在一些實施例中,AHM具有約5.3至約8.5 GPa的硬度。在一些實施例中,AHM具有約-100至約-550 MPa的內應力。在一些實施例中,AHM具有約0.45至約0.65的消光係數。在一些實施例中,AHM具有約1.9至約2.2的折射率。在一些實施例中,AHM主要包含碳。在一些實施例中,AHM具有至多約10原子%的氫含量。
所揭示實施例的這些及其他特徵將在以下參考相關圖式詳細敘述。
導論及脈絡
半導體裝置處理涉及多層堆疊的形成,該多層堆疊可用於製造例如3D NAND結構的諸多三維裝置。一些堆疊包含多個交替的介電及導電材料層,其中的各層可為大約10nm或更厚。形成如此堆疊的一方法涉及沉積多個交替的氧化物及氮化物材料層(ONON多層沉積),然後選擇性地去除材料,並將金屬回填沉積至氮化物材料先前佔據的空間中。另一方法為直接將多個交替的氧化物及複晶矽(或本文他處使用的「多晶矽」)層的堆疊圖案化,其中多晶矽維持為導電層。這些方法可用以製造3D NAND結構。
堆疊的蝕刻可使用圖案化的非晶碳膜來執行。非晶碳膜亦可稱為可灰化硬遮罩(AHM)。非晶碳層可適合作為在堆疊之蝕刻製程期間具有高選擇性的硬遮罩。高選擇性係於特定蝕刻化學的情形中決定。對於特定的蝕刻化學而言,例如ONON層之下方基板比例如非晶碳層之硬遮罩蝕刻得快得多。針對本文所述諸多應用,下方基板含有矽氧化物、矽氮化物及/或多晶矽。
對於3D NAND應用而言,可灰化硬遮罩可為碳基,且為超過約1.5微米厚。對於例如用以形成如3D NAND裝置之一些記憶體裝置者的需要蝕刻高深寬比特徵之應用而言,如此厚度可能是必要的。有時,或在若干實施例中,使用如本文所述所產生之非晶碳硬遮罩的應用蝕刻交替矽氧化物及矽氮化物層之堆疊或交替多晶矽及矽氧化物層之堆疊。3D NAND中之成本的一主要因素為沉積AHM的時間,其在約0.25微米/分的速率及2μm厚之目標層的情況下,可能需要8分鐘以上來沉積。因此,期望在對膜性質有最小變化的情況下、尤其是在不降低蝕刻選擇性的情況下,增加AHM的沉積速率。
圖1顯示根據用於形成3D NAND結構之方法執行的操作之製程流程圖。在操作182中,提供基板。在諸多實施例中,基板為半導體基板。基板可為矽晶圓,例如200-mm晶圓、300-mm晶圓、或450-mm晶圓,包含具有一或更多材料層(例如沉積在其上的介電、導電或半導性材料)的晶圓。在操作184中,將交替的介電層及導電層之膜堆疊沉積在基板上。在一些實施例中,介電層為氧化物層。在諸多實施例中,所沉積的氧化物層為矽氧化物層。在諸多實施例中,導電層為氮化物層,例如矽氮化物層。在一些實施例中,導電層為多晶矽層。各介電層及導電層係沉積至大約相同的厚度,例如約10 nm與約100 nm之間,或在一些實施例中為約350Å。氧化物層可在約室溫與約600℃之間的沉積溫度下沉積。吾人將理解,如本文所用的「沉積溫度」(或「基板溫度」)意指沉積期間固持基板之台座被設定的溫度。
用於形成交替氧化物及氮化物膜堆疊的氧化物及導電層可使用任何合適的技術加以沉積,例如原子層沉積(ALD)、電漿增強原子層沉積(PEALD)、化學氣相沉積(CVD)、電漿增強化學氣相沉積沉積 (PECVD)、物理氣相沉積(PVD)、或濺射。在諸多實施例中,氧化物及氮化物層係藉由PECVD加以沉積。
膜堆疊可包含48至512層的交替介電層及導電層,由此每一介電層或導電層構成一層。在一些實施例中,取決於應用,膜堆疊可包含少於48層、或多於512層的交替介電層及導電層。包含交替之氧化物層及氮化物層的膜堆疊可稱為ONON堆疊。雖然所述的膜堆疊可涉及交替的氧化物層及氮化物層,但吾人將理解,額外層亦可包含在該堆疊中,且進一步而言,其他材料可用於並非氧化物層亦非氮化物層的交替層。舉例而言,在一些情形中,可使用矽鍺層代替氮化物或矽氮化物層。可在堆疊上的其他額外層包括含矽層、含鍺層、或兩者。例示含矽層包含摻雜及未摻雜的矽碳化物層、摻雜及未摻雜的多晶矽層、非晶矽層、摻雜及未摻雜的矽氧化物層、以及摻雜及未摻雜的矽氮化物層。摻雜劑可包含非金屬摻雜劑。舉例而言,摻雜矽碳化物層為氧摻雜矽碳化物。在另一實例中,摻雜矽碳化物層為氮摻雜矽碳化物。針對3D NAND應用之沉積及蝕刻層的進一步討論可在2019 年9月10日提出之申請案PCT US2019/050369中找到,其出於所有目的藉由引用併入本文。
在操作186中,在基板上形成非晶碳膜。非晶碳膜具有本文所述的諸多性質,使其適合作為蝕刻下方基板的遮罩。對於若干應用而言,膜的厚度為至少約1 µm。在若干實施例中,膜為至少約1.5 μm厚。在若干實施例中,該膜為至少約2 μm厚。在一些實施例中,膜係約1 μm與約2 μm之間厚。在操作188中,將非晶碳膜圖案化,使得下方基板的部分暴露。圖案化可藉由例如微影製程來達成。
在操作190中,蝕刻膜堆疊。相較於下方基板,所使用的蝕刻化學品對非晶碳膜具有選擇性,使得非晶碳膜在低於膜堆疊之疊層的蝕刻速率下受蝕刻。蝕刻的實例可包含基於自由基及/或離子的蝕刻。蝕刻化學品的實例可包含基於鹵素的蝕刻化學品,例如含氟、含溴、及含氯蝕刻化學品。舉例而言,從含氟碳化合物製程氣體產生的電容耦合電漿可用以選擇性蝕刻氧化物層。製程氣體的具體實例包括可選地具有氧(O 2)及惰性氣體的含C xF y的製程氣體,例如C 4H 8/CH 2F 2/O 2/Ar。在若干實施例中,將非晶碳層用作蝕刻製程中的硬遮罩,其中蝕刻物種在電漿中產生。
最後,在操作192中,例如藉由稱為灰化、電漿灰化、或乾式剝除的技術將非晶碳膜去除。灰化可藉由富氧乾式蝕刻來執行。通常,將例如O 2、N 2O、及NO之形式的氧在真空下引入腔室中,且RF功率在電漿中產生氧自由基以與AHM反應,並將其氧化成水(H 2O)、一氧化碳 (CO)、及二氧化碳(CO 2)。可選地,任何殘留的AHM殘留物也可在灰化後藉由濕式或乾式蝕刻製程去除。結果為圖案化的基板層。
圖2提供了圖1的操作182-192之示意圖100-150。在圖形100中,提供基板103。基板103可為具有先前形成於其上之一或更多層的矽晶圓。在圖形110中,氧化物膜(101)及氮化物膜(102)膜的交替層沉積在基板103上。注意雖然圖2所示的結構顯示先沉積氧化物,然後沉積氮化物、氧化物、氮化物等,但可先沉積氮化物,然後沉積氧化物、氮化物、氧化物等。
在圖形120中,非晶碳膜105沉積在氧化物及氮化物膜之堆疊上方。此製程的細節在本文中進一步討論。在圖形130中,將非晶碳膜105圖案化以暴露下方堆疊的部分。非晶碳膜105的暴露部分定義高深寬比特徵部將受蝕刻的區域。在圖形140中,使用非晶碳膜105作為遮罩蝕刻下方堆疊,以在交替層的堆疊中形成諸多特徵部。在圖形150中,將非晶碳膜105去除,導致具有諸多特徵部的氧化物膜及氮化物膜之交替層的經蝕刻堆疊。
在一些實施例中,如本文所述待使用AHM蝕刻的特徵部可具有約10:1至1000:1的深寬比。在一些實施例中,特徵部的開口尺寸可包含約20-100nm跨度。 沉積製程
用於沉積非晶碳硬遮罩的若干製程採用碳前驅物,其可為例如丙烯的碳氫化合物。在一些情形中,碳氫化合物前驅物具有相對高的碳氫比。在一些實施例中,丙烯由於對聚合及堵塞噴淋頭中之孔及沉積在沉積腔室之敏感性部件上的較低傾向較低,而為有利的碳前驅物。在用於本文所述製程的較高壓力及溫度下,丙烯對於安全疑慮而言亦可為有利的。
除了丙烯或其他合適的含碳前驅物之外,該製程還可使用惰性或化學上不具反應性的氣體,例如氬、氦、氮、或這些其中任何者的組合。
雖然習知製程可生產高品質的非晶碳層,但其在例如每分鐘約0.25 μm之速率下相對緩慢地生產如此膜。當沉積例如本文所述高深寬比蝕刻應用其中一些者(例如需要1.5微米或更大之硬遮罩厚度者)所需的相對厚之膜時,此相對慢的沉積速率對於製程產量可能具有負面影響,且因此增加成本。因此,可能希望採用生產類似之高品質疊層但進行地更快的製程。
更快速地沉積疊層的一方法為增加製程氣體中反應物的流速,特別是丙烯或其他含碳前驅物。然而,僅增加流速未必產生具有合適性質的膜,例如高密度、良好的蝕刻選擇性、低氫含量、及用於蝕刻高深寬比特徵部之非晶碳硬遮罩中所期望的合適機械特性。因此,雖然增加碳前驅物流速可增加膜沉積速率,但膜品質可能不足以在不沉積額外碳硬遮罩層以產生更厚整體層之情況下提供所需的蝕刻特性,且並未在生產疊層的時間上提供任何縮減。
更快沉積疊層的另一方法為在沉積期間抑制AHM的蝕刻。沉積速率的一促成因素為發生在沉積製程期間的競爭性蝕刻過程。一般而言,碳前驅物可產生氫自由基或離子,該等氫自由基或離子可與沉積在硬遮罩表面上的碳原子相互作用,導致產生例如甲烷或其他揮發性產物,最終蝕刻碳遮罩並減緩淨沉積速率。
本發明人已經發現,將本文稱為「沉積增強劑分子」之例如六氟化硫(SF 6)的若干反應物添加至製程氣體使蝕刻製程的速率減低,導致沉積速率上的淨增加。在不受理論束縛的情況下,據信SF 6可與碳前驅物及/或氫自由基反應以形成SF 5及HF,其可從製程腔室排出而不蝕刻硬遮罩。HF的產生使氫自由基的存在減少,而抑制競爭性蝕刻過程,且從而提高整體沉積速率。
本發明人亦發現SF 6可增加碳前驅物的消耗,而增加最終沉積至所產生之膜中的碳離子之產生。以下表1顯示SF 6對沉積速率及諸多膜性質的影響。
SF 6 流量 (sccm) 沉積速率 (Å/ ) R/2 NU% Cntr. RI @633nm Cntr. k @633 nm 應力 (MPa) 硬度 (GPa) 模數 (GPa)
0 3525 8.0 2.065 0.44 -145 5.3 (±0.1) 50.4 (±0.5)
100 4520 6.4 2.112 0.44 -105 5.1 (±0.1) 45.3 (±0.5)
200 4825 3.7 2.150 0.41 -105 4.8 (±0.1) 43.2 (±0.5)
300 6060 72.5 2.056 0.54 NA 5.1 (±0.1) 43.6 (±0.5)
表1:顯示SF 6如何影響沉積速率及AHM膜性質。
如上表所示,將SF 6的流量從0增加到200 sccm會導致沉積速率上增加約37%,模數及硬度分別降低約15%及10%。300 sccm的SF 6流量導致沉積速率上的進一步增加,但半範圍均勻性(half-range uniformity)(R/2 NU%)顯著降低,且消光係數k增加。雖然300 sccm的SF 6流量導致高度的不均勻性,但據信此為其上進行實驗之工具之限制的結果,且在如熟悉所屬領域者所理解之適當修改的情況下,300 sccm或更高的SF 6流量可用以進一步增加沉積速率,而所得之膜具有類似低於300 sccm的均勻性。對於上表之所有沉積膜的其它製程條件包含:550℃之台座溫度、5托(Torr)的壓力、1500 sccm的C 3H 6流量、13.56MHz下的6000W、及在400kHz下的3450W。圖3基於表1呈現作為SF 6對C 3H 6流量比之函數的沉積速率圖表。流速隨著製程氣體中之SF 6的比例增加而增加,其為所期望的。 製程窗
在諸多實施例中,在非晶碳膜的沉積期間將速率提升添加劑添加至製程氣體。在一些實施例中,速率提升添加劑為六氟化硫。在若干實施例中,沉積製程包含呈約0.01至約0.5之體積(大約莫耳)比的六氟化硫及丙烯。在若干實施例中,沉積製程包含呈約0.05至約0.15之體積(大約莫耳)比的六氟化硫及丙烯。
在若干實施例中,沉積製程包含呈約0.03至約0.5之體積(大約莫耳)比的惰性或化學上無反應性氣體(例如Ar、He、及/或N 2)及丙烯。在若干實施例中,沉積製程包含呈約0.15至約0.25之體積(大約莫耳)比的惰性或化學上無反應性氣體及丙烯。
在若干實施例中,沉積製程氣體具有約3%至約50%的丙烯或其他碳氫化合物前驅物、約0.3%至約25%的六氟化硫、及約25%至約97.7%的惰性或化學上無反應性氣體。所有百分比均按體積或莫耳計。在若干實施例中,沉積製程氣體具有約15%至約25%的丙烯或其他碳氫化合物前驅物、約1.5%至約12.5%的六氟化硫、及約62.5%至約83.5%的惰性或化學上無反應性氣體。在若干實施例中,惰性或化學上無反應性氣體為氬、氮、及/或氦。
在一些實施例中,製程氣體由丙烯及/或其他含碳前驅物、惰性氣體、及六氟化物組成。在一些實施例中,製程氣體實質上由丙烯及/或其他含碳前驅物、惰性氣體及六氟化物組成。
在一些實施例中,碳氫化合物前驅物為由化學式C xH y定義者,其中X為2與10之間的整數,且Y為2與24之間的整數。實例包含甲烷(CH 4)、乙炔(C 2H 2)、乙烯(C 2H 4)、丙烯(C 3H 6)、丁烷(C 4H 10)、環己烷(C 6H 12)、苯(C 6H 6)、及甲苯(C 7H) 8)。在若干實施例中,製程氣體單獨包含丙烯,或可選地與一或更多額外的碳氫化合物前驅物組合。在若干實施例中,碳氫化合物前驅物為鹵化碳氫化合物,其中一或更多氫原子被鹵素(特別是氟、氯、溴、及/或碘)取代。在一些實施例中,碳氫化合物前驅物具有至少1:2的C:H比率。在一些實施例中,可使用二或更多碳氫化合物前驅物。在一些實施例中,碳氫化合物前驅物可為烯烴,例如丙烯。在一些實施例中,碳氫化合物前驅物可為炔烴,例如乙炔。
雖然說明書主要將SF 6認定為AHM膜的沉積增強劑分子,但在一些實施例中,沉積增強劑分子為超價(hypervalent)鹵素化合物。在一些實施例中,沉積增強分子為超價氟化物或超價氯化物。超價氟化物及超價氯化物可包含超價硫氟化物(SF 6、SF 5)、超價磷氯化物或超價氟化物(例如PCl 5或PF 5)、及氙氟化物(例如XeF 2、XeF 4、XeF 6)。在一些實施例中,取代SF 6或除SF 6之外,製程氣體可包含下列一或更多者:超價磷氯化物或超價氟化物、或氙氟化物(應注意,氟化氙作為稀有氣體化合物,且可視為超價化合物,因為氙以8個電子開始)。在一些實施例中,沉積增強劑分子係選自下列者組成的群組:SF 6、超價磷氯化物或氟化物、氙氟化物、及其任何組合。在一些實施例中,沉積增強分子可為含氟化合物或含氯化合物。在一些實施例中,沉積增強劑分子在AHM膜的沉積期間與氫離子及/或自由基反應。沉積增強劑分子可減少本文所述的競爭性氫蝕刻過程,同時實質上不在AHM膜中沉積任何物種。
在一些實施例中,製程腔室中的壓力可為約1至約15托、約2.3至約10.7托、或典型約5托。在一些實施例中,對於四站配置而言,高頻(13.56MHz功率)可為約50至約8000W、約400至約4000W、或約6000W。在一些實施例中,對於四站配置而言,低頻(400kHz功率)可為約0至約6000W、約900至約4000W、或約3450W。
在其他情況下已觀察到,沉積溫度越高,非晶碳膜中存在的氫越少。因為硬遮罩應用需要較少量的氫,所以通常使溫度盡可能高。在一些實施例中,台座溫度可為約20°C至約750°C、或至多約650°C、或約550°C至約650°C、或約650°C。在若干實施例中,其為至少約400℃、或至少約450℃。在若干實施例中,其為至少約500°C。據觀察,遠高於650°C的溫度可能產生不期望的電漿結果,例如腔室中的電弧放電。
所沉積的膜在晶圓面上方應相對均勻。所沉積膜中之均勻性或非均勻性的相對量為用以沉積非晶碳層之製程條件的強函數,而未必是非晶碳層之組成的固有性質。 製程機制
雖然不希望受理論束縛,但據信來自含碳前驅物的非晶碳層之沉積速率受到至少兩途徑的影響。
第一途徑涉及藉由剝離至少一氫原子使例如丙烯的碳前驅物活化。據信乙炔最可能為反應中間體。換言之,將丙烯以某方式轉化為乙炔。其後,乙炔反應以在基板表面上產生非晶碳層。
影響沉積速率的第二途徑為競爭性蝕刻過程,其中電漿中產生的氫自由基及/或氫離子與沉積中的碳膜相互作用並形成碳-氫鍵。當足夠的氫附著至給定的碳原子時,形成揮發性甲烷、或其他輕質碳氫化合物,其流出腔室並帶走碳原子,若非如此,該碳原子將用以構建非晶碳硬遮罩。
因此,非晶碳硬遮罩的沉積為從丙烯至非晶碳之沉積路徑與競爭性氫介導蝕刻反應之間的平衡。
雖然不希望受理論束縛,但據信六氟化硫影響此二途徑。其呈現與電漿中的氫反應並形成不蝕刻生長中之膜的氫氟化物。HF亦不視為沉積物種,因為藉由RBS或固態FTIR並未在所產生之膜中發現氟。因此,六氟化硫的存在可減少競爭性氫介導蝕刻過程。
在此方面略微出人意料的是發現六氟化硫本身不蝕刻或至少不顯著蝕刻沉積中的非晶碳膜。六氟化硫在積體電路製造工業中廣泛用作蝕刻氣體。出人意料地,吾人發現在不存在沉積碳層的情況下,六氟化硫及丙烯(本文期望使用的製程氣體)反應以形成六氟化碳。此結果可能暗示,廣泛使用之蝕刻劑氣體六氟化硫將與形成中的非晶碳硬遮罩發生反應並對其進行蝕刻。然而,情況並非如此。
圖7顯示了僅SF 6(實線)與SF 6及Ar/He(虛線)的疊加氣相FTIR光譜。僅SF 6在1000附近的大高峰、以及他處無高峰表示僅SF 6單獨在電漿存在的情況下不解離。
虛線代表SF 6及Ar/He,且諸多超過3600的高峰代表HF的產生,其表示SF 6在例如氬、氦、氮或C 3H 6之載氣存在的情況下將解離,其C 3H 6可在電漿中解離成離子或反應性中性物種。
此外,有指示SiF 4及CF 4生成的高峰。發明人相信SiF 4及CF 4的存在係起因於留存在進行實驗之腔室中的殘留碳及矽。如此產物以及HF的存在額外表示SF 6在氬及氦電漿的存在下解離。SiF 4及CF 4的存在也指示SF 6通常用於AHM沉積且SF 6通常對於AHM沉積將不期望的蝕刻過程。僅基於此,此光譜將指示SF 6將在沉積期間從AHM膜中蝕刻碳。
圖8呈現從伴有Ar/He及SF 6的C 3H 6之氣相FTIR光譜中減去的伴有Ar/He之C 3H 6的氣相FTIR光譜。正強度表示隨著SF 6之引入的化學物種上之增加,而負強度表示化學物種上的減少。出乎意料地,添加SF 6並未導致膜蝕刻,如已於上表1中顯示。添加SF 6降低C 3H 6的量,表示更大的丙烯消耗量。此可由丙烯在電漿中解離以形成C 3H 5及氫之反應性中性物種所造成,該C 3H 5及氫之反應性中性物種與SF 6反應以形成HF。SF 6充當消耗氫的匯座(sink),造成由超過3600之高峰表示之HF上的增加。由於SF 6在圖8的光譜中具有大高峰,因此其在沉積製程期間亦可能不會被完全消耗。
此外,關聯於乙炔的正高峰表示丙烯的較大消耗量。乙炔被視為沉積期間來自丙烯的中間產物,且在獲取FTIR讀數時可在排氣管線中輕易追踪。因此,雖然可將乙炔可轉化為沉積物種,但其存在指示C 3H 6解離增加,此表示沉積速率增加。
此外,參見圖7,雖然SF 6及Ar/He顯示關聯於SiF 4及CF 4的高峰,但在此並無如此的高峰。這被認為是起因於來自丙烯與SF 6反應而用以形成HF的氫自由基及離子,抑制氟蝕刻碳或矽而形成SiF 4或CF 4。因此,雖然圖7的光譜將指示SF 6將蝕刻碳膜,但圖8顯示在丙烯存在的情況下,SF 6不產生CF 4且因此抑制膜的蝕刻而非增加蝕刻。 膜性質
高深寬比圖案化使用具有高蝕刻選擇性的AHM。重要的是,在保持蝕刻選擇性的同時提高沉積速率容許用於IC製造中的AHM膜之更低擁有成本,這是期望的。在一些實施例中,沉積速率為至少約3500 Å/分鐘、至少約4500 Å/分鐘、或約3500至約6000 Å/分鐘。
蝕刻選擇性可藉由比較AHM層與下方層的蝕刻速率來判定。蝕刻選擇性有時可藉由判定AHM層的氫含量、折射率(RI)、密度、及楊氏模數、或剛性加以逼近。通常,較低的氫含量、較高的RI、較高的密度、及較高的模數、或更具剛性的AHM能夠在承受涉及更多離子轟擊之蝕刻製程中的更高蝕刻速率。因此,具有較低氫含量、較高RI、較高密度、及/或較高模數的AHM具有較高的選擇性及較低的蝕刻速率,且可更有效率地及更有效地用於處理高深寬比半導體製程。AHM的所需蝕刻選擇性可取決於下方層的蝕刻製程及組成,但無論下方層的蝕刻製程或組成如何,蝕刻選擇性與上述材料性質之間的相關性都保持不變。此處敘述的選擇性相關性適用於所有類型的下方層,包含多晶矽層、氧化物層、及氮化物層。
根據所揭示方法產生的AHM膜通常主要由碳及氫組成,但其他元素亦可存在於膜中。在一些實施例中,碳濃度為至少約70原子%。可存在於AHM膜中的其他元素之實例包含鹵素、氮、硫、硼、氧、鎢、鈦、及鋁。通常,如此其他元素以不大於約10原子%的量存在。在一些實施例中,氫濃度為至多約28原子%、至多約25原子%、或至多約10原子%。
所沉積的非晶碳層應具有相對較高密度。在若干實施例中,非晶碳層具有約1.65至約1.85 g/cm3的密度。在若干實施例中,非晶碳層具有約5.0至約8.5 GPa的硬度。
雖然密度是以每體積的質量之單位加以定義,但密度的直接測量並非總是可輕易獲得。然而,在一些情形中,更容易測量的性質可作為密度的代理(proxy)。一如此特性為模數。在一些實施例中,非晶碳層具有介於約40至約90GPa、約60至約85GPa、或約90至約175GPa之間的模數。
所沉積的非晶碳層之相對低內應力適用於諸多實施例。相對低的內應力表示膜較不可能將彎曲或翹曲引入晶圓。在若干實施例中,非晶碳層具有約-100至約-550 MPa或約-75至約-150 MPa的內應力(負為壓縮性)。
在若干實施例中,與類鑽石碳相比,非晶碳層具有相對高含量的類石墨碳。與sp 3鍵相比,其應具有相對高的sp 2鍵含量。在若干實施例中,非晶碳層具有約5%至約30%或約10%至約15%的sp 2含量,而非晶碳層的其餘具有類鑽石sp 3鍵。
非晶碳層可藉由其在EM光譜的光學區域中之消光係數k加以特性化。消光係數可為sp 2及sp 3鍵之相對量的代理。相對高的消光係數表示在測量波長下的較暗、不透明材料。換句話說,633 nm下的相對高消光係數表示膜中的相對高石墨含量。在一些實施例中,消光係數為約0.40至約0.70或約0.45至約0.65。在若干實施例中,非晶碳層具有約1.9至約2.2或2.0至約2.1的折射率。 實例
圖4-6呈現諸多圖表,該等圖表顯示隨著SF 6流量比增加、所沉積非晶碳膜之性質上的變化。圖4-6中的值係來自以上的表1。
圖4呈現作為SF 6對C 3H 6流量比之函數的模數402及應力404之圖表。總體而言,較高的模數是期望的,但模數上~8 GPa的減低被認為對於增加沉積速率以降低AHM之總體擁有成本而言是適度的且可接受的。此外,膜的應力在SF 6之情況下變得略為更中性,其通常對於將減少降低線均勻性之AHM翹曲而言是期望的。
圖5呈現作為SF 6對C 3H 6流量比之函數的折射率502及消光係數504之圖表。總體而言,折射率的變化被視為標稱上的且在誤差內,因此SF 6的添加不顯著增加折射率。類似地,當將SF 6添加至製程氣體流時,消光係數具有輕微變化,但SF 6不顯著增加消光係數。
圖6呈現作為SF 6對C 3H 6流量比之函數的氫含量602及硫含量604之圖表。如吾人可顯而易見,氫含量的所有測量值皆在誤差標準內,其指出SF 6的存在增加沉積速率而不增加所產生之膜的氫含量,這是期望的。另一方面,硫含量確實在SF 6上每增加100 sccm增加約0.1%,然而使變化被視為不影響所產生膜的蝕刻選擇性。
如圖4-6所示,當吾人添加SF 6時,模數及應力降低。更多的中性應力有利於減少翹曲。模數及氫含量與膜性能密切相關,但在較低氫含量下,例如本文所述的膜,模數並未如較高氫含量一般與蝕刻選擇性密切相關。
折射率為密度的代理,因為其尤其指示透明的類鑽石sp 3鍵相較於不透明的類石墨sp 2鍵之數量。在此,RI的變化在薄膜性質的可接受範圍內。消光係數與膜中的類石墨及類鑽石鍵之數量相關。膜中的氫或硫含量使消光係數減低。 設備
實施例可在電漿增強化學氣相沉積(PECVD)反應器中實施。如此反應器可採取許多不同的形式。諸多實施例係相容於現有的半導體處理設備--特別是諸如可從Lam Research Corporation獲得的Sequel TM或Vector TM反應器腔室的PECVD反應器。諸多實施例可在多站或單站工具上實施。在特定實施例中,使用具有4站沉積方案之300mm Lam Vector TM工具或具有6站沉積方案之200mm Sequel TM工具。本文所述的製程參數係用於300mm基板上的4站腔室沉積,但可針對更多或更少站以及更大或更小的基板使用合適的調整。
通常,設備將包含一或更多腔室或反應器,其各包含一或更多站。腔室將容納一或更多晶圓,且適用於晶圓處理。一或更多腔室藉由防止旋轉、振動、或其他擾動而將晶圓保持在一或更多經定義位置。在一些實施例中,於處理期間,將經歷AHM沉積的晶圓在腔室內從一站轉移到另一站。舉例而言,根據諸多實施例,2000 nm的AHM沉積可完全發生在一站,或者500 nm之膜可在四個站的每一者處沉積。或者,總膜厚的任何其他部分可在任何數量的站處沉積。在沉積多於一AHM的諸多實施例中,可將多於一站用以沉積各AHM層。在處理期間,各晶圓由台座、晶圓卡盤、及/或其他晶圓固持裝置固持於定位。對於其中晶圓將被加熱的若干操作而言,設備可包含例如加熱板的加熱器。
如圖。圖9示意性顯示可用以利用電漿增強化學氣相沉積(PECVD)來沉積材料的製程站900之實施例。為了簡單起見,製程站900係繪示為具有用於維持低壓環境之處理腔室本體902的獨立製程站。然而,吾人將察知,可使複數個製程站900可包含在共同製程工具環境中。此外,吾人將察知,在一些實施例中,製程站900的一或更多硬體參數,包含以下詳細討論者,可由一或更多電腦控制器以編程方式加以調整。
處理站900與反應物輸送系統901流體連通,以用於將製程氣體輸送至分配噴淋頭906。反應物輸送系統901包含混合容器904,其用於混合及/或調節輸送至噴淋頭906的製程氣體。一或更多混合容器入口閥920可控制製程氣體向混合容器904的引入。類似地,噴淋頭入口閥905可控制製程氣體向噴淋頭906的引入。
舉例而言,圖9的實施例包含汽化點903,以用於使將供應至混合容器904的液體反應物汽化。在一些實施例中,汽化點903可為經加熱的汽化器。從如此汽化器產生的反應物蒸氣可能在下游輸送管道中冷凝。不相容氣體對冷凝之反應物的暴露可能產生小顆粒。這些小顆粒可能堵塞管道、阻礙閥操作、污染基板等。解決這些問題的一些方法涉及清掃及/或排空輸送管道以去除殘留的反應物。然而,清掃輸送管道可能會增加製程站循環時間,降低製程站的產能。因此,在一些實施例中,汽化點903下游的輸送管道可為伴熱式。在一些實例中,混合容器904亦可為伴熱式。在一非限制性實例中,汽化點903下游的管道在混合容器904處具有從大約100°C延伸至大約150°C的遞增溫度分佈。
在一些實施例中,反應物液體可在液體噴射器處汽化。舉例而言,液體噴射器可將液體反應物的脈衝噴射至混合容器上游之載氣流中。在一情況下,液體噴射器可藉由將液體從較高壓力瞬變至較低壓力來使反應物汽化。在另一情況下,液體注射器可將液體霧化成分散的微滴,然後該等分散的微滴在加熱的輸送管中汽化。吾人將察知,較小的液滴可比較大的液滴更快地汽化,而減少液體注入與完全汽化之間的延遲。更快的汽化可減少汽化點903下游的管道長度。在一情況下,液體注射器可直接安裝至混合容器904。在另一情況下,液體注射器可直接安裝至噴淋頭906。
在一些實施例中,可設置汽化點903上游的液體流量控制器以供控制用於汽化及輸送至製程站900之液體質量流量。舉例而言,液體流量控制器(LFC)可包含位於LFC下游的熱質量流量計(MFM)。然後可回應由與MFM電連通之比例積分微分(PID)控制器提供的反饋控制訊號來調節LFC的柱塞閥。然而,可能需要一秒或更長的時間使用反饋控制來使液體流動穩定。此可能延長液體反應物的施劑時間。因此,在一些實施例中,LFC可在反饋控制模式與直接控制模式之間動態地切換。在一些實施例中,LFC可藉由停用LFC的感測管及PID控制器來動態地從反饋控制模式切換至直接控制模式。
噴淋頭906朝基板912分配製程氣體。在圖9所示的實施例中,基板912位於噴淋頭906下方,並顯示為安置在台座908上。吾人將察知,噴淋頭906可具有任何合適的形狀,且可具有用於將處理氣體分配至基板912的任何合適數量及配置的埠口。
在一些實施例中,微容積907位於噴淋頭906下方。在微容積中而非在製程站之整個容積中執行ALD及/或CVD製程可減少反應物暴露及掃除時間、可減少改變製程條件(例如,壓力、溫度等)的次數、可限制製程站機器人對製程氣體之暴露等。例示微容積尺寸包含但不限於0.1升與2升之間的容積。此微容積亦影響生產力產能。雖然每循環的沉積速率下降,但循環時間亦同時減少。在若干情形中,對於給定目標膜厚度而言,後者的效應顯著足以改善模組的整體產能。
在一些實施例中,可升高或降低台座908以將基板912暴露於微容積907及/或改變微容積907的容積。舉例而言,在基板轉移階段,可降低台座908以容許將基板912裝載至台座908上。在沉積製程階段,可升高台座908以將基板912定位在微容積907內。在一些實施例中,微容積907可完全包圍基板912以及台座908的一部分,以在沉積其間產生高流動阻抗區域。
可選地,可在沉積製程的部分期間降低及/或升高台座908以調變微容積907內的製程壓力、反應物濃度等。在其中製程腔室本體902於沉積製程期間保持在基礎壓力下的一情形中,降低台座908可容許微容積907被排空。微容積與處理室容積的例示比率包含但不限於1:900與1:10之間的容積比率。吾人將察知,在一些實施例中,可藉由合適的電腦控制器以編程方式調整台座高度。
在另一情況下,調整台座908的高度可容許在包含於沉積製程中之電漿活化及/或處理循環期間改變電漿密度。在沉積製程階段結束時,可在另一基板轉移階段期間將台座908降低,以容許從台座908移除基板912。
雖然本文所述的例示微容積變化係參照高度可調的台座,但吾人將察知,在一些實施例中,可相對於台座908調整噴淋頭906的位置,以改變微容積907的容積。此外,吾人將察知,台座908及/或噴淋頭906的垂直位置可藉由本揭示內容範圍內的任何合適機構加以改變。在一些實施例中,台座908可包含用於旋轉基板912之定向的旋轉軸。吾人將察知,在一些實施例中,這些例示調整中的一或更多者可由一或更多合適的電腦控制器以編程方式執行。
回到圖9中所示的實施例,噴淋頭906及台座908與RF電源914及匹配網路916電性連通以對電漿供電。在一些實施例中,可藉由控制製程站壓力、氣體濃度、RF源功率、RF源頻率、及電漿功率脈衝時序中的一或更多者來控制電漿能量。舉例而言,RF電源914及匹配網路916可在任何合適的功率下操作,以形成具有期望之自由基物種組成的電漿。合適功率的實例包含於上述內容。類似地,RF電源914可提供具有任何合適頻率的RF功率。在一些實施例中,RF電源914可配置成彼此獨立地控制高頻及低頻RF電源。例示低頻RF頻率可包含但不限於50 kHz與700 kHz之間的頻率。例示高頻RF頻率可包含但不限於1.8 MHz與2.45 GHz之間的頻率。吾人將察知,可離散地或連續地調變任何合適的參數,以提供用於表面反應的電漿能量。在一非限制性實例中,可間歇地使電漿功率脈動,以相對連續供電的電漿減少對基板表面的離子轟擊。
在一些實施例中,電漿可由一或更多電漿監測器原位加以監測。在一情況下,電漿功率可由一或更多電壓、電流感測器(例如VI探針)加以監測。在另一情況下,電漿密度及/或製程氣體濃度可由一或更多光發射光譜感測器(OES)加以測量。在一些實施例中,一或更多電漿參數可基於來自如此原位電漿監測器之測量值而以編程方式調整。舉例而言,OES感測器可用於反饋迴路中以提供電漿功率的編程控制。吾人將察知,在一些實施例中,可將其他監測器用以監測電漿及其他製程特性。如此監測器可包含但不限於紅外(IR)監測器、聲學監測器、及壓力換能器。
在一些實施例中,可經由輸入/輸出控制(IOC)排程指令來控制電漿。在一實例中,用於設定電漿製程階段之電漿條件的指令可包含在沉積製程配方的對應電漿活化配方階段中。在一些情形中,製程配方階段可依序排列,使得沉積製程階段的所有指令與該製程階段同時執行。在一些實施例中,用於設定一或更多電漿參數的指令可包含在電漿處理階段之前的配方階段中。舉例而言,第一配方階段可包含用於設定惰性及/或碳氫化合物前驅物氣體之流速的指令、用於將電漿產生器設定至功率設定點的指令、及用於第一配方階段的時間延遲指令。第二後續配方階段可包含用於啟用電漿產生器的指令、及用於第二配方階段的時間延遲指令。第三配方階段可包含用於停用電漿產生器的指令、及用於第三配方階段的時間延遲指令。吾人將察知,這些配方階段可在本揭示內容的範圍內以任何合適的方式進一步細分及/或迭代。
在一些實施例中,台座908可經由加熱器910進行溫度控制。此外,在一些實施例中,沉積製程站900的壓力控制可由蝴蝶閥918提供。如圖9所示,蝴蝶閥918將下游真空泵(未顯示)提供的真空加以節流。然而,在一些實施例中,亦可藉由改變引入製程站900之一或更多氣體的流速來調整製程站900的壓力控制。
圖10顯示具有入站裝載鎖1002及出站裝載鎖1004的多站處理工具1000之實施例的示意圖,該入站裝載鎖1002及出站裝載鎖1004的一或兩者可包含遠端電漿源。大氣壓力下的機器人1006係配置成經由大氣埠1010將來自      透過傳送盒1008裝載之晶舟的晶圓移動至入站裝載鎖1002中。晶圓由機器人1006放置在入站裝載鎖1002中的台座1012上,將大氣埠1010關閉,並將負載鎖抽空。在入站負載鎖1002包含遠端電漿源的情況下,晶圓可在被引入處理腔室1014之前在負載鎖中暴露於遠端電漿處理。此外,舉例而言,晶圓亦可在入站負載鎖1002中加熱,以去除水分及吸附的氣體。接著,打開通向處理腔室1014的腔室輸送埠1016,另一機械人(未顯示)將晶圓置入反應器中、且在反應器中所示之第一站的台座上以供處理。雖然圖10中繪示的實施例包含裝載鎖,但吾人將察知,在一些實施例中,可提供晶圓往製程站的直接進入。
所繪示的處理腔室1014包含四個處理站,在圖10所示的實施例中編號為1至4。各站具有加熱台座(在站1顯示於1018)、及氣體管線入口。吾人將察知,在一些實施例中,各製程站可具有不同或多個用途。雖然所繪示的處理腔室1014包含四個站,但吾人將理解,依據本揭示內容的處理腔室可具有任何合適數量的站。舉例而言,在一些實施例中,處理腔室可具有五個或更多站,而在其他實施例中,處理腔室可具有三個或更少站。
圖10亦繪示用於在處理腔室1014內傳送晶圓的晶圓搬運系統1090的實施例。在一些實施例中,晶圓搬運系統1090可在諸多製程站之間及/或製程站與裝載鎖之間傳送晶圓。吾人將察知,可採用任何合適的晶圓搬運系統。非限制性實例包含晶圓旋轉料架及晶圓搬運機器人。圖10亦繪示用以控制處理工具1000的製程條件及硬體狀態的系統控制器1050之實施例。系統控制器1050可包含一或更多記憶體裝置1056、一或更多大量儲存裝置1054、及一或更多處理器1052。處理器1052可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在一些實施例中,系統控制器1050控制處理工具1000的所有活動。系統控制器1050執行儲存在大量儲存裝置1054中、載入記憶體裝置1056中、並在處理器1052上執行的系統控制軟體1058。系統控制軟體1058可包含用於控制由處理工具100執行之特定製程的時序、氣體混合、腔室及/或站壓力、腔室及/或站溫度、吹掃條件及時序、晶圓溫度、RF功率位準、RF頻率、基板、台座、卡盤及/或支座位置、及其他參數的指令。系統控制軟體1058可以任何合適的方式加以配置。例如,可編寫諸多處理工具部件子程式或控制物件來控制依據所揭示方法執行諸多處理工具過程所必需的處理工具部件操作。系統控制軟體1058可以任何合適的電腦可讀程式語言進行編碼。
在一些實施例中,系統控制軟體1058可包含用於控制上述諸多參數的輸入/輸出控制(IOC)排程指令。在一些實施例中,可採用儲存在關聯於系統控制器1050的大量儲存裝置1054及/或記憶體裝置1056上之其他電腦軟體及/或程式。針對此目的的程式或程式片段之實例包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用以將基板裝載至台座1018上並用以控制基板與處理工具1000的其他部件之間之間距的處理工具部件用程式碼。
製程氣體控制程式可包含用於控制氣體組成及流速、且可選地用於在沉積前使氣體流入一或更多製程站以穩定製程站中壓力的編碼。製程氣體控制程式可包含用於在所揭示範圍之任何者內控制氣體組成及流速的編碼。壓力控制程式可包含用於藉由調節例如製程站之排放系統中之節流閥、進入製程站之氣體流量等來控制製程站中壓力的編碼。壓力控制程式可包含用於將製程中的壓力保持在所揭示壓力範圍之任何者內的編碼。
加熱器控制程式可包含用於控制通向用以加熱基板之加熱單元之電流的編碼。或者,加熱器控制程式可控制傳熱氣體(例如氦)往基板的輸送。加熱器控制程式可包含將基板之溫度保持在所揭示範圍之任何者內的指令。
電漿控制程式可包含用於設定施加至一或更多製程站中之製程電極的RF功率位準及頻率的編碼,例如使用本文揭示的RF功率位準之任何者。電漿控制程式亦可包含用於控制每一電漿暴露的持續時間之編碼。
在一些實施例中,可具有與系統控制器1050相關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
在一些實施例中,由系統控制器1050調整的參數可相關於製程條件。非限制性實例包含製程氣體組成及流速、溫度、壓力、電漿條件(例如RF功率位準、頻率及暴露時間)等。這些參數可以配方的形式提供給使用者,該配方可利用使用者介面輸入。
用於監測製程的訊號可藉由系統控制器1050的類比及/或數位輸入連接從諸多製程工具感測器提供。用於控制製程的訊號可在處理工具1000的類比及數位輸出連接上輸出。可受監測的製程工具感測器之非限制性實例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等。經適當編程的反饋及控制演算法可與來自這些感測器的資料一起使用,以維持製程條件。
可將任何合適的腔室用以實施所揭示的實施例。例示沉積設備包含但不限於來自各可從加州Fremont之Lam Research公司取得的ALTUS ®產品系列、VECTOR®產品系列、及/或SPEED®產品系列、或諸多其他商業上可取得之處理系統之任何者的設備。二或更多站可執行相同的功能。類似地,二或更多站可執行不同的功能。各站可設計/配置成根據需要執行特定的功能/方法。
圖11為根據若干實施例適用於進行薄膜沉積製程的處理系統之方塊圖。系統1100包含轉移模組1103。轉移模組1103提供潔淨的加壓環境以使受處理基板在其於不同反應器模組之間移動時的污染風險減至最小。根據若干實施例,安裝在轉移模組1103上的是兩個多站反應器1109及1110,其各者能夠執行原子層沉積(ALD)及/或化學氣相沉積(CVD)。反應器1109及1110可包含多個站1111、1113、1115及1117,該等站可依據所揭示實施例依序或非依序執行操作。該等站可包含加熱的台座或基板支撐件、一或更多氣體入口或噴淋頭或分散板。
同樣安裝在轉移模組1103上的可為一或更多單站或多站模組1107,其能夠執行電漿或化學(非電漿)預清潔、或相關於所揭示方法而敘述的任何其他製程。在一些情形中,模組1107可用於諸多處理,以例如製備用於沉積製程的基板。模組1107亦可設計/配置成執行諸多其他製程,例如蝕刻或拋光。系統1100亦包含一或更多晶圓來源模組1101,其中晶圓係於處理之前及之後儲存。大氣轉移腔室1119中的大氣機器人(未顯示)可首先將晶圓從來源模組1101移除制裝載鎖1121。轉移模組1103中的晶圓傳送裝置(通常為機械臂單元)將晶圓從裝載鎖1121移動至安裝在轉移模組1103上的模組及在該等模組之間移動。
在諸多實施例中,採用系統控制器1129來在沉積期間控制製程條件。控制器1129通常將包含一或更多記憶體裝置及一或更多處理器。處理器可包含CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
控制器1129可控制沉積設備的所有活動。系統控制器1129執行系統控制軟體,包含用於控制特定製程之時序、氣體混合、腔室壓力、腔室溫度、晶圓溫度、射頻(RF)功率位準、晶圓卡盤或台座位置、及其他參數的指令集。在一些實施例中,可採用儲存在與控制器1129相關聯之記憶體裝置上的其他電腦程式。
通常,將有與控制器1129相關聯的使用者介面。使用者介面可包含顯示螢幕、設備及/或製程條件的圖形軟體顯示器、及例如指向裝置、鍵盤、觸控螢幕、麥克風等的使用者輸入裝置。
系統控制邏輯可以任何合適的方式加以配置。通常,邏輯可在硬體及/或軟體中設計或配置。用於控制驅動電路系統的指令可為經硬編碼或作為軟體而提供。指令可藉由「程式設計」而提供。如此程式設計被理解為包含任何形式的邏輯,包括數位訊號處理器、專用積體電路、及具有實施為硬體之特定演算法的其他裝置中的硬編碼邏輯。程式設計亦被理解為包含可在通用處理器上執行的軟體或韌體指令。系統控制軟體可以任何合適的電腦可讀程式語言加以編碼。
用於控制含鍺還原劑脈衝、氫流、及含鎢前驅物脈衝、以及製程序列中之其他製程的電腦程式編碼可以任何習知的電腦可讀程式語言加以編寫:例如,組合語言、C、C++、Pascal、Fortran或其他者。經編譯的目標碼或腳本由處理器執行,以執行程式中所標識的任務。同樣如所指出的,程式碼可為經硬編碼的。
控制器參數相關於例如製程氣體組成及流速、溫度、壓力、冷卻氣體壓力、基板溫度、及腔室壁溫度的製程條件。這些參數係以配方的形式提供給使用者,且可利用使用者介面輸入。用於監測製程的訊號可藉由系統控制器1129的類比及/或數位輸入連接提供。用於控制製程的訊號在沉積設備1100的類比及數位輸出連接上輸出。
系統軟體可以許多不同方式加以設計或配置。舉例而言,根據所揭示實施例,可編寫諸多腔室部件子程式或控制物件來控制執行沉積製程(及在一些情形中的其他製程)所必需的腔室部件操作。針對此目的之程式或程式段的實例包含基板定位編碼、製程氣體控制編碼、壓力控制編碼、及加熱器控制編碼。
在一些實施例中,控制器1129為系統的一部分,該系統可為上述實例的一部分。如此系統可包含半導體處理設備,包括一或更多處理工具、一或更多腔室、一或更多處理用平台、及/或特定的處理部件(晶圓台座、氣流系統等)。這些系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間及之後控制該等電子設備的操作。該等電子設備可稱為「控制器」,其可控制一或更多系統的諸多部件或子部件。取決於處理要求及/或系統類型,控制器1129可經編程以控制本文揭示的製程之任何者,包含處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、一些系統中的射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體輸送設定、位置及操作設定、晶圓轉移進出連接到特定系統或與特定系統介接的工具及其他轉移工具及/或裝載鎖。
廣泛而言,控制器可定義為具有諸多積體電路、邏輯、記憶體、及/或軟體的電子設備,其接收指令、發出指令、控制操作、啟用清潔操作、啟用終點測量等。積體電路可包含儲存程式指令的韌體形式晶片、數位訊號處理器(DSP)、定義為專用積體電路(ASIC)的晶片、及/或一或更多微處理器、或執行程式指令(例如軟體)的微控制器。程式指令可為以諸多單獨設定(或程式檔案)之形式傳送至控制器的指令,其定義用於在半導體晶圓上或針對半導體晶圓或對系統執行特定製程的操作參數。在一些實施例中,操作參數可為製程工程師定義之配方的一部分,用以在晶圓之一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶粒的製造期間完成一或更多處理步驟。
在一些實施例中,控制器可為電腦之一部分或耦合至電腦,該電腦與系統整合、耦合至系統、以其他方式經網路連結至系統、或其組合。舉例而言,控制器可在「雲端」中或晶圓廠主機電腦系統的全部或一部分中,其可容許晶圓處理的遠端存取。電腦可實現對於系統的遠端存取,以監測製造操作的當前進度、檢驗過去製造操作的歷史、檢驗來自多個製造操作的趨勢或性能度量、改變當前處理的參數、將處理步驟設定程依循當前處理、或開始新的製程。在一些實例中,遠端電腦(例如伺服器)可透過網路向系統提供製程配方,該網路可包含區域網路或網際網路。遠端電腦可包含實現參數及/或設定之輸入或編程的使用者介面,該等參數及/或設定接著從遠端電腦傳送至系統。在一些實例中,控制器接收資料形式的指令,該等指令指明待於一或更多操作期間執行的處理步驟之各者的參數。應理解參數可專用於待執行之製程的類型、及控制器被配置成介接或控制的工具之類型。因此,如上所述,控制器可為分散式,例如藉由包含經網路連結且共同目的(例如本文所述製程及控制)運作的一或更多離散控制器。針對如此目的之分散式控制器的實例將為與遠端定位(例如在平台層級或作為遠端電腦之一部分)之一或更多積體電路通信的腔室上的一或更多積體電路,該等積體電路組合以控制腔室上的製程。
在無限制的情況下,例示系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜角邊緣蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子佈植腔室或模組,軌道腔室或模組、以及任何其他可關聯於或用於半導體晶圓之製造及/或製作的半導體處理系統。
如上所述,取決於將由工具執行的一或更多製程步驟,控制器可與下列中一或更多者通信:其他工具電路或模組、其他工具部件、叢集工具、其他工具介面、相鄰工具、鄰近工具、位於工廠各處的工具、主電腦、另一控制器、或在半導體製造工廠中將晶圓容器運送往來工具位置及/或裝載埠的用於材料運輸之工具。 結論
儘管已出於清楚理解之目的而將前述實施例略加詳敘,但將顯而易見的,可在隨附請求項的範圍內實施若干改變及修飾。本文所揭示實施例可在不具有這些具體細節之一些或全部者的情況下實施。在其他情形中,並未詳細敘述眾所周知的製程操作,以免不必要地混淆所揭示的實施例。此外,雖然將結合具體實施例來敘述所揭示的實施例,但吾人將理解,具體實施例並不旨在限制所揭示的實施例。應注意有許多體現本文實施例之製程、系統、及設備的替代方式。因此,應將本文實施例視為說明性而非限制性,且不應將實施例限制於本文提出的細節。
100:圖形 101:氧化物膜 102:氮化物膜 103:基板 105:非晶碳膜 110:圖形 120:圖形 130:圖形 140:圖形 150:圖形 182:操作 184:操作 186:操作 188:操作 190:操作 192:操作 402:模數 404:應力 502:折射率 504:消光係數 602:氫含量 604:硫含量 900:製程站 901:反應物輸送系統 902:製程腔室本體 903:汽化點 904:混合容器 905:噴淋頭入口閥 906:噴淋頭 907:微容積 908:台座 910:加熱器 912:基板 914:RF電源 916:匹配網路 918:蝴蝶閥 920:混合容器入口閥 1000:處理工具 1002:入站裝載鎖 1004:出站裝載鎖 1006:機器人 1008:傳送盒 1010:大氣埠 1012:台座 1014:處理腔室 1016:腔室輸送埠 1018:台座 1050:系統控制器 1052:處理器 1054:大量儲存裝置 1056:記憶體裝置 1058:系統控制軟體 1090:晶圓搬運系統 1100:系統 1101:來源模組 1103:轉移模組 1107:模組 1109:反應器 1110:反應器 1111、1113、1115、1117:站 1119:大氣轉移腔室 1121:裝載鎖 1129:控制器
圖1呈現一例示實施例的操作流程圖。
圖2呈現一例示實施例中蝕刻交替層堆疊的示意圖。
圖3呈現作為SF 6對C 3H 6比率之函數的沉積速率。
圖4-6呈現作為SF 6對C 3H 6比率之函數的諸多薄膜特性之圖表。
圖7及8呈現根據本文諸多實施例的FTIR光譜。
圖9-11為依據所揭示實施例用於執行方法之製程腔室實例的示意圖。
182:操作
184:操作
186:操作
188:操作
190:操作
192:操作

Claims (27)

  1. 一種形成可灰化硬遮罩(AHM)膜的方法,包含: 將一基板暴露於一製程氣體,該製程氣體包含一碳氫化合物前驅物氣體及一沉積增強劑分子;及 使用該製程氣體,藉由一電漿增強化學氣相沉積(PECVD)製程在該基板上沉積該AHM膜。
  2. 如請求項1之方法,其中該沉積增強劑分子為一含氟化合物。
  3. 如請求項1之方法,其中該沉積增強劑分子為SF 6
  4. 如請求項1之方法,其中該碳氫化合物前驅物氣體包含一烯烴。
  5. 如請求項1之方法,其中該碳氫化合物前驅物氣體包含丙烯。
  6. 如請求項1之方法,其中沉積增強劑分子對碳氫化合物前驅物的體積流量比在約0.01至約0.5之間。
  7. 如請求項1之方法,其中該AHM膜係以大於約0.45 μm/分的速率沉積。
  8. 如請求項1之方法,更包含在沉積該AHM膜的期間形成HF。
  9. 如請求項1之方法,其中該製程氣體更包含一惰性氣體。
  10. 如請求項9之方法,其中該惰性氣體為氦、氬、及氮的其中一或更多者。
  11. 如請求項9之方法,其中該製程氣體包含該碳氫化合物前驅物氣體、該沉積增強劑分子、及該惰性氣體。
  12. 如請求項1之方法,其中在沉積該AHM膜時,將該基板定位於一台座上,且該台座具有約20℃與約750℃之間的溫度。
  13. 如請求項1之方法,其中該沉積增強劑分子抑制由與所沉積之該AHM膜中之碳原子鍵結的氫自由基、離子、或兩者引起的蝕刻過程。
  14. 如請求項1之方法,其中該沉積增強劑分子不引起該AHM膜的蝕刻。
  15. 如請求項1之方法,其中該PECVD製程包含點燃由雙射頻(RF)電漿源產生的一電漿,該雙RF電漿源包含一高頻(HF)分量及一低頻(LF)分量。
  16. 如請求項15之方法,其中該HF分量具有約50至約8000W的功率。
  17. 如請求項15之方法,其中該LF分量具有約0至約6000W的功率。
  18. 如請求項1之方法,其中該PECVD製程係於約1至約11托(Torr)的壓力下執行。
  19. 如請求項1-18其中任一項之方法,其中該AHM膜具有約43至約90 GPa的模數。
  20. 如請求項1-18其中任一項之方法,其中該AHM膜為約1 μm與約2 μm之間厚。
  21. 如請求項1-18其中任一項之方法,其中該AHM膜具有約5.3至約8.5 GPa的硬度。
  22. 如請求項1-18其中任一項之方法,其中該AHM膜具有約-100至約-550 MPa的內應力。
  23. 如請求項1-18其中任一項之方法,其中該AHM膜具有約0.45至約0.65的消光係數。
  24. 如請求項1-18其中任一項之方法,其中該AHM膜具有約1.9至約2.2的折射率。
  25. 如請求項1-18其中任一項之方法,其中該AHM膜主要包含碳。
  26. 如請求項1-18其中任一項之方法,其中該AHM膜具有至多約10原子%的氫含量。
  27. 一種形成可灰化硬遮罩(AHM)膜的設備,包含: 一或更多處理腔室,各處理腔室包含一基板支撐件; 進入該處理腔室的一或更多氣體入口及相關聯的流量控制硬體;及 一或更多處理器,其係配置成: 在該一或更多處理腔室之一者中將一基板暴露於一製程氣體,該製程氣體包含一碳氫化合物前驅物氣體及一沉積增強劑分子;及 使用該製程氣體藉由一電漿增強化學氣相沉積(PECVD)製程在該基板上沉積該AHM膜。
TW110135982A 2020-09-29 2021-09-28 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善 TW202233883A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063198108P 2020-09-29 2020-09-29
US63/198,108 2020-09-29

Publications (1)

Publication Number Publication Date
TW202233883A true TW202233883A (zh) 2022-09-01

Family

ID=80950771

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110135982A TW202233883A (zh) 2020-09-29 2021-09-28 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善

Country Status (6)

Country Link
US (1) US20230357921A1 (zh)
JP (1) JP2023544303A (zh)
KR (1) KR20230078590A (zh)
CN (1) CN115917714A (zh)
TW (1) TW202233883A (zh)
WO (1) WO2022072288A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
CN115917714A (zh) 2023-04-04
US20230357921A1 (en) 2023-11-09
JP2023544303A (ja) 2023-10-23
WO2022072288A1 (en) 2022-04-07
KR20230078590A (ko) 2023-06-02

Similar Documents

Publication Publication Date Title
KR102439391B1 (ko) 반도체 디바이스 제조시 주석 옥사이드 박막 스페이서들
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
US20240136153A1 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
TW202233883A (zh) 藉由純化學手段的非晶碳硬遮罩膜的沉積速率改善
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
CN112005339A (zh) 碳膜的原子层沉积
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
TW202409343A (zh) 在非晶形碳膜中的氫減少
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件