KR20230078590A - 순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상 - Google Patents

순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상 Download PDF

Info

Publication number
KR20230078590A
KR20230078590A KR1020227045748A KR20227045748A KR20230078590A KR 20230078590 A KR20230078590 A KR 20230078590A KR 1020227045748 A KR1020227045748 A KR 1020227045748A KR 20227045748 A KR20227045748 A KR 20227045748A KR 20230078590 A KR20230078590 A KR 20230078590A
Authority
KR
South Korea
Prior art keywords
ahm
film
deposition
gas
substrate
Prior art date
Application number
KR1020227045748A
Other languages
English (en)
Inventor
메튜 스콧 웨이머
라게시 푸텐코빌라캄
카푸 시리쉬 레디
친-주이 수
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230078590A publication Critical patent/KR20230078590A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

경쟁 (compete) 에칭 프로세스를 감소시키는 첨가제를 사용하여 고온들에서 기판 상에 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 를 증착하기 위한 방법들 및 관련 장치가 본 명세서에 제공된다. 설퍼 헥사플루오라이드는 발생된 막의 속성들에 대한 최소 변화들과 함께 AHM의 증착 레이트를 개선하도록 사용될 수도 있다.

Description

순수 화학적 수단에 의한 비정질 탄소 하드 마스크 막의 증착 레이트 강화
비정질 탄소 막들은 메모리 및 로직 디바이스 제조를 포함하는 반도체 프로세싱에서 하드 마스크들 및 에칭 정지 층들로서 사용될 수도 있다. 이들 막들은 또한 애싱 기법에 의해 제거될 수도 있기 때문에 애싱 가능한 하드 마스크들 (ashable hard masks; AHMs) 로 공지되었다. 종횡비들이 상승함에 따라, 특히 3D NAND 적용 예들에 대해, AHM들은 더 높은 에칭 선택도 및/또는 두께를 요구한다. 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스들을 사용하여 매우 선택적인 AHM들을 형성하는 현재 방법들은 목표된 두께를 달성하는데 시간 소모적이며, 소유 비용을 증가시킨다.
본 명세서에 포함된 배경기술 및 맥락적 기술들 (contextual descriptions) 은 단지 본 개시 (disclosure) 의 맥락을 일반적으로 제시할 목적으로 제공된다. 본 개시의 많은 부분은 발명자들의 업적을 제시하고, 단순히 이러한 업적이 배경기술 섹션에 기술되거나 본 명세서의 다른 곳에서 맥락으로 제시되기 때문에, 종래기술로 인정된다는 것을 의미하지 않는다.
참조로서 인용
PCT 신청 양식은 본 출원의 일부로서 본 명세서와 동시에 제출되었다.  본 출원이 동시에 제출된 PCT 신청 양식에서 식별된 바와 같이 우선권 또는 이익을 주장하는 출원 각각은 전체가 모든 목적들을 위해 참조로서 인용되었다.
비정질 탄소 막을 증착하는 방법들 및 시스템들이 본 명세서에 개시된다. 본 명세서의 실시 예들의 일 양태에서, 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 막을 형성하는 방법이 제공되고, 방법은: 하이드로카본 전구체 가스 및 증착 인핸서 분자 (deposition enhancer molecule) 를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 프로세스 가스를 사용하는 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 기판 상에 AHM 막을 증착하는 단계를 포함한다. 일부 실시 예들에서, 하이드로카본 전구체는 프로필렌이다. 일부 실시 예들에서, 하이드로카본 전구체에 대한 증착 인핸서 분자의 체적 플로우 비는 약 0.01 내지 약 0.5이다. 일부 실시 예들에서, AHM은 약 0.45 ㎛/min보다 더 큰 레이트로 증착된다. 일부 실시 예들에서, AHM 막을 증착하는 동안 HF를 형성하는 단계를 더 포함한다.
일부 실시 예들에서, 프로세스 가스는 불활성 가스를 더 포함한다. 일부 실시 예들에서, 불활성 가스는 헬륨, 아르곤, 및 질소 중 하나 이상이다. 일부 실시 예들에서, 프로세스 가스는 본질적으로 하이드로카본 전구체, 증착 인핸서 분자, 및 불활성 가스로 구성된다. 일부 실시 예들에서, 반도체 기판은 AHM 막을 증착하는 동안 페데스탈 상에 포지셔닝되고, 그리고 페데스탈은 약 20 ℃ 내지 약 750 ℃의 온도를 갖는다. 일부 실시 예들에서, 증착 인핸서 분자는 증착된 AHM에서 탄소 원자들과 결합하는 수소 라디칼들 및/또는 이온들로부터 발생하는 에칭 프로세스를 억제한다. 일부 실시 예들에서, 증착 인핸서 분자는 AHM 막의 에칭을 유발하지 않는다.
일부 실시 예들에서, AHM은 약 1 ㎛ 내지 약 2 ㎛ 두께이다. 일부 실시 예들에서, PECVD 프로세스는 고 주파수 (High Frequency; HF) 컴포넌트 및 저 주파수 (Low Frequench; LF) 컴포넌트를 포함하는 듀얼 무선 주파수 (Radio Frequency; RF) 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함한다. 일부 실시 예들에서, HF 컴포넌트는 약 50 내지 약 8000 W의 전력을 갖는다. 일부 실시 예들에서, LF 컴포넌트는 약 0 내지 약 6000 W의 전력을 갖는다. 일부 실시 예들에서, PECVD 프로세스는 약 1 Torr 내지 약 11 Torr의 압력에서 수행된다.
일부 실시 예들에서, AHM은 약 43 내지 90 ㎬의 모듈러스를 갖는다. 일부 실시 예들에서, AHM은 약 5.3 내지 약 8.5 ㎬의 경도를 갖는다. 일부 실시 예들에서, AHM은 약 -100 내지 약 -550 ㎫의 내부 응력을 갖는다. 일부 실시 예들에서, AHM은 약 0.45 내지 약 0.65의 흡광 계수 (extinction coefficient) 를 갖는다. 일부 실시 예들에서, AHM은 약 1.9 내지 약 2.2의 굴절률을 갖는다. 일부 실시 예들에서, AHM은 대부분 탄소를 포함한다. 일부 실시 예들에서, AHM은 최대 약 10 at.%의 수소 함량을 갖는다.
본 명세서의 실시 예들의 또 다른 양태에서, AHM 막을 형성하기 위한 장치가 제공되고, 장치는: 각각이 기판 지지부를 포함하는 하나 이상의 프로세스 챔버들; 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및 하나 이상의 프로세스 챔버들 중 하나의 기판을 하이드로카본 전구체 가스 및 증착 인핸서 분자를 포함하는 프로세스 가스에 노출시키고; 그리고 프로세스 가스를 사용하는 PECVD 프로세스에 의해 기판 상에 AHM 막을 증착하도록 구성된 하나 이상의 프로세서들을 포함한다. 일부 실시 예들에서, 하이드로카본 전구체는 프로필렌이다. 일부 실시 예들에서, 하이드로카본 전구체에 대한 증착 인핸서 분자의 체적 플로우 비는 약 0.01 내지 약 0.5이다. 일부 실시 예들에서, AHM은 약 0.45 ㎛/min보다 더 큰 레이트로 증착된다. 일부 실시 예들에서, AHM 막을 증착하는 동안 HF를 형성하는 단계를 더 포함한다.
일부 실시 예들에서, 프로세스 가스는 불활성 가스를 더 포함한다. 일부 실시 예들에서, 불활성 가스는 헬륨, 아르곤, 및 질소 중 하나 이상이다. 일부 실시 예들에서, 프로세스 가스는 본질적으로 하이드로카본 전구체, 증착 인핸서 분자, 및 불활성 가스로 구성된다. 일부 실시 예들에서, 반도체 기판은 AHM 막을 증착하는 동안 페데스탈 상에 포지셔닝되고, 그리고 페데스탈은 약 100 ℃ 내지 약 750 ℃의 온도를 갖는다. 일부 실시 예들에서, 증착 인핸서 분자는 증착된 AHM에서 탄소 원자들과 결합하는 수소 라디칼들 및/또는 이온들로부터 발생하는 에칭 프로세스를 억제한다. 일부 실시 예들에서, 증착 인핸서 분자는 AHM 막의 에칭을 유발하지 않는다. 일부 실시 예들에서, AHM은 적어도 약 1.5 ㎛ 두께이다. 일부 실시 예들에서, PECVD 프로세스는 HF 컴포넌트 및 저 주파수 LF 컴포넌트를 포함하는 듀얼 RF 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함한다. 일부 실시 예들에서, HF 컴포넌트는 약 50 내지 약 8000 W의 전력을 갖는다. 일부 실시 예들에서, LF 컴포넌트는 약 0 내지 약 6000 W의 전력을 갖는다. 일부 실시 예들에서, PECVD 프로세스는 약 1 Torr 내지 약 11 Torr의 압력에서 수행된다.
일부 실시 예들에서, AHM은 약 43 내지 90 ㎬의 모듈러스를 갖는다. 일부 실시 예들에서, AHM은 약 5.3 내지 약 8.5 ㎬의 경도를 갖는다. 일부 실시 예들에서, AHM은 약 -100 내지 약 -550 ㎫의 내부 응력을 갖는다. 일부 실시 예들에서, AHM은 약 0.45 내지 약 0.65의 흡광 계수 (extinction coefficient) 를 갖는다. 일부 실시 예들에서, AHM은 약 1.9 내지 약 2.2의 굴절률을 갖는다. 일부 실시 예들에서, AHM은 대부분 탄소를 포함한다. 일부 실시 예들에서, AHM은 최대 약 10 at.%의 수소 함량을 갖는다.
개시된 실시 예들의 이들 및 다른 특징들은 연관된 도면들을 참조하여 이하에 상세히 기술될 것이다.
도 1은 일 예시적인 실시 예를 위한 동작들의 흐름도를 제시한다.
도 2는 일 예시적인 실시 예에서 교번하는 층들의 에칭 스택들의 개략적인 예시들을 제시한다.
도 3은 SF6 대 C3H6 비의 함수로서 증착 레이트를 제시한다.
도 4 내지 도 6은 SF6 대 C3H6 비의 함수로서 다양한 막 속성들의 차트들을 제시한다.
도 7 및 도 8은 본 명세서의 다양한 실시 예들에 따른 FTIR 스펙트럼들을 제시한다.
도 9 내지 도 11는 개시된 실시 예들에 따른 방법들을 수행하기 위한 프로세스 챔버들의 예들의 개략도들이다.
도입 및 맥락
반도체 디바이스 프로세싱은 3D NAND 구조체들과 같은 다양한 3D 디바이스들의 제조를 위해 사용될 수도 있는 다층 스택들의 형성을 수반한다. 일부 스택들은 유전체 및 전도성 재료의 복수의 교번하는 층들을 포함하고, 이들의 층 각각은 약 10 ㎚ 이상일 수도 있다. 이러한 스택들을 형성하기 위한 일 접근방법은 옥사이드 및 나이트라이드 재료의 복수의 교번 층들의 증착 (ONON 다중 층 증착), 이어서 재료의 선택적인 제거 및 나이트라이드 재료가 이전에 점유하였던 공간들 내로의 금속의 백필 (backfill) 증착을 수반한다. 또 다른 접근방법은 전도성 층으로서 폴리실리콘이 남는 옥사이드 및 폴리실리콘 (또는 본 명세서의 다른 곳에 사용된 바와 같이 "폴리") 의 복수의 교번하는 층들의 스택을 직접적으로 패터닝하는 것이다. 이 방법들은 3D NAND 구조체들을 제조하는데 사용될 수도 있다.
스택의 에칭은 패터닝된 비정질 탄소 막을 사용하여 수행될 수도 있다. 비정질 탄소 막은 또한 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 로 지칭될 수도 있다. 비정질 탄소 층은 스택의 에칭 프로세스 동안 고 선택도를 갖는 하드 마스크로서 적합할 수도 있다. 고 선택도는 특정한 에칭 화학 물질의 맥락에서 결정된다. 특정한 에칭 화학 물질에 대해, 하부 (underlying) 기판, 예를 들어, ONON 층들은 하드 마스크, 예를 들어, 비정질 탄소 층보다 훨씬 더 빠르게 에칭된다. 본 명세서에 기술된 다양한 적용 예들에 대해, 하부 기판은 실리콘 옥사이드, 실리콘 나이트라이드, 및/또는 폴리실리콘을 포함한다.
3D NAND 적용 예들에 대해, 애싱 가능한 하드 마스크들은 탄소 기반일 수도 있고 그리고 약 1.5 ㎛보다 더 두꺼운 두께일 수도 있다. 이러한 두께들은 3D NAND 디바이스들과 같은 일부 메모리 디바이스들을 형성하기 위해 사용된 피처들과 같은 고 종횡비 피처들을 요구하는 적용 예들에 필요할 수도 있다. 때때로, 또는 특정한 실시 예들에서, 본 명세서에 기술된 바와 같이 생성된 비정질 탄소 하드 마스크들을 사용하는 적용 예들은 실리콘 옥사이드와 실리콘 나이트라이드의 교번하는 층들의 스택 또는 폴리실리콘과 실리콘 옥사이드의 교번하는 층들의 스택을 에칭한다. 3D NAND에서 비용들에 대한 큰 요인 (contributor) 는 AHM들을 증착하는 시간이고, 이 시간은 약 0.25 ㎛/min의 레이트에서 그리고 2 ㎛ 두께의 타깃 층에서, 증착에 8 분 이상 걸릴 수도 있다. 따라서, 특히 에칭 선택도를 감소시키지 않고 막 속성들에 대한 최소 변화와 함께 AHM의 증착 레이트를 상승시키는 것이 바람직하다.
도 1은 3D NAND 구조체를 형성하기 위한 방법에 따라 수행된 동작들의 프로세스 흐름도를 도시한다. 동작 (182) 에서, 기판이 제공된다. 다양한 실시 예들에서, 기판은 반도체 기판이다. 기판은 유전체, 전도성 또는 반전도성 재료와 같은 재료의 하나 이상의 층들이 그 위에 증착된 웨이퍼들을 포함하는, 실리콘 웨이퍼, 예를 들어, 200 ㎜ 웨이퍼, 300 ㎜ 웨이퍼, 또는 450 ㎜ 웨이퍼일 수도 있다. 동작 (184) 에서, 교번하는 유전체 층 및 전도성 층의 막 스택이 기판 상에 증착된다. 일부 실시 예들에서, 유전체 층은 옥사이드 층이다. 다양한 실시 예들에서, 증착된 옥사이드 층은 실리콘 옥사이드 층이다. 다양한 실시 예들에서, 전도성 층은 나이트라이드 층, 예를 들어, 실리콘 나이트라이드 층이다. 일부 실시 예들에서, 전도성 층은 폴리실리콘 층이다. 유전체 층 및 전도성 층 각각은 약 10 ㎚ 내지 약 100 ㎚, 또는 일부 실시 예들에서 약 350 Å와 같이, 거의 동일한 두께로 증착된다. 옥사이드 층들은 거의 실온 내지 약 600 ℃의 증착 온도에서 증착될 수도 있다. 본 명세서에 사용된 바와 같은 "증착 온도" (또는 "기판 온도") 는 증착 동안 기판을 홀딩하는 페데스탈이 설정되는 온도를 지칭한다는 것이 이해될 것이다.
교번하는 옥사이드 및 나이트라이드 막 스택을 형성하기 위한 옥사이드 층 및 전도성 층은 원자 층 증착 (atomic layer deposition; ALD), 플라즈마 강화된 원자 층 증착 (plasma enhanced atomic layer deposition; PEALD), 화학적 기상 증착 (chemical vapor deposition; CVD), 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD), 물리적 기상 증착 (physical vapor deposition; PVD), 또는 스퍼터링과 같은 임의의 적합한 기법을 사용하여 증착될 수도 있다. 다양한 실시 예들에서, 옥사이드 층 및 나이트라이드 층은 PECVD에 의해 증착된다.
막 스택은 교번하는 유전체 층과 전도성 층의 48 개 내지 512 개의 층들을 포함할 수도 있고, 이에 따라 유전체 층 또는 전도성 층 각각은 하나의 층을 구성한다. 일부 실시 예들에서, 막 스택은 적용 예에 따라, 교번하는 유전체 층과 전도성 층의 48 개 미만인 층들 또는 512 개를 초과하는 층들을 포함할 수도 있다. 교번하는 옥사이드 층과 나이트라이드 층을 포함하는 막 스택은 ONON 스택으로 지칭될 수도 있다. 기술된 막 스택이 교번하는 옥사이드 층과 나이트라이드 층을 수반할 수도 있지만, 부가적인 층들이 또한 스택에 포함될 수도 있다는 것, 그리고 또한, 다른 재료들이 옥사이드 층이 아니고 나이트라이드 층이 아닌 교번하는 층들에 사용될 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 경우들에서, 실리콘 게르마늄 층이 나이트라이드 층 또는 실리콘 나이트라이드 층 대신 사용될 수도 있다. 스택 상에 있을 수도 있는 다른 부가적인 층들은 실리콘-함유 층들, 게르마늄-함유 층들, 또는 모두를 포함한다. 예시적인 실리콘-함유 층들은 도핑된 실리콘 카바이드 층과 도핑되지 않은 실리콘 카바이드 층, 도핑된 폴리실리콘 층과 도핑되지 않은 폴리실리콘 층, 비정질 실리콘 층들, 도핑된 실리콘 옥사이드 층과 도핑되지 않은 실리콘 옥사이드 층, 및 도핑된 실리콘 나이트라이드 층과 도핑되지 않은 실리콘 나이트라이드 층을 포함한다. 도펀트들은 비금속 도펀트들을 포함할 수도 있다. 예를 들어, 도핑된 실리콘 카바이드 층은 산소-도핑된 실리콘 카바이드이다. 또 다른 예에서, 도핑된 실리콘 카바이드 층은 질소-도핑된 실리콘 카바이드이다. 3D NAND 적용 예들을 위한 층들을 증착하고 에칭하는 것에 대한 추가 논의는 모든 목적들을 위해 본 명세서에 참조로서 인용된, 2019년 9월 10일자로 제출된 출원 PCT US2019/050369에서 찾을 수도 있다.
동작 (186) 에서, 비정질 탄소 막이 기판 상에 형성된다. 비정질 탄소 막은, 비정질 탄소 막을 하부 기판을 에칭하기 위한 마스크로서 적합하게 만드는 본 명세서에 기술된 다양한 속성들을 갖는다. 특정한 적용 예들에 대해, 막은 적어도 약 1 ㎛ 두께이다. 특정한 실시 예들에서, 막은 적어도 약 1.5 ㎛ 두께이다. 특정한 실시 예들에서, 막은 적어도 약 2 ㎛ 두께이다. 일부 실시 예들에서, 막은 약 1 ㎛ 내지 약 2 ㎛ 두께이다. 동작 (188) 에서, 비정질 탄소 막은, 하부 기판의 부분들이 노출되도록 패터닝된다. 패터닝은 예를 들어, 리소그래피 프로세스에 의해 달성될 수도 있다.
동작 (190) 에서, 막 스택이 에칭된다. 사용된 에칭 화학 물질은, 비정질 탄소 막이 막 스택의 층들보다 더 낮은 레이트로 에칭되도록 하부 기판과 비교하여 비정질 탄소 막에 선택적이다. 에칭의 예들은 라디칼-기반 에칭 및/또는 이온-기반 에칭을 포함할 수 있다. 에칭 화학 물질들의 예들은 할로겐-기반 에칭 화학 물질들, 예컨대 불소-함유 에칭 화학 물질, 브롬-함유 에칭 화학 물질, 및 염소-함유 에칭 화학 물질을 포함할 수 있다. 예를 들어, 불화탄소-함유 프로세스 가스들로부터 생성된 용량 커플링 플라즈마들 (capacitively-coupled plasmas) 은 옥사이드 층들을 선택적으로 에칭하도록 사용될 수도 있다. 프로세스 가스들의 구체적인 예들은 선택 가능하게 (optionally) 산소 (O2) 및 불활성 가스를 갖는, CxFy-함유 프로세스 가스들, 예컨대 C4H8/CH2F2/O2/Ar를 포함한다. 특정한 실시 예들에서, 비정질 탄소 층은 에칭 종이 플라즈마에서 생성되는 에칭 프로세스에서 하드 마스크로서 사용된다.
마지막으로, 동작 (192) 에서, 비정질 탄소 막은 예를 들어 애싱, 플라즈마 애싱, 또는 건식 스트립핑으로 지칭되는 기법에 의해 제거된다. 애싱은 산소-풍부 건식 에칭에 의해 수행될 수도 있다. 종종, 산소는, 예를 들어, O2, N2O, 및 NO의 형태로, 진공 하의 챔버 내에 도입되고 그리고 무선 주파수 (Radio Frequency; RF) 전력은 AHM과 반응하고 AHM을 물 (H2O), 일산화탄소 (CO), 및 이산화탄소 (CO2) 로 산화시키기 위해 플라즈마에 산소 라디칼들을 생성한다. 선택 가능하게, 임의의 남아 있는 AHM 잔여물은 또한 애싱 후 습식 에칭 프로세스 또는 건식 에칭 프로세스에 의해 제거될 수도 있다. 결과는 패터닝된 기판 층이다.
도 2는 도 1의 동작 (182) 내지 동작 (192) 의 개략적인 예시들 (100 내지 150) 을 제공한다. 도면 (100) 에서, 기판 (105) 이 제공된다. 기판 (105) 은 상부에 미리 형성된 하나 이상의 층들을 갖는 실리콘 웨이퍼일 수도 있다. 도면 (110) 에서, 옥사이드 막 (101) 과 나이트라이드 막 (102) 의 교번하는 층들은 기판 (105) 상에 증착된다. 도 2에 도시된 구조체는 옥사이드가 먼저 증착되고, 이어서 나이트라이드, 옥사이드, 나이트라이드, 등을 도시하지만, 나이트라이드가 먼저 증착되고 이어서 옥사이드, 나이트라이드, 옥사이드, 등이 증착될 수도 있다는 것을 주의한다. 
도면 (120) 에서, 비정질 탄소 막 (105) 이 옥사이드 막과 나이트라이드 막의 스택의 상단에 증착된다. 이 프로세스의 세부사항들은 본 명세서에서 더 논의된다. 도면 (130) 에서, 비정질 탄소 막 (105) 은 하부 스택의 부분들을 노출시키도록 패터닝된다. 비정질 탄소 막 (105) 의 노출된 부분들은 고 종횡비 피처들이 에칭될 영역들을 규정한다. 도면 (140) 에서, 교번하는 층들의 스택에 다양한 피처들을 형성하기 위해, 비정질 탄소 막 (105) 을 마스크로서 사용하여, 하부 스택이 에칭된다. 도면 (150) 에서, 비정질 탄소 막 (105) 이 제거되고, 다양한 피처들을 갖는 옥사이드 막과 나이트라이드 막의 교번하는 층들의 에칭된 스택을 발생시킨다.
일부 실시 예들에서, 본 명세서에 기술된 바와 같이 AHM을 사용하여 에칭될 피처들은 약 10:1 내지 1000:1의 종횡비를 가질 수도 있다. 일부 실시 예들에서, 피처들의 개구 사이즈는 지름으로 (across) 약 20 내지 100 ㎚를 포함할 수도 있다.
증착 프로세스
비정질 탄소 하드 마스크들을 증착하기 위한 특정한 프로세스들은 프로필렌과 같은 하이드로카본일 수도 있는 탄소 전구체를 채용한다. 일부 경우들에서, 하이드로카본 전구체는 상대적으로 높은 탄소 대 수소 비를 갖는다. 일부 실시 예들에서, 프로필렌은 중합하고 샤워헤드들의 홀들을 막고 증착 챔버의 민감한 컴포넌트들 상에 증착하는 경향이 더 낮기 때문에 유리한 탄소 전구체이다. 프로필렌은 또한 본 명세서에 기술된 바와 같이 프로세스들에 채용된 더 높은 압력들 및 온도들에서 안전 문제들에 유리할 수도 있다.
프로필렌 또는 다른 적합한 탄소-함유 전구체에 더하여, 프로세스는 아르곤, 헬륨, 질소, 또는 이들 중 임의의 조합과 같은 불활성 또는 화학적으로 비반응성 가스를 채용할 수도 있다.
종래의 프로세스들은 고 품질 비정질 탄소 층들을 생성할 수도 있지만, 예를 들어, 이러한 막들을 상대적으로 느리게 분 당 약 0.25 ㎛의 레이트로 생성한다. 본 명세서에 기술된 고 종횡비 에칭 적용 예들 중 일부 (예를 들어, 1.5 ㎛ 이상의 하드 마스크 두께를 요구하는 적용 예들) 에 요구되는 바와 같이 상대적으로 두꺼운 막을 증착할 때, 이 상대적으로 느린 증착 레이트는 프로세스의 쓰루풋 (throughput) 에 부정적인 영향을 주며 따라서 비용들을 상승시킬 수 있다. 따라서, 비교적 고 품질의 층을 생성하되 더 신속하게 생성하는 프로세스를 채용하는 것이 바람직할 수도 있다.
층을 더 신속하게 증착하는 일 방식은 프로세스 가스, 특히 프로필렌 또는 다른 탄소-함유 전구체의 반응 물질들의 플로우 레이트를 상승시키는 것이다. 그러나, 단순히 플로우 레이트를 상승시키는 것은 고 종횡비 피처들을 에칭하기 위해 비정질 탄소 하드 마스크에서 바람직한 고 밀도, 우수한 에칭 선택도, 저 수소 함량, 및 적합한 기계적 속성들과 같은 적합한 속성들의 막을 반드시 생성하는 것은 아니다. 따라서, 탄소 전구체 플로우 레이트를 상승시키는 것이 막 증착 레이트를 상승시킬 수도 있지만, 막 품질이 더 두꺼운 전체 층을 생성하기 위해 추가 (extra) 탄소 하드 마스크 층을 증착하지 않고 목표된 에칭 특성들을 제공하고 그리고 층을 생성하는 시간의 감소를 제공하기에 불충분할 수도 있다.
층을 더 신속하게 증착하는 또 다른 방식은 증착 동안 AHM의 에칭을 억제하는 것이다. 증착 레이트에 대한 요인은 증착 프로세스 동안 발생하는 경쟁적인 에칭 프로세스이다. 일반적으로, 탄소 전구체들은 하드 마스크의 표면 상에 증착되는 탄소 원자들과 상호 작용할 수도 있는 수소 라디칼들 또는 이온들을 생성할 수 있고, 예를 들어, 메탄 또는 다른 휘발성 생성물들의 생성을 야기하고, 궁극적으로 탄소 마스크를 에칭하고 순 (net) 증착 레이트를 느리게 한다.
본 발명자들은 본 명세서에서 설퍼 헥사플루오라이드 (sulfur hexafluoride; SF6) 과 같은 "증착 인핸서 분자들 (deposition enhancer molecules)"로 지칭되는, 프로세스 가스에 특정한 반응 물질들을 첨가하는 것이 에칭 프로세스의 레이트를 감소시켜서, 증착 레이트의 순 상승을 야기한다는 것을 발견하였다. 이론에 얽매이지 않고, SF6가 탄소 전구체들 및/또는 수소 라디칼들과 반응하여 SF5 및 HF를 형성할 수도 있고, 이는 하드 마스크를 에칭하지 않고 프로세스 챔버로부터 배출될 수도 있다고 여겨진다. HF의 생성은 수소 라디칼들의 존재를 감소시키고, 경쟁 (compete) 에칭 프로세스를 억제시키고 따라서 증착의 전체 레이트를 상승시킨다.
본 발명자들은 또한 SF6가 탄소 전구체의 소모를 증가시킬 수도 있고, 발생된 막 내로 궁극적으로 증착되는 탄소 이온들의 생성을 증가시킬 수도 있다는 것을 발견하였다. 이하의 표 1은 증착 레이트 및 다양한 막 속성들에 대한 SF6의 효과를 예시한다.
SF6가 증착 레이트 및 AHM 막 속성들에 영향을 주는 방법을 예시함.
SF6 플로우 (sccm) 증착 레이트 (Å/min) R/2 NU% 633 ㎚에서의 중심 RI 633 ㎚에서의 중심 k 응력 (㎫) 경도 (㎬) 모듈러스 (㎬)
0 3525 8.0 2.065 0.44 -145 5.3 (±0.1) 50.4 (±0.5)
100 4520 6.4 2.112 0.44 -105 5.1 (±0.1) 45.3 (±0.5)
200 4825 3.7 2.150 0.41 -105 4.8 (±0.1) 43.2 (±0.5)
300 6060 72.5 2.056 0.54 NA 5.1 (±0.1) 43.6 (±0.5)
상기 표에 도시된 바와 같이, SF6의 플로우를 0에서 200 sccm으로 증가시키는 것은 증착 레이트의 약 37 % 상승을 발생시키고, 모듈러스 및 경도는 각각 약 15 % 및 10 % 감소한다. 300 sccm의 SF6 플로우는 증착 레이트의 추가 상승을 발생시키지만, 절반-범위 균일도 (R/2 NU%) 의 상당한 감소 및 흡광 계수 (extinction coefficient) k의 상승을 발생시킨다. SF6의 300 sccm 플로우는 고 불균일도를 발생시키지만, 이는 실험이 수행된 툴의 한계들의 결과로 여겨지고, 그리고 이 SF6의 300 sccm 이상의 플로우들은 당업자가 이해하는 바와 같은 적절한 수정들과 함께 300 sccm 미만과 같은 유사한 균일도를 갖는 발생된 막에 의해 증착 레이트를 더 상승시키기 위해 사용될 수도 있다. 상기 표의 모든 증착된 막들에 대한 다른 프로세스 조건들은: 550 ℃의 페데스탈 온도, 5 Torr의 압력, 1500 sccm C3H6의 플로우, 13.56 ㎒에서의 6000 W, 및 400 ㎑에서의 3450 W를 포함한다. 도 3은 표 1에 기초한 SF6 대 C3H6 플로우 비의 함수로서 증착 레이트의 차트를 제공한다. 프로세스 가스 내 SF6의 비율이 상승함에 따라, 플로우 레이트가 상승하고, 이는 바람직하다.
프로세스 윈도우
다양한 실시 예들에서, 비정질 탄소 막의 증착 동안 레이트 부스팅 (boost) 첨가제가 프로세스 가스에 첨가된다. 일부 실시 예들에서, 레이트 부스팅 첨가제는 설퍼 헥사플루오라이드이다. 특정한 실시 예들에서, 증착 프로세스는 약 0.01 내지 약 0.5의 체적 (대략 몰) 비의 설퍼 헥사플루오라이드 및 프로필렌을 포함한다. 특정한 실시 예들에서, 증착 프로세스는 약 0.05 내지 약 0.15의 체적 (대략 몰) 비의 설퍼 헥사플루오라이드 및 프로필렌을 포함한다.
특정한 실시 예들에서, 증착 프로세스는 약 0.03 내지 약 0.5의 체적 (대략 몰) 비의 불활성 또는 화학적으로 비반응성 가스 (예를 들어, Ar, He, 및/또는 N2) 및 프로필렌을 포함한다. 특정한 실시 예들에서, 증착 프로세스는 약 0.15 내지 약 0.25의 체적 (대략 몰) 비의 불활성 또는 화학적으로 비반응성 가스 및 프로필렌을 포함한다.
특정한 실시 예들에서, 증착 프로세스 가스는 약 3 % 내지 약 50 %의 프로필렌 또는 다른 하이드로카본 전구체, 약 0.3 내지 약 25 %의 설퍼 헥사플루오라이드, 및 약 25 내지 약 97.7 %의 불활성 또는 화학적으로 비반응성 가스를 갖는다. 모든 백분율들은 체적 또는 몰 기준이다. 특정한 실시 예들에서, 증착 프로세스 가스는 약 15 % 내지 약 25 %의 프로필렌 또는 다른 하이드로카본 전구체, 약 1.5 내지 약 12.5 %의 설퍼 헥사플루오라이드, 및 약 62.5 % 내지 약 83.5 %의 불활성 또는 화학적으로 비반응성인 가스를 갖는다. 특정한 실시 예들에서, 불활성 또는 화학적으로 비반응성인 가스는 아르곤, 질소, 및/또는 헬륨이다.
일부 실시 예들에서, 프로세스 가스는 프로필렌 및/또는 다른 탄소-함유 전구체들, 불활성 가스, 및 헥사플루오라이드로 구성된다. 일부 실시 예들에서, 프로세스 가스는 본질적으로 프로필렌 및/또는 다른 탄소-함유 전구체들, 불활성 가스, 및 헥사플루오라이드로 구성된다.
일부 실시 예들에서, 하이드로카본 전구체는 화학식 CxHy에 의해 규정된 전구체이고, 여기서 x는 2 내지 10의 정수이고, 그리고 y는 2 내지 24의 정수이다. 예들은 메탄 (CH4), 아세틸렌 (C2H2), 에틸렌 (C2H4), 프로필렌 (C3H6), 부탄 (C4H10), 사이클로헥산 (C6H12), 벤젠 (C6H6), 및 톨루엔 (C7H8) 을 포함한다. 특정한 실시 예들에서, 프로세스 가스는 단독으로 또는 선택 가능하게 하나 이상의 부가적인 하이드로카본 전구체들과 조합하여 프로필렌을 포함한다. 특정한 실시 예들에서, 하이드로카본 전구체는 하나 이상의 수소 원자들이 할로겐, 특히 불소, 염소, 브롬, 및/또는 요오드로 대체되는, 할로겐화된 하이드로카본이다. 일부 실시 예들에서, 하이드로카본 전구체는 적어도 1:2의 C:H 비를 갖는다. 일부 실시 예들에서, 2 개 이상의 하이드로카본 전구체들이 사용될 수도 있다. 일부 실시 예들에서, 하이드로카본 전구체는 알켄, 예를 들어, 프로필렌일 수도 있다. 일부 실시 예들에서, 하이드로카본 전구체는 알킨, 예를 들어, 아세틸렌일 수도 있다.
본 명세서는 주로 AHM 막들에 대한 증착 인핸서 분자로서 SF6를 식별하지만, 일부 실시 예들에서, 증착 인핸서 분자는 초원자가 (hypervalent) 할로겐 화합물이다. 일부 실시 예들에서, 증착 인핸서 분자는 초원자가 플루오라이드 또는 초원자가 클로라이드이다. 초원자가 플루오라이드들 및 초원자가 클로라이드들은 초원자가 설퍼 플루오라이드들 (SF6, SF5), 초원자가 포스포로어스 클로라이드들 또는 초원자가 플루오라이드들 (예를 들어, PCl5 또는 PF5), 및 크세논 플루오라이드들 (예를 들어, XeF2, XeF4, XeF6) 을 포함할 수도 있다. 일부 실시 예들에서, SF6 대신 또는 SF6에 부가하여, 프로세스 가스는 초원자가 포스포로어스 클로라이드들 또는 초원자가 플루오라이드들, 또는 크세논 플루오라이드들 중 하나 이상을 포함할 수도 있다 (희 가스 (noble gas) 화합물로서, 크세논 플루오라이드들은 크세논이 8 개의 전자들로 시작하기 때문에 초원자가 화합물로 간주될 수도 있는 것을 주의해야 함). 일부 실시 예들에서, 증착 인핸서 분자는 SF6, 초원자가 포스포로어스 클로라이드들 또는 플루오라이드들, 크세논 플루오라이드들, 및 이들의 임의의 조합들로 구성된 그룹으로부터 선택된다. 일부 실시 예들에서, 증착 인핸서 분자는 불소-함유 화합물 또는 염소-함유 화합물일 수도 있다. 일부 실시 예들에서, 증착 인핸서 분자는 AHM 막의 증착 동안 수소 이온들 및/또는 라디칼들과 반응한다. 증착 인핸서 분자는 AHM 막에 어떠한 종도 실질적으로 증착하지 않는 동안 본 명세서에 기술된 바와 같은 경쟁 수소 에칭 프로세스를 감소시킬 수도 있다.
일부 실시 예들에서, 프로세스 챔버 내 압력은 약 1 내지 약 15 Torr, 약 2.3 내지 약 10.7 Torr, 또는 통상적으로 약 5 Torr일 수도 있다. 일부 실시 예들에서, 고 주파수 (13.56 ㎒ 전력) 는 4-스테이션 구성에 대해 약 50 내지 약 8000 W, 약 400 내지 약 4000 W, 또는 약 6000 W일 수도 있다. 일부 실시 예들에서, 저 주파수 (400 ㎑ 전력) 는 4-스테이션 구성에 대해 약 0 내지 약 6000 W, 약 900 내지 약 4000 W, 또는 약 3450 W일 수도 있다.
다른 맥락들에서, 증착 온도가 더 높을수록, 비정질 탄소 막에 더 적은 수소가 존재한다는 것이 관찰되었다. 더 적은 양의 수소가 하드 마스크 적용 예들에 바람직하기 때문에, 온도는 종종 가능한 높게 만들어진다. 일부 실시 예들에서, 페데스탈 온도는 약 20 ℃ 내지 약 750 ℃, 또는 최대 약 650 ℃, 또는 약 550 ℃ 내지 약 650 ℃, 또는 약 650 ℃일 수도 있다. 특정한 실시 예들에서, 적어도 약 400 ℃, 또는 적어도 약 450 ℃이다. 특정한 실시 예들에서, 적어도 약 500 ℃이다. 650 ℃보다 훨씬 더 높은 온도들이 챔버 내 아크와 같은 바람직하지 않은 플라즈마 결과들을 생성할 수도 있다는 것이 관찰되었다.
증착된 막은 웨이퍼의 면에 걸쳐 상대적으로 균일해야 한다. 증착된 막의 균일도 또는 불균일도의 상대적인 양은 필수적으로 비정질 탄소 층의 조성의 본질적인 속성보다는, 비정질 탄소 층을 증착하도록 사용된 프로세스 조건들의 강함수 (strong function) 이다.
프로세스 메커니즘
이론에 얽매이려 하지 않고, 탄소-함유 전구체로부터 비정질 탄소 층들의 증착 레이트는 적어도 2 개의 경로들에 의해 영향을 받는다고 여겨진다.
제 1 경로는 적어도 하나의 수소 원자를 스트립핑 오프함으로써 (strip off) 탄소 전구체, 예를 들어, 프로필렌의 활성화를 수반한다. 아세틸렌이 반응 중간물 (intermediate) 일 가능성이 가장 높다고 여겨진다. 즉, 어떤 방식으로, 프로필렌은 아세틸렌으로 변환된다. 그 후, 아세틸렌은 기판 표면 상에 비정질 탄소 층을 생성하도록 반응한다.
증착 레이트에 영향을 주는 제 2 경로는, 플라즈마에서 생성된 수소 라디칼들 및/또는 수소 이온들이 증착 탄소 막과 상호 작용하고 탄소-수소 결합들을 형성하는, 경쟁 에칭 프로세스이다. 충분한 수소가 주어진 탄소 원자에 부착될 때, 이는 챔버로부터 흐르는 휘발성 메탄 또는 다른 경질 (light) 하이드로카본들을 형성하고, 그렇지 않으면 비정질 탄소 하드 마스크를 구축하는데 사용될 탄소 원자를 취한다.
따라서, 비정질 탄소 하드 마스크의 증착은 프로필렌으로부터 비정질 탄소로의 증착 경로와 경쟁 수소-매개된 에칭 반응 사이의 균형이다.
이론에 얽매이려 하지 않고, 설퍼 헥사플루오라이드가 이들 경로들 모두에 영향을 준다고 여겨진다. 이는 플라즈마에서 수소와 반응하고 성장하는 막을 에칭하지 않는 불화 수소를 형성하는 것으로 보인다. HF는 또한 불소가 RBS 또는 고체 상태 FTIR에 의해 발생된 막에서 발견되지 않기 때문에 증착 종으로 간주되지 않는다. 따라서, 설퍼 헥사플루오라이드의 존재는 경쟁 수소-매개 에칭 프로세스를 감소시킬 수도 있다.
이 점에서 다소 놀랍게도, 설퍼 헥사플루오라이드는 자체적으로 증착되는 비정질 탄소 막을 에칭하지 않거나 적어도 상당히 에칭한다는 것이 발견되었다. 설퍼 헥사플루오라이드는 집적 회로 제조 산업에서 에천트 가스로서 널리 사용된다. 놀랍게도, 증착된 탄소 층, 설퍼 헥사플루오라이드 및 프로필렌의 부재 시, 본 명세서에서 사용되기를 목표로 하는 프로세스 가스는 카본 헥사플루오라이드 (carbon hexafluoride) 를 형성하도록 반응한다는 것이 발견되었다. 이 결과는 널리 사용되는 에천트 가스인 설퍼 헥사플루오라이드가 형성되는 비정질 탄소 하드 마스크와 반응하여 이를 에칭할 것이라는 것을 암시할 수도 있다. 그러나, 그렇지 않다.
도 7은 SF6 단독 (실선들) 및 Ar/He를 갖는 SF6 (점선들) 에 대해 오버레이된 (overlay) 가스상 FTIR 스펙트럼들을 나타낸다. SF6 단독에 대해 약 1000의 큰 피크, 뿐만 아니라 다른 곳의 피크들의 부재는 SF6 단독이 플라즈마의 존재 시 해리되지 않는다는 것을 나타낸다.
점선들은 Ar/He를 갖는 SF6를 제시하고, 3600 이상의 수 많은 피크들은 HF의 생성을 제시하며, 이는 SF6가 아르곤, 헬륨, 질소, 또는 C3H6와 같은 캐리어 가스의 존재 시 해리될 것이고, C3H6는 플라즈마에서 이온들 또는 반응성 중성자들로 해리될 수도 있는 것을 나타낸다.
더욱이, SiF4 및 CF4가 생성되었다는 신호를 보내는 피크들이 있다. 본 발명자들은 SiF4 및 CF4의 존재가 이 실험이 수행된 챔버 내에 남아 있는 잔류 탄소 및 실리콘으로부터 발생한다고 믿는다. 이러한 생성물들, 뿐만 아니라 HF의 존재는 부가적으로 SF6가 아르곤 및 헬륨 플라즈마의 존재 시 해리되었다는 것을 나타낸다. SiF4 및 CF4의 존재는 또한 에칭 프로세스를 나타내며, SF6는 일반적으로 AHM 증착을 위해 사용되고 일반적으로 바람직하지 않다. 이것에만 기초하여, 이 스펙트럼은 SF6가 증착 동안 AHM 막으로부터 탄소를 에칭할 것이라는 것을 나타낼 것이다.
도 8은 Ar/He 및 SF6를 갖는 C3H6에 대한 가스상 FTIR 스펙트럼으로부터 감산된 (subtract) Ar/He를 갖는 C3H6에 대한 가스상 FTIR 스펙트럼들을 나타낸다. 포지티브 강도들은 SF6의 도입에 따른 화학적 종의 증가를 나타내는 한편, 네거티브 강도들은 화학적 종의 감소를 나타낸다. 예기치 않게, 상기 표 1에 이미 나타낸 바와 같이, SF6를 첨가하는 것은 막의 에칭을 발생시키지 않는다. SF6의 첨가는 C3H6의 양을 감소시키고, 이는 더 많은 프로필렌의 소모를 나타낸다. 이는 HF를 형성하도록 SF6와 반응하는 C3H5 및 수소의 반응성 중성자들을 형성하도록 플라즈마에서 해리되는 프로필렌에 의해 유발될 수도 있다. SF6는 수소를 소모하는 싱크 (sink) 로서 작용하여, 3600 이상의 피크들로 제시된 HF의 상승을 유발한다. SF6는 도 8의 스펙트럼들에서 큰 피크를 갖기 때문에, 증착 프로세스 동안 완전히 소모되지 않을 수도 있다.
더욱이, 아세틸렌과 연관된 포지티브 피크들은 프로필렌의 더 큰 소모를 암시한다. 아세틸렌은 증착 동안 프로필렌으로부터의 중간 생성물로 간주되고 FTIR 판독 값들을 취할 때 배기 라인에서 쉽게 추적될 수 있다. 따라서, 아세틸렌이 증착 종으로 변환될 수도 있지만, 아세틸렌의 존재는 상승된 증착 레이트를 나타내는 C3H6의 증가된 해리를 나타낸다.
더욱이, SF6 및 Ar/He는 SiF4 및 CF4와 연관된 피크들을 나타내지만, 도 7을 참조하면, 여기에 이러한 피크들은 없다. 이는 HF를 형성하기 위해 SF6와 반응하는 프로필렌으로부터의 수소 라디칼들 및 이온들로부터 발생하여, SiF4 또는 CF4를 형성하기 위해 불소가 탄소 또는 실리콘을 에칭하는 것을 억제하는 것으로 생각된다. 따라서, 도 7의 스펙트럼들은 SF6가 탄소 막을 에칭할 것이라는 것을 나타낼 것이지만, 도 8은 프로필렌의 존재 시 SF6가 CF4를 생성하지 않고 따라서 에칭을 증가시키기보다는 막의 에칭을 억제한다는 것을 입증한다.
막 속성들
고 종횡비 패터닝은 고 에칭 선택도를 갖는 AHM들을 사용한다. 중요한 것은, 에칭 선택도를 유지하는 동안 증착 레이트를 상승시키는 것은 IC 제조에 사용된 AHM 막에 대해 더 낮은 소유 비용을 허용하며, 이는 바람직하다. 일부 실시 예들에서, 증착 레이트는 적어도 약 3500 Å/min, 적어도 약 4500 Å/min, 또는 약 3500 내지 약 6000 Å/min이다.
에칭 선택도는 AHM 층의 에칭 레이트를 하부 층과 비교함으로써 결정될 수 있다. 에칭 선택도는 때때로 AHM 층의 수소 함량, 굴절률 (Refractive Index; RI), 밀도, 및 영의 모듈러스 (Young's modulus) 또는 강도를 결정함으로써 근사화될 수 있다. 통상적으로, 더 낮은 수소 함량의, 더 높은 RI의, 더 높은 밀도의, 그리고 더 높은 모듈러스의 또는 더 강성인 (rigid) AHM는 이온 충돌 (ion bombardment) 을 수반하는 에칭 프로세스에서 더 높은 에칭 레이트들을 견딜 수 있다. 따라서, 더 낮은 수소 함량, 더 높은 RI, 더 높은 밀도, 및/또는 더 높은 모듈러스를 갖는 AHM들은 더 높은 선택도 및 더 낮은 에칭 레이트를 갖고 그리고 고 종횡비 반도체 프로세스들을 프로세싱하는 것에 대해 더 효율적이고 효과적으로 사용될 수 있다. AHM의 목표된 에칭 선택도는 에칭 프로세스 및 하부 층들의 조성에 종속될 수도 있지만, 에칭 프로세스 또는 하부 층들의 조성에 관계 없이 에칭 선택도와 상기 재료 속성들 사이의 상관관계는 동일하게 유지된다. 본 명세서에 기술된 바와 같은 선택도 상관관계들은 폴리실리콘 층들, 옥사이드 층들, 및 나이트라이드 층들을 포함하는 모든 타입들의 하부 층들에 적용된다.
개시된 방법들에 따라 생성된 AHM 막들은 통상적으로 주로 탄소 및 수소로 구성되지만, 다른 원소들이 막 내에 존재할 수도 있다. 일부 실시 예들에서, 탄소 농도는 적어도 약 70 원자%이다. AHM 막에 존재할 수도 있는 다른 원소들의 예들은 할로겐들, 질소, 황, 붕소, 산소, 텅스텐, 티타늄, 및 알루미늄을 포함한다. 통상적으로, 이러한 다른 원소들은 약 10 원자% 이하의 양으로 존재한다. 일부 실시 예들에서, 수소 농도는 최대 약 28 at.%, 최대 약 25 at.%, 또는 최대 약 10 at.%이다.
증착된 비정질 탄소 층은 상대적으로 높은 밀도를 가져야 한다. 특정한 실시 예들에서, 비정질 탄소 층은 약 1.65 내지 약 1.85 g/㎤의 밀도를 갖는다. 특정한 실시 예들에서, 비정질 탄소 층은 약 5.0 내지 약 8.5 ㎬의 경도를 갖는다.
밀도는 체적 당 질량의 단위들로 규정되지만, 밀도의 직접적인 측정들은 항상 쉽게 이용 가능한 것은 아니다. 그러나, 일부 경우들에서, 더 쉽게 측정 가능한 속성들은 밀도에 대한 프록시로서 역할을 할 수도 있다. 이러한 속성 중 하나는 모듈러스이다. 일부 실시 예들에서, 비정질 탄소 층은 약 40 내지 약 90 ㎬, 약 60 내지 약 85 ㎬, 또는 약 90 내지 약 175 ㎬의 모듈러스를 갖는다.
증착된 비정질 탄소 층의 상대적으로 낮은 내부 응력은 다양한 실시 예들에 적합하다. 상대적으로 낮은 내부 응력은 막이 웨이퍼 내로 보우 (bow) 또는 휨 (warpage) 을 도입할 가능성이 적다는 것을 암시한다. 특정한 실시 예들에서, 비정질 탄소 층은 약 -100 내지 약 -550 ㎫ 또는 약 -75 내지 약 -150 ㎫의 내부 응력을 갖는다 (음의 응력은 압축성이다 (compressive)).
특정한 실시 예들에서, 비정질 탄소 층은 다이아몬드-유사 탄소와 비교하여 상대적으로 높은 함량의 흑연-유사 탄소를 갖는다. 비정질 탄소 층은 sp3 결합들과 비교하여 sp2 결합들의 상대적으로 높은 결합 함량을 가져야 한다. 특정한 실시 예들에서, 비정질 탄소 층은 약 5 % 내지 약 30 % 또는 약 10 % 내지 약 15 %의 sp2 함량을 갖고, 비정질 탄소 층의 나머지는 다이아몬드-유사 sp3 결합들을 갖는다.
비정질 탄소 층은 EM 스펙트럼의 광학 영역에서 흡광 계수 k를 특징으로 할 수도 있다. 흡광 계수는 sp2 결합 및 sp3 결합의 상대적인 양에 대한 프록시일 수도 있다. 상대적으로 높은 흡광 계수는 측정의 파장에서 더 어둡고 불투명한 재료를 암시한다. 즉, 633 ㎚에서 상대적으로 높은 흡광 계수는 막 내 상대적으로 높은 함량의 흑연 함량을 암시한다. 일부 실시 예들에서, 흡광 계수는 약 0.40 내지 약 0 70 또는 약 0.45 내지 약 0.65이다. 특정한 실시 예들에서, 비정질 탄소 층은 약 1.9 내지 약 2.2 또는 2.0 내지 약 2.1의 굴절률을 갖는다.
예들
도 4 내지 도 6은 SF6 플로우 비가 상승함에 따라 증착된 비정질 탄소 막의 속성들의 변화를 보여주는 다양한 차트들을 제공한다. 도 4 내지 도 6의 값들은 상기 표 1의 값들이다.
도 4는 SF6 대 C3H6 플로우 비의 함수로서 모듈러스 (402) 및 응력 (404) 의 차트를 제공한다. 일반적으로, 더 높은 모듈러스가 바람직하지만, 모듈러스의 8 ㎬이하의 감소는 AHM에 대한 전체 소유 비용을 감소시키기 위해 증착 레이트의 상승을 위해 적당하고 수용 가능한 것으로 간주된다. 더욱이, 막들의 응력은 SF6를 사용하여 약간 더 중립이 되고, 이는 일반적으로 라인 균일도를 감소시킬 AHM의 뒤틀림 (warping) 을 감소시키는데 바람직하다.
도 5는 SF6 대 C3H6 플로우 비의 함수로서 굴절률 (502) 및 흡광 계수 (504) 의 차트를 제공한다. 일반적으로, 굴절률의 변화는 명목상이고 (nominal) 오차 이내로 간주되고, 따라서 SF6의 첨가는 굴절률을 상당히 상승시키지 않는다. 유사하게, 흡광 계수는 SF6가 프로세스 가스 플로우에 첨가될 때 약간의 변화를 갖지만, SF6는 흡광 계수를 상당히 증가시키지 않는다.
도 6은 SF6 대 C3H6 플로우 비의 함수로서 수소 함량 (602) 및 황 함량 (604) 의 차트를 제공한다. 명백할 수도 있는 바와 같이, 수소 함량에 대한 측정된 모든 값들은 오차의 기준 내에 있고, 이는 SF6의 존재가 발생된 막의 수소 함량을 상승시키지 않고 증착 레이트를 상승시킨다는 것을 나타내며, 이는 바람직하다. 한편, 황 함량은 SF6의 100 sccm 증가 당 약 0.1 % 상승하지만, 이 변화는 발생된 막의 에칭 선택도에 영향을 주지 않는 것으로 간주된다.
도 4 내지 도 6에 예시된 바와 같이, SF6를 첨가하면 모듈러스와 응력이 감소한다. 더 중립인 응력은 뒤틀림을 감소시키는데 유리하다. 모듈러스 및 수소 함량은 막 성능에 대해 강하게 상관되지만, 본 명세서에 기술된 막들과 같이 더 낮은 수소 함량에서, 모듈러스는 더 높은 수소 함량에서만큼 강하게 에칭 선택도와 상관되지 않는다.
굴절률은, 다른 것들 중에서, 불투명한, 흑연-유사 sp2 결합들과 비교하여 투명한 다이아몬드-유사 sp3 결합들의 양을 나타내므로, 밀도에 대한 프록시이다. 여기서, RI의 변화는 막 속성들에 대해 허용 가능한 범위 내이다. 흡광 계수는 막 내 흑연 유사 결합 및 다이아몬드 유사 결합의 양과 상관된다. 막의 수소 또는 황 함량은 흡광 계수를 감소시킨다.
장치
실시 예들은 플라즈마 강화된 화학적 기상 증착 (PECVD) 반응기에서 구현될 수 있다. 이러한 반응기는 많은 상이한 형태들을 취할 수도 있다. 다양한 실시 예들은 기존의 반도체 프로세싱 장비―특히, Lam Research Corporation으로부터 입수 가능한 SequelTM 또는 VectorTM 반응기 챔버들과 같은 PECVD 반응기들―와 호환 가능하다. 다양한 실시 예들은 멀티-스테이션 또는 단일 스테이션 툴 상에서 구현될 수도 있다. 특정한 실시 예들에서, 4-스테이션 증착 스킴 (scheme) 을 갖는 300 ㎜ Lam VectorTM 툴 또는 6-스테이션 증착 스킴을 갖는 200 ㎜ SequelTM 툴이 사용된다. 본 명세서에 기술된 프로세스 파라미터들은 300 ㎜ 기판들 상에 증착하는 4-스테이션 챔버에 대한 것이지만, 적합한 조정들이 더 많거나 더 적은 스테이션들 및 더 크거나 더 작은 기판들에 대해 사용될 수도 있다.
일반적으로, 장치는 각각 하나 이상의 스테이션들을 포함하는 하나 이상의 챔버들 또는 반응기들을 포함할 것이다. 챔버들은 하나 이상의 웨이퍼들을 하우징할 (house) 것이고 웨이퍼 프로세싱에 적합하다. 하나 이상의 챔버들은 회전, 진동, 또는 다른 교반을 방지함으로써 규정된 포지션 또는 포지션들에 웨이퍼를 유지한다. 일부 실시 예에서, AHM 증착을 겪는 웨이퍼는 프로세스 동안 챔버 내에서 일 스테이션으로부터 또 다른 스테이션으로 이송된다. 예를 들어, 2000 ㎚ AHM 증착은 일 스테이션에서 전적으로 일어날 수도 있고, 또는 500 ㎚의 막이 다양한 실시 예들에 따라 4 개의 스테이션들 각각에서 증착될 수도 있다. 대안적으로, 총 막 두께의 임의의 다른 부분 (fraction) 이 임의의 수의 스테이션들에 증착될 수도 있다. 2 개 이상의 AHM이 증착되는 다양한 실시 예들에서, 2 개 이상의 스테이션이 AHM 층 각각을 증착하도록 사용될 수도 있다. 프로세싱 동안, 웨이퍼 각각은 페데스탈, 웨이퍼 척 및/또는 다른 웨이퍼 홀딩 장치에 의해 제자리에 홀딩된다. 웨이퍼가 가열되는 특정한 동작들을 위해, 장치는 가열 플레이트와 같은, 히터를 포함할 수도 있다.
도 9는 플라즈마 강화된 화학적 기상 증착 (PECVD) 을 사용하여 재료를 증착하도록 사용될 수도 있는 프로세스 스테이션 (900) 의 일 실시 예를 개략적으로 도시한다. 간략함을 위해, 프로세싱 스테이션 (900) 은 저압 분위기를 유지하기 위한 프로세스 챔버 바디 (902) 를 갖는 독립형 프로세스 스테이션으로서 도시된다. 그러나, 복수의 프로세스 스테이션들 (900) 이 공통 프로세스 툴 환경에 포함될 수도 있다는 것이 이해될 것이다. 또한, 일부 실시 예들에서, 이하에 상세히 논의된 하드웨어 파라미터들을 포함하는, 프로세스 스테이션 (900) 의 하나 이상의 하드웨어 파라미터들이 하나 이상의 컴퓨터 제어기들에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
프로세스 스테이션 (900) 은 분배 샤워헤드 (906) 로 프로세스 가스들을 전달하기 위해 반응 물질 전달 시스템 (901) 과 유체로 연통한다 (fluidly communicate). 반응 물질 전달 시스템 (901) 은 샤워헤드 (906) 로 전달을 위해, 프로세스 가스들을 블렌딩 (blend) 및/또는 컨디셔닝하기 (condition) 위한 혼합 용기 (mixing vessel) (904) 를 포함한다. 하나 이상의 혼합 용기 유입구 밸브들 (920) 은 프로세스 가스들의 혼합 용기 (904) 로의 도입을 제어할 수도 있다. 유사하게, 샤워헤드 유입구 밸브 (905) 는 샤워헤드 (906) 로의 프로세스 가스들의 도입을 제어할 수도 있다.
예를 들어, 도 9의 실시 예는 혼합 용기 (904) 로 공급될 액체 반응 물질을 기화시키기 위한 기화 지점 (903) 을 포함한다. 일부 실시 예들에서, 기화 지점 (903) 은 가열된 기화기일 수도 있다. 이러한 기화기들로부터 생성된 반응 물질 증기가 다운스트림 (downstream) 전달 파이핑 (piping) 에서 응결될 수도 있다. 응결된 반응 물질로의 양립할 수 없는 가스들의 노출은 작은 입자들을 생성할 수도 있다. 이들 작은 입자들은 파이핑을 막고 (clog), 밸브 동작을 방해하고 (impede), 기판들을 오염시키는, 등을할 수도 있다. 이들 문제들을 해결하기 위한 일부 접근법들은 잔류 반응 물질을 제거하기 위해 전달 파이핑을 스윕핑 (sweep) 및/또는 배기하는 것을 수반한다. 그러나, 전달 파이핑을 스윕핑하는 것은 프로세스 스테이션 사이클 시간을 증가시킬 수도 있어, 프로세스 스테이션 쓰루풋을 저하시킨다. 따라서, 일부 실시 예들에서, 기화 지점 (903) 의 다운스트림 전달 파이프는 열 추적될 (heat trace) 수도 있다. 일부 예들에서, 혼합 용기 (904) 는 또한 열 추적될 수도 있다. 일 비제한적인 예에서, 기화 지점 (903) 의 다운스트림 파이프는 혼합 용기 (904) 에서 대략 100 ℃로부터 대략 150 ℃로 연장하는, 상승하는 온도 프로파일을 갖는다.
일부 실시 예들에서, 반응 물질 액체는 액체 주입기에서 기화될 수도 있다. 예를 들어, 액체 주입기는 액체 반응 물질의 펄스들을 혼합 용기의 업스트림 (upstream) 의 캐리어 가스 스트림 내로 주입할 수도 있다. 일 시나리오에서, 액체 주입기는 더 높은 압력으로부터 더 낮은 압력으로 액체를 플래싱함으로써 (flash) 반응 물질을 기화시킬 수도 있다. 또 다른 시나리오에서, 액체 주입기는 가열된 전달 파이프 내에서 후속하여 기화되는 분산된 (disperse) 마이크로액적들 (microdroplets) 로 액체를 원자화할 수도 있다. 더 작은 액적들은 더 큰 액적들보다 더 빠르게 기화될 수도 있고, 액체 주입과 완전한 기화 사이의 지연을 감소시킨다는 것이 인식될 것이다. 더 빠른 기화는 기화 지점 (903) 으로부터 다운스트림의 파이프 길이를 감소시킬 수도 있다. 일 시나리오에서, 액체 주입기는 혼합 용기 (904) 에 바로 장착될 수도 있다. 또 다른 시나리오에서, 액체 주입기는 샤워헤드 (906) 에 직접 장착될 수도 있다.
일부 실시 예들에서, 기화 지점 (903) 의 업스트림의 액체 유량 제어기 (liquid flow controller; LFC) 가 기화 및 프로세스 스테이션 (900) 으로의 전달을 위해 액체의 질량 유량 (mass flow) 를 제어하기 위해 제공될 수도 있다. 예를 들어, LFC는 LFC의 다운스트림에 위치된 열적 질량 유량 미터 (mass flow meter; MFM) 를 포함할 수도 있다. 이어서 LFC의 플런저 (plunger) 밸브가 MFM과 전기적으로 통신하는 PID (proportional-integral-derivative) 제어기에 의해 제공된 피드백 제어 신호들에 응답하여 조정될 수도 있다. 그러나, 이는 피드백 제어를 사용하여 액체 플로우를 안정화시키기 위해 1 초 이상 소요될 수도 있다. 이는 액체 반응 물질을 도즈하기 (dose) 위한 시간을 연장할 수도 있다. 따라서, 일부 실시 예들에서, LFC는 피드백 제어 모드와 직접 제어 모드 사이에서 동적으로 스위칭될 수도 있다. 일부 실시 예들에서, LFC는 LFC 및 PID 제어기의 센싱 튜브를 디스에이블함으로써 (disable) 피드백 제어 모드로부터 직접 제어 모드로 동적으로 스위칭될 수도 있다.
샤워헤드 (906) 는 기판 (912) 을 향해 프로세스 가스들을 분배한다. 도 9에 도시된 실시 예에서, 기판 (912) 은 샤워헤드 (906) 밑에 위치되고, 페데스탈 (908) 상에 놓인 것으로 도시된다. 샤워헤드 (906) 는 임의의 적합한 형상을 가질 수도 있고, 기판 (912) 으로 프로세스 가스들을 분배하기 위해 임의의 적합한 수 및 배열의 포트들을 가질 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 마이크로볼륨 (microvolume) (907) 이 샤워헤드 (906) 밑에 위치된다. 프로세스 스테이션의 전체 볼륨이 아니라 마이크로볼륨에서 ALD 및/또는 CVD 프로세스를 수행하는 것은 반응 물질 노출 및 스윕핑 시간들을 감소시킬 수도 있고, 프로세스 조건들 (예를 들어, 압력, 온도, 등) 을 변경하기 위한 시간들을 감소시킬 수도 있고, 프로세스 스테이션 로봇들의 프로세스 가스들로의 노출을 제한할 수도 있는, 등을 할 수도 있다. 예시적인 마이크로볼륨 사이즈들은 이로 제한되는 것은 아니지만, 0.1 리터 내지 2 리터의 체적들을 포함한다. 이 마이크로볼륨은 또한 생산성 쓰루풋에 영향을 준다. 사이클 당 증착 레이트가 떨어지지만, 사이클 시간 또한 동시에 감소한다. 특정한 경우들에서, 후자의 효과는 미리 결정된 타깃 두께의 막에 대한 모듈의 전체 쓰루풋을 개선하기에 충분히 극적이다.
일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 을 마이크로볼륨 (907) 에 노출시키고 그리고/또는 마이크로볼륨 (907) 의 체적을 가변시키도록 상승되거나 하강될 수도 있다. 예를 들어, 기판 이송 페이즈에서, 페데스탈 (908) 은 기판 (912) 으로 하여금 페데스탈 (908) 상으로 로딩되게 하도록 하강될 수도 있다. 증착 프로세스 페이즈 동안, 페데스탈 (908) 은 마이크로볼륨 (907) 내에 기판 (912) 을 포지셔닝하도록 (position) 상승될 수도 있다. 일부 실시 예들에서, 마이크로볼륨 (907) 은 증착 프로세스 동안 고 플로우 (high flow) 임피던스 (impedance) 의 영역을 생성하도록 페데스탈 (908) 의 일부뿐만 아니라 기판 (912) 을 완전히 인클로징할 (enclose) 수도 있다.
선택 가능하게, 페데스탈 (908) 은 마이크로볼륨 (907) 내에서 프로세스 압력, 반응 물질 농도, 등을 조절하기 (modulate) 위해 증착 프로세스의 부분들 동안 하강 및/또는 상승될 수도 있다. 프로세스 챔버 바디 (902) 가 증착 프로세스 동안 기준 압력으로 유지되는 일 시나리오에서, 페데스탈 (908) 을 하강시키는 것은 마이크로볼륨 (907) 으로 하여금 배기되게 할 수도 있다. 마이크로볼륨 대 프로세스 챔버 체적의 예시적인 비들은 이로 제한되는 것은 아니지만, 1:900 내지 1:10의 체적 비들을 포함한다. 일부 실시 예들에서, 페데스탈 높이는 적합한 컴퓨터 제어기에 의해 프로그램적으로 조정될 수도 있다는 것이 인식될 것이다.
또 다른 시나리오에서, 페데스탈 (908) 의 높이를 조정하는 것은 플라즈마 밀도로 하여금 증착 프로세스에 포함된 플라즈마 활성화 및/또는 처리 사이클들 동안 가변되게 할 수도 있다. 증착 프로세스 페이즈의 종료 시, 페데스탈 (908) 은 페데스탈 (908) 로부터 기판 (912) 의 제거를 허용하도록 또 다른 기판 이송 페이즈 동안 하강될 수도 있다.
본 명세서에 기술된 예시적인 마이크로볼륨 변동들이 높이 조정 가능한 페데스탈을 참조하지만, 일부 실시 예들에서, 샤워헤드 (906) 의 포지션은 마이크로볼륨 (907) 의 체적을 가변시키도록 페데스탈 (908) 에 대해 조정될 수도 있다는 것이 인식될 것이다. 또한, 페데스탈 (908) 및/또는 샤워헤드 (906) 의 수직 포지션은 본 개시의 범위 내의 임의의 적합한 메커니즘에 의해 가변될 수도 있다는 것이 인식될 것이다. 일부 실시 예들에서, 페데스탈 (908) 은 기판 (912) 의 배향을 회전시키기 위한 회전 축을 포함할 수도 있다. 일부 실시 예들에서, 이들 예시적인 조정들 중 하나 이상이 하나 이상의 적합한 컴퓨터 제어기들에 의해 프로그램적으로 수행될 수도 있다는 것이 인식될 것이다.
도 9에 도시된 실시 예를 다시 참조하면, 샤워헤드 (906) 및 페데스탈 (908) 은 플라즈마에 전력을 공급하기 위해 RF 전력 공급부 (914) 및 매칭 네트워크 (916) 와 전기적으로 통신한다. 일부 실시 예들에서, 플라즈마 에너지는 프로세스 스테이션 압력, 가스 농도, RF 소스 전력, RF 소스 주파수 및 플라즈마 전력 펄스 타이밍 중 하나 이상을 제어함으로써 제어될 수도 있다. 예를 들어, RF 전력 공급부 (914) 및 매칭 네트워크 (916) 는 목표된 조성의 라디칼 종을 갖는 플라즈마를 형성하도록 임의의 적합한 전력에서 동작될 수도 있다. 적합한 전력들의 예들은 상기에 포함되었다. 유사하게, RF 전력 공급부 (914) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부 실시 예들에서, RF 전력 공급부 (914) 는 고주파수 RF 전력 소스 및 저주파수 RF 전력 소스를 서로 독립적으로 제어하도록 구성될 수도 있다. 예시적인 저주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 50 ㎑ 내지 700 ㎑의 주파수들을 포함할 수도 있다. 예시적인 고주파수 RF 주파수들은, 이로 제한되는 것은 아니지만, 1.8 ㎒ 내지 2.45 ㎓의 주파수들을 포함할 수도 있다. 임의의 적합한 파라미터들은 표면 반응들을 위한 플라즈마 에너지를 제공하도록 이산적으로 (discretely) 또는 연속적으로 (continuously) 조절될 수도 있다는 것이 인식될 것이다. 일 비제한적인 예에서, 플라즈마 전력은 연속적으로 전력 공급된 플라즈마들에 대해 기판 표면과의 이온 충돌을 감소시키도록 간헐적으로 펄싱될 수도 있다.
일부 실시 예들에서, 플라즈마는 하나 이상의 플라즈마 모니터들에 의해 인 시츄 모니터링될 수도 있다. 일 시나리오에서, 플라즈마 전력은 하나 이상의 전압 센서들, 전류 센서들 (예를 들어, VI 프로브들) 에 의해 모니터링될 수도 있다. 또 다른 시나리오에서, 플라즈마 밀도 및/또는 프로세스 가스 농도는 하나 이상의 광 방출 분광법 (optical emission spectroscopy; OES) 센서들에 의해 측정될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들은 이러한 인 시츄 플라즈마 모니터들로부터의 측정 값들에 기초하여 프로그램적으로 조정될 수도 있다. 예를 들어, OES 센서는 플라즈마 전력의 프로그램적 제어를 제공하기 위해 피드백 루프 (feedback loop) 내에서 사용될 수도 있다. 일부 실시 예들에서, 다른 모니터들이 플라즈마 및 다른 프로세스 특성들을 모니터링하도록 사용될 수도 있다는 것이 인식될 것이다. 이러한 모니터들은 이로 제한되는 것은 아니지만, 적외선 (IR) 모니터들, 음향 모니터들 및 압력 트랜스듀서들 (transducers) 을 포함할 수도 있다.
일부 실시 예들에서, 플라즈마는 입력/출력 제어 (input/output control; IOC) 시퀀싱 인스트럭션들을 통해 제어될 수도 있다. 일 예에서, 플라즈마 프로세스 페이즈에 대한 플라즈마 조건들을 설정하기 위한 인스트럭션들은 증착 프로세스 레시피의 대응하는 플라즈마 활성화 레시피 페이즈에 포함될 수도 있다. 일부 경우들에서, 프로세스 레시피 페이즈들은 증착 프로세스 페이즈에 대한 모든 인스트럭션들이 해당 프로세스 페이즈와 동시에 실행되도록 순차적으로 배열될 수도 있다. 일부 실시 예들에서, 하나 이상의 플라즈마 파라미터들을 설정하기 위한 인스트럭션들은 플라즈마 프로세스 페이즈에 선행하는 레시피 페이즈에 포함될 수도 있다. 예를 들어, 제 1 레시피 페이즈는 불활성 가스 및/또는 하이드로카본 전구체 가스의 플로우 레이트를 설정하기 위한 인스트럭션들, 플라즈마 생성기를 전력 설정점으로 설정하기 위한 인스트럭션들 및 제 1 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 2, 후속 레시피 페이즈는 플라즈마 생성기를 인에이블 하기 (enable) 위한 인스트럭션들 및 제 2 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 제 3 레시피 페이즈는 플라즈마 생성기를 디스에이블하기 위한 인스트럭션들 및 제 3 레시피 페이즈에 대한 시간 지연 인스트럭션들을 포함할 수도 있다. 이들 레시피 페이즈들은 본 개시의 범위 내에서 임의의 적합한 방식으로 더 세분되고 그리고/또는 반복될 수도 있다는 것이 인식될 것이다.
일부 실시 예들에서, 페데스탈 (908) 은 히터 (910) 를 통해 온도 제어될 수도 있다. 또한, 일부 실시 예들에서, 증착 프로세스 스테이션 (900) 에 대한 압력 제어가 버터플라이 밸브 (918) 에 의해 제공될 수도 있다. 도 9의 실시 예에 도시된 바와 같이, 버터플라이 밸브 (918) 는 다운스트림 진공 펌프 (미도시) 에 의해 제공된 진공을 쓰로틀한다 (throttle). 그러나, 일부 실시 예들에서, 프로세스 스테이션 (900) 의 압력 제어는 또한 프로세스 스테이션 (900) 으로 도입된 하나 이상의 가스들의 플로우 레이트를 가변시킴으로써 조정될 수도 있다.
도 10은 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 을 갖는 멀티-스테이션 프로세싱 툴 (1000) 의 일 실시 예의 개략도를 도시하고, 인바운드 로드 록 (1002) 및 아웃바운드 로드 록 (1004) 중 하나 또는 모두는 리모트 플라즈마 소스를 포함할 수도 있다. 대기압에서 로봇 (1006) 은, 카세트로부터 포드 (pod) (1008) 를 통해 로딩된 웨이퍼들을 대기 포트 (1010) 를 통해 인바운드 로드 록 (1002) 으로 이동시키도록 구성된다. 웨이퍼는 인바운드 로드 록 (1002) 내의 페데스탈 (1012) 상에 로봇 (1006) 에 의해 배치되고, 대기 포트 (1010) 는 폐쇄되고, 로드 록은 펌핑 다운된다 (pump down). 인바운드 로드 록 (1002) 이 리모트 플라즈마 소스를 포함하면, 웨이퍼는 프로세싱 챔버 (1014) 내로 도입되기 전에 로드 록 내에서 리모트 플라즈마 처리에 노출될 수도 있다. 또한, 웨이퍼는 또한 예를 들어, 수분 및 흡착된 가스들을 제거하기 위해 인바운드 로드 록 (1002) 내에서 가열될 수도 있다. 다음에, 프로세싱 챔버 (1014) 로의 챔버 이송 포트 (1016) 가 개방되고, 또 다른 로봇 (미도시) 이 프로세싱을 위한 반응기 내에 도시된 제 1 스테이션의 페데스탈 상의 반응기 내로 웨이퍼를 배치한다. 도 10에 도시된 실시 예는 로드 록들을 포함하지만, 일부 실시 예들에서, 프로세스 스테이션 내로 웨이퍼의 직접 진입이 제공될 수도 있다는 것이 인식될 것이다.
도시된 프로세싱 챔버 (1014) 는 도 10에 도시된 실시 예에서 1 내지 4로 번호가 붙여진, 4 개의 프로세스 스테이션들을 포함한다. 스테이션 각각은 가열된 페데스탈 (스테이션 1에 대해 (1018) 로 도시됨), 및 가스 라인 유입구들을 갖는다. 일부 실시 예들에서, 프로세스 스테이션 각각이 상이한 목적들 또는 복수의 목적들을 가질 수도 있다는 것이 인식될 것이다. 도시된 프로세싱 챔버 (1014) 는 4 개의 스테이션들을 포함하지만, 본 개시에 따른 프로세싱 챔버는 임의의 적합한 수의 스테이션들을 가질 수도 있다는 것이 이해될 것이다. 예를 들어, 일부 실시 예들에서, 프로세싱 챔버는 5 개 이상의 스테이션들을 가질 수도 있는 반면, 다른 실시 예들에서 프로세싱 챔버는 3 개 이하의 스테이션들을 가질 수도 있다.
도 10은 또한 프로세싱 챔버 (1014) 내에서 웨이퍼들을 이송하기 위한 웨이퍼 핸들링 시스템 (1090) 의 일 실시 예를 도시한다. 일부 실시 예들에서, 웨이퍼 핸들링 시스템 (1090) 은 다양한 프로세스 스테이션들 사이 그리고/또는 프로세스 스테이션과 로드 록 사이에서 웨이퍼들을 이송할 수도 있다. 임의의 적합한 웨이퍼 핸들링 시스템이 채용될 수도 있다는 것이 인식될 것이다. 비제한적인 예들은 웨이퍼 캐로절들 (carousels) 및 웨이퍼 핸들링 로봇들을 포함한다. 도 10은 또한 프로세스 툴 (1000) 의 프로세스 조건들 및 하드웨어 상태들을 제어하도록 채용된 시스템 제어기 (1050) 의 일 실시 예를 도시한다. 시스템 제어기 (1050) 는 하나 이상의 메모리 디바이스들 (1056), 하나 이상의 대용량 저장 디바이스들 (1054), 및 하나 이상의 프로세서들 (1052) 을 포함할 수도 있다. 프로세서 (1052) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어 보드들, 등을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 는 프로세스 툴 (1000) 의 모든 액티비티들을 제어한다. 시스템 제어기 (1050) 는 대용량 저장 디바이스 (1054) 에 저장되고 메모리 디바이스 (1056) 내로 로딩되어 프로세서 (1052) 상에서 실행되는 시스템 제어 소프트웨어 (1058) 를 실행한다. 시스템 제어 소프트웨어 (1058) 는 타이밍, 가스의 혼합물, 챔버 및/또는 스테이션 압력, 챔버 및/또는 스테이션 온도, 퍼지 조건들 및 타이밍, 웨이퍼 온도, RF 전력 레벨들, RF 주파수들, 기판 페데스탈, 척 및/또는 서셉터 (susceptor) 포지션, 및 프로세스 툴 (1000) 에 의해서 수행된 특정한 프로세스의 다른 파라미터들을 포함할 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 (subroutines) 또는 제어 객체들은 개시된 방법들에 따른 다양한 프로세스 툴 프로세스들을 수행하기 위해 필요한 프로세스 툴 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (1058) 는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
일부 실시 예들에서, 시스템 제어 소프트웨어 (1058) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 입력/출력 제어 (IOC) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (1050) 와 연관된 대용량 저장 디바이스 (1054) 및/또는 메모리 디바이스 (1056) 에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시 예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램 및 플라즈마 제어 프로그램을 포함한다.
기판 포지셔닝 프로그램은 페데스탈 (1018) 상에 기판을 로딩하고 기판과 프로세스 툴 (1000) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들에 대한 프로그램 코드를 포함할 수도 있다.
프로세스 가스 제어 프로그램은 가스 조성 및 플로우 레이트들을 제어하기 위한 코드 및 선택 가능하게 프로세스 스테이션 내 압력을 안정화시키기 위해 증착 전에 하나 이상의 프로세스 스테이션들 내로 가스를 흘리기 위한 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 임의의 개시된 범위들 내에서 가스 조성 및 플로우 레이트들을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램이 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브를 조절함으로써, 프로세스 스테이션 내 압력, 프로세스 스테이션 내로 가스 플로우, 등을 제어하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 임의의 개시된 압력 범위들 내에서 프로세스 스테이션의 압력을 유지하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 기판을 가열하는 데 사용되는 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 기판으로 (헬륨과 같은) 열 전달 가스의 전달을 제어할 수도 있다. 히터 제어 프로그램은 임의의 개시된 범위들 내에서 기판의 온도를 유지하기 위한 인스트럭션들을 포함할 수도 있다.
플라즈마 제어 프로그램은 예를 들어 본 명세서에 개시된 임의의 RF 전력 레벨들을 사용하여, 하나 이상의 프로세스 스테이션들에서 프로세스 전극들에 인가된 RF 전력 레벨들 및 주파수들을 설정하기 위한 코드를 포함할 수도 있다. 플라즈마 제어 프로그램은 또한 플라즈마 노출 각각의 지속 기간을 제어하기 위한 코드를 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시 예들에서, 시스템 제어기 (1050) 에 의해 조정된 파라미터들은 프로세스 조건들에 관련될 수도 있다. 비제한적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, (RF 전력 레벨들, 주파수, 및 노출 시간과 같은) 플라즈마 조건들, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (1050) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (1000) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비제한적인 예들은 질량 플로우 제어기들, (마노미터들 (manometers) 과 같은) 압력 센서들, 열전대들 (thermocouples), 등을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 프로세스 조건들을 유지하기 위해 이들 센서들로부터 데이터와 함께 사용될 수도 있다.
임의의 적합한 챔버가 개시된 실시 예들을 구현하는 데 사용될 수도 있다. 예시적인 증착 장치들은 이로 제한되는 것은 아니지만, California, Fremont 소재의 Lam Research Corp.로부터 각각 입수 가능한 ALTUS® 제품군, VECTOR® 제품군, 및/또는 SPEED® 제품군으로부터의 장치, 또는 임의의 다양한 다른 상업적으로 입수 가능한 프로세싱 시스템들을 포함한다. 스테이션들 중 2개 이상이 동일한 기능들을 수행할 수도 있다. 유사하게, 2 개 이상의 스테이션들은 상이한 기능들을 수행할 수도 있다. 스테이션 각각은 목표된 바와 같이 특정한 기능/방법을 수행하도록 설계/구성될 수 있다.
도 11은 특정한 실시 예들에 따른 박막 증착 프로세스들을 수행하기에 적합한 프로세싱 시스템의 블록도이다. 시스템 (1100) 은 이송 모듈 (1103) 을 포함한다. 이송 모듈 (1103) 은 다양한 반응기 모듈들 사이에서 이동될 때 프로세싱될 기판들의 오염 위험을 최소화하도록 클린, 가압된 분위기를 제공한다. 이송 모듈 (1103) 상에 특정한 실시 예들에 따라 ALD 및/또는 CVD를 각각 수행할 수 있는, 2 개의 멀티-스테이션 반응기들 (1109 및 1110) 이 장착된다. 반응기들 (1109 및 1110) 은 개시된 실시 예들에 따라 순차적으로 또는 비순차적으로 동작들을 수행할 수도 있는 복수의 스테이션들 (1111, 1113, 1115, 및 1117) 을 포함할 수도 있다. 스테이션들은 가열된 페데스탈 또는 기판 지지부, 하나 이상의 가스 유입구들 또는 샤워헤드 또는 분산 (dispersion) 플레이트를 포함할 수도 있다.
또한, 플라즈마 또는 화학적 (비플라즈마) 사전-세정들, 또는 개시된 방법들과 관련하여 기술된 임의의 다른 프로세스들을 수행할 수 있는 하나 이상의 단일 스테이션 모듈들 또는 멀티-스테이션 모듈들 (1107) 이 이송 모듈 (1103) 상에 장착될 수도 있다. 모듈 (1107) 은 일부 경우들에서, 예를 들어, 증착 프로세스를 위해 기판을 준비하도록 다양한 처리들에 사용될 수도 있다. 모듈 (1107) 은 또한 에칭 또는 폴리싱과 같은 다양한 다른 프로세스들을 수행하도록 설계/구성될 수도 있다. 시스템 (1100) 은 또한 웨이퍼들이 프로세싱 전후에 저장되는, 하나 이상의 웨이퍼 소스 모듈들 (1101) 을 포함한다. 대기 이송 챔버 (1119) 의 대기 로봇 (미도시) 가 소스 모듈들 (1101) 로부터 로드 록들 (loadlocks) (1121) 로 웨이퍼들을 먼저 제거할 수도 있다. 이송 모듈 (1103) 의 웨이퍼 이송 디바이스 (일반적으로 로봇 암 유닛) 가 로드 록들 (1121) 로부터 이송 모듈 (1103) 상에 장착된 모듈들로 그리고 이들 사이에 웨이퍼들을 이동시킨다.
다양한 실시 예들에서, 시스템 제어기 (1129) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (1129) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서가 CPU 또는 컴퓨터, 아날로그 입력/출력 연결부 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
제어기 (1129) 는 증착 장치의 모든 액티비티들을 제어할 수도 있다. 시스템 제어기 (1129) 는 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 웨이퍼 온도, 무선 주파수 (RF) 전력 레벨들, 웨이퍼 척 또는 페데스탈 포지션, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는, 시스템 제어 소프트웨어를 실행한다. 일부 실시 예들에서 제어기 (1129) 와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 제어기 (1129) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
시스템 제어 로직은 임의의 적합한 방식으로 구성될 수도 있다. 일반적으로, 로직은 하드웨어 및/또는 소프트웨어로 구성되거나 설계될 수 있다. 구동 회로를 제어하기 위한 인스트럭션들은 하드 코딩될 (hard code) 수도 있고 또는 소프트웨어로서 제공될 수도 있다. 인스트럭션들은 "프로그래밍"에 의해 제공될 수도 있다. 이러한 프로그래밍은 디지털 신호 프로세서들, 주문형 집적 회로들 (application-specific integrated circuits) 및 하드웨어로서 구현된 특정한 알고리즘들을 갖는 다른 디바이스들에 하드 코딩된 로직을 포함하는, 임의의 형태의 로직을 포함하는 것으로 이해된다. 프로그래밍은 또한 범용 프로세서 상에서 실행될 수도 있는 소프트웨어 또는 펌웨어 인스트럭션들을 포함하는 것으로 이해된다. 시스템 제어 소프트웨어는 임의의 적합한 컴퓨터 판독 가능 프로그래밍 언어로 코딩될 수도 있다.
프로세스 시퀀스의 게르마늄-함유 환원제 펄스들, 수소 플로우 및 텅스텐-함유 전구체 펄스들, 그리고 다른 프로세스들을 제어하기 위한 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독 가능 프로그래밍 언어: 예를 들어, 어셈블리어 (assembly language), C, C++, Pascal, Fortran, 또는 다른 언어들로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램에서 식별된 태스크들을 수행하도록 프로세서에 의해 실행된다. 또한 나타낸 바와 같이, 프로그램 코드는 하드 코딩될 수도 있다.
제어기 파라미터들은 예컨대, 예를 들어, 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 냉각 가스 압력, 기판 온도 및 챔버 벽 온도와 같은, 프로세스 조건들에 관련된다. 이들 파라미터들은 레시피의 형태로 사용자들에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수도 있다. 프로세스를 모니터링하기 위한 신호들은 시스템 제어기 (1129) 의 아날로그 입력 연결부 및/또는 디지털 입력 연결부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 증착 장치 (1100) 의 아날로그 출력 연결부 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계될 수도 있거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들은 개시된 실시 예들에 따른 증착 프로세스들 (및 일부 경우들에서, 다른 프로세스들) 을 수행하기 위해 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 프로세스 가스 제어 코드, 압력 제어 코드 및 히터 제어 코드를 포함한다.
일부 구현 예들에서, 제어기 (1129) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자 장치들과 통합될 수도 있다. 전자 장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들 (subparts) 을 제어할 수도 있는, "제어기"로서 지칭될 수도 있다. 제어기 (1129) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정 (예를 들어, 가열 및/또는 냉각), 압력 설정, 진공 설정, 전력 설정, 일부 시스템들에서 무선 주파수 (RF) 생성기 설정, RF 매칭 회로 설정, 주파수 설정, 플로우 레이트 설정, 유체 전달 설정, 포지션 및 동작 설정, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자 장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), 주문형 집적 회로들 (ASICs) 로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 수행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정들 (또는 프로그램 파일들) 의 형태로 제어기와 통신하는 또는 시스템과 통신하는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들 및/또는 웨이퍼의 다이들 (dies) 의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합인 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 팹 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는, 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 이산 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 일 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 (spin-rinse) 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, 물리적 기상 증착 (physical vapor deposition; PVD) 챔버 또는 모듈, 화학적 기상 증착 (chemical vapor deposition; CVD) 챔버 또는 모듈, 원자 층 증착 (atomic layer deposition; ALD) 챔버 또는 모듈, 원자 층 에칭 (atomic layer etch; ALE) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈 및 반도체 웨이퍼들의 제작 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상기 주지된 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.
결론
전술한 실시 예들이 이해의 명확성의 목적들을 위해 일부 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다는 것이 자명할 것이다. 본 명세서에 개시된 실시 예들은 이들 구체적인 세부사항들 중 일부 또는 전부 없이 실시될 수도 있다. 다른 예들에서, 잘 공지된 프로세스 동작들은 개시된 실시 예들을 불필요하게 모호하게 하지 않기 위해 상세히 기술되지 않았다. 또한, 개시된 실시 예들이 구체적인 실시 예들과 함께 기술될 것이지만, 특정한 실시 예들은 개시된 실시 예들을 제한하는 것으로 의도되지 않았다는 것이 이해될 것이다. 본 실시 예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시 예들은 예시적이고, 제한적이지 않은 것으로 간주될 것이며, 실시 예들은 본 명세서에 주어진 세부사항들로 한정되지 않을 것이다.

Claims (27)

  1. 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 막을 형성하는 방법에 있어서,
    하이드로카본 전구체 가스 및 증착 인핸서 분자 (deposition enhancer molecule) 를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및
    프로세스 가스를 사용하는 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 상기 기판 상에 AHM 막을 증착하는 단계를 포함하는, AHM 막을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 증착 인핸서 분자는 불소-함유 화합물인, AHM 막을 형성하는 방법.
  3. 제 1 항에 있어서,
    상기 증착 인핸서 분자는 SF6인, AHM 막을 형성하는 방법.
  4. 제 1 항에 있어서,
    상기 하이드로카본 전구체는 알켄을 포함하는, AHM 막을 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 하이드로카본 전구체 가스는 프로필렌을 포함하는, AHM 막을 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 하이드로카본 전구체에 대한 상기 증착 인핸서 분자의 체적 플로우 비는 약 0.01 내지 약 0.5인, AHM 막을 형성하는 방법.
  7. 제 1 항에 있어서,
    상기 AHM 막은 약 0.45 ㎛/min보다 더 큰 레이트로 증착되는, AHM 막을 형성하는 방법.
  8. 제 1 항에 있어서,
    상기 AHM 막을 증착하는 동안 HF를 형성하는 단계를 더 포함하는, AHM 막을 형성하는 방법.
  9. 제 1 항에 있어서,
    상기 프로세스 가스는 불활성 가스를 더 포함하는, AHM 막을 형성하는 방법.
  10. 제 9 항에 있어서,
    상기 불활성 가스는 헬륨, 아르곤 및 질소 중 하나 이상인, AHM 막을 형성하는 방법.
  11. 제 9 항에 있어서,
    상기 프로세스 가스는 상기 하이드로카본 전구체, 상기 증착 인핸서 분자, 및 상기 불활성 가스를 포함하는, AHM 막을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 기판은 상기 AHM 막을 증착하는 동안 페데스탈 상에 포지셔닝되고, 그리고 상기 페데스탈은 약 20 ℃ 내지 약 750 ℃의 온도를 갖는, AHM 막을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 증착 인핸서 분자는 상기 증착된 AHM 막 내의 탄소 원자들과 수소 라디칼들, 이온들 본딩, 또는 모두로부터 발생하는 에칭 프로세스를 억제하는, AHM 막을 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 증착 인핸서 분자는 상기 AHM 막의 에칭을 유발하지 않는, AHM 막을 형성하는 방법.
  15. 제 1 항에 있어서,
    고 주파수 (high frequency; HF) 컴포넌트 및 저 주파수 (Low Frequench; LF) 컴포넌트를 포함하는 듀얼 무선 주파수 (Radio Frequency; RF) 플라즈마 소스에 의해 생성된 플라즈마를 점화하는 단계를 포함하는, AHM 막을 형성하는 방법.
  16. 제 15 항에 있어서,
    상기 HF 컴포넌트는 약 50 내지 약 8000 W의 전력을 갖는, AHM 막을 형성하는 방법.
  17. 제 15 항에 있어서,
    상기 LF 컴포넌트는 약 0 내지 약 6000 W의 전력을 갖는, AHM 막을 형성하는 방법.
  18. 제 1 항에 있어서,
    상기 PECVD 프로세스는 약 1 Torr 내지 약 11 Torr의 압력에서 수행되는, AHM 막을 형성하는 방법.
  19. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 43 내지 약 90 ㎬의 모듈러스 (modulus) 를 갖는, AHM 막을 형성하는 방법.
  20. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 1 ㎛ 내지 약 2 ㎛ 두께인, AHM 막을 형성하는 방법.
  21. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 5.3 내지 약 8.5 ㎬의 경도를 갖는, AHM 막을 형성하는 방법.
  22. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 -100 내지 약 -550 ㎫의 내부 응력을 갖는, AHM 막을 형성하는 방법.
  23. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 0.45 내지 약 0.65의 흡광 계수 (extinction coefficient) 를 갖는, AHM 막을 형성하는 방법.
  24. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 약 1.9 내지 약 2.2의 굴절률을 갖는, AHM 막을 형성하는 방법.
  25. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 대부분 탄소를 포함하는, AHM 막을 형성하는 방법.
  26. 제 1 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 AHM 막은 최대 약 10 at.%의 수소 함량을 갖는, AHM 막을 형성하는 방법.
  27. 애싱 가능한 하드 마스크 (ashable hard mask; AHM) 막을 형성하기 위한 장치에 있어서,
    각각 기판 지지부를 포함하는 하나 이상의 프로세스 챔버들;
    상기 프로세스 챔버들 및 연관된 플로우-제어 하드웨어 내로의 하나 이상의 가스 유입구들; 및
    하나 이상의 프로세서로서,
    상기 하나 이상의 프로세스 챔버들 중 하나의 기판을 하이드로카본 전구체 가스 및 증착 인핸서 분자를 포함하는 프로세스 가스에 노출시키고; 그리고
    상기 프로세스 가스를 사용하는 플라즈마 강화된 화학적 기상 증착 (plasma enhanced chemical vapor deposition; PECVD) 프로세스에 의해 상기 기판 상에 AHM 막을 증착하도록 구성된, 상기 하나 이상의 프로세서를 포함하는, AHM 막을 형성하기 위한 장치.
KR1020227045748A 2020-09-29 2021-09-27 순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상 KR20230078590A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063198108P 2020-09-29 2020-09-29
US63/198,108 2020-09-29
PCT/US2021/052250 WO2022072288A1 (en) 2020-09-29 2021-09-27 Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means

Publications (1)

Publication Number Publication Date
KR20230078590A true KR20230078590A (ko) 2023-06-02

Family

ID=80950771

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227045748A KR20230078590A (ko) 2020-09-29 2021-09-27 순수 화학적 수단들에 의한 비정질 탄소 하드 마스크 막의 증착 속도 향상

Country Status (6)

Country Link
US (1) US20230357921A1 (ko)
JP (1) JP2023544303A (ko)
KR (1) KR20230078590A (ko)
CN (1) CN115917714A (ko)
TW (1) TW202233883A (ko)
WO (1) WO2022072288A1 (ko)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120258261A1 (en) * 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9589799B2 (en) * 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) * 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20230357921A1 (en) 2023-11-09
WO2022072288A1 (en) 2022-04-07
JP2023544303A (ja) 2023-10-23
CN115917714A (zh) 2023-04-04
TW202233883A (zh) 2022-09-01

Similar Documents

Publication Publication Date Title
US11784047B2 (en) Tin oxide thin film spacers in semiconductor device manufacturing
KR102535093B1 (ko) 알루미늄 옥사이드 에칭 정지층들의 증착
US10176984B2 (en) Selective deposition of silicon oxide
KR102668418B1 (ko) 로우-k 알루미늄 함유 에칭 정지막들의 형성을 위한 방법들
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
US20240030031A1 (en) Tin oxide thin film spacers in semiconductor device manufacturing
US20230227970A1 (en) Removal of tin oxide in chamber cleaning
US11837441B2 (en) Depositing a carbon hardmask by high power pulsed low frequency RF
KR20200101466A (ko) 에칭 잔여물-기반 억제제들을 사용하는 선택적인 프로세싱
WO2019190783A1 (en) Atomic layer deposition of carbon films
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US20240030028A1 (en) High selectivity, low stress, and low hydrogen carbon hardmasks in low-pressure conditions with wide gap electrode spacing
US20240234091A9 (en) Depositing a carbon hardmask by high power pulsed low frequency rf
WO2023196846A1 (en) Hydrogen reduction in amorphous carbon films
TW202416348A (zh) 在半導體裝置製造中之錫氧化物薄膜間隔件