TWI528442B - 鹵素移除方法及設備 - Google Patents

鹵素移除方法及設備 Download PDF

Info

Publication number
TWI528442B
TWI528442B TW099136549A TW99136549A TWI528442B TW I528442 B TWI528442 B TW I528442B TW 099136549 A TW099136549 A TW 099136549A TW 99136549 A TW99136549 A TW 99136549A TW I528442 B TWI528442 B TW I528442B
Authority
TW
Taiwan
Prior art keywords
wafer
degassing
chamber
degassing chamber
gas
Prior art date
Application number
TW099136549A
Other languages
English (en)
Other versions
TW201115643A (en
Inventor
哈密特 席恩
聖基特 森特
周尚義
瓦西德 瓦海地
拉斐爾 凱薩斯
賽沙拉曼 拉曼喬得恩
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/606,528 external-priority patent/US8232538B2/en
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201115643A publication Critical patent/TW201115643A/zh
Application granted granted Critical
Publication of TWI528442B publication Critical patent/TWI528442B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

鹵素移除方法及設備
本發明係關於半導體裝置。更特別是關於一種半導體裝置之製造,其需要處理於製程期間使用到鹵素之晶圓。
在形成半導體裝置期間,將晶圓在製程工具中進行處理,晶圓在製程工具中經過處理而可能造成剩餘製程氣體、碎片和副產物殘留於晶圓表面上。這些殘餘物可能包含,但不限定於含鹵素物種。
移除殘餘物的一種方法為在下游剝除處理中以微波或電感耦合式電漿源來處理此處理後晶圓。可設置下游剝除模組作為製程工具中之一模組。然後將處理後晶圓放置在於其中可移除/減少處理後晶圓表面上之鹵素的下游剝除模組中。然而,此剝除處理係在製程工具之真空狀態內的處理步驟之後才發生。因此下游剝除模組佔了製程工具中之有價值站點的其中之一。使用製程工具中之此站點作為下游剝除模組意味著此站點不能作為其它處理室,因此降低了晶圓處理的生產率。
依據本發明之目的,為達成上述,係提供一種晶圓處理方法。將晶圓送進入口裝載閉鎖室(entrance load lock chamber)。於入口裝載閉鎖室中建立真空狀態。將晶圓從入口裝載閉鎖室傳送到製程工具中。於製程工具之處理室中處理此晶圓以提供處理後晶圓,其中此處理會形成鹵素殘餘物於晶圓上。於處理晶圓之後,在處理室中對晶圓進行除氣步驟。將處理後晶圓傳送到除氣室中,其中於除氣室內維持有真空狀態。在除氣室中以UV光和包含有臭氧、氧氣或水蒸氣之至少其中一種的氣流來處理此處理後晶圓。停止此氣流。停止此UV光。將處理後晶圓從除氣室中移出。
提供一種晶圓處理方法。將晶圓送進入口裝載閉鎖室。於入口裝載閉鎖室中建立真空狀態。將晶圓從入口裝載閉鎖室傳送到製程工具中。於製程工具之處理室中處理此晶圓以提供處理後晶圓,其中此處理會形成鹵素殘餘物於晶圓上。將處理後晶圓傳送到除氣室中。在除氣室中以UV光和包含有臭氧、氧氣或水蒸氣之至少其中一種的氣流來處理此處理後晶圓。停止此氣流。停止此UV光。將處理後晶圓從除氣室中移出。
在本發明之另一態樣中,提供一種矽晶圓處理方法。將矽晶圓送進入口裝載閉鎖室。於入口裝載閉鎖室中建立真空狀態。將晶圓從入口裝載閉鎖室傳送到製程工具中。執行晶圓蝕刻處理。執行含氟晶圓處理,其留下鹵素殘餘物於晶圓上。在處理室中對晶圓進行除氣步驟,其中除氣步驟移除至少部份之鹵素殘餘物。而在處理室內對晶圓進行除氣步驟包含:提供至少含有5%氧氣之不含鹵素氣體,以及由此至少含有5%氧氣之氣體來形成電漿。將晶圓傳送到除氣室中,其中於除氣室內維持有真空狀態。在除氣室中以UV光和包含有臭氧、氧氣或水蒸氣之至少其中一種的氣流來處理此處理後晶圓。停止此氣流。停止此UV光。將處理後晶圓從除氣室中移出。
以下將更加詳細描述本發明之此等及其它特徵於本發明之詳細敘述中,並連同其隨附之圖式。
本發明將參照如隨附圖式所說明之一些較佳實施例來詳加描述。在以下敘述中,提出許多特定細節以提供對本發明之完整了解。然而,熟悉本技藝者應當了解,本發明可在沒有部份或全部之此等特定細節下加以實施。在其它例子中,習知處理步驟和/或結構未被詳加敘述,以免不必要地混淆本發明。
當在半導體裝置生產期間處理晶圓時,晶圓經常殘留有由於此處理而產生之鹵素殘餘物。當含有殘餘物之晶圓被送回大氣環境中時,殘餘物可與大氣中之濕氣反應而造成污染。可由殘餘物所造成之污染主要有三種類型:(1)自體污染、(2)交叉污染和(3)設備污染。
當晶圓上之殘餘物與大氣反應且對晶圓本身造成損傷時會導致自體污染。例如,晶圓上之凝結作用會造成結晶缺陷、遮罩侵蝕或圖案崩塌。
當含有殘餘物之晶圓緊密接近其它晶圓(包含未處理晶圓)時會造成交叉污染。例如,當處理後晶圓與未處理晶圓由於處理後晶圓被放置於裝載閉鎖室(load lock)中以待傳送回於大氣環境下之晶圓盒(cassette)中且未處理晶圓被從裝載閉鎖室中移出以待處理而靠近時,會產生交叉污染。其它例子為當處理後晶圓被送回含有許多未處理晶圓之晶圓盒中時。在此等緊密接近期間,來自處理後晶圓的殘餘物可能會遷移到未處理晶圓上,因此污染未處理晶圓。當後續處理此未處理晶圓時,這個交叉污染可能會造成未處理晶圓中的缺陷。例如,此污染可能會造成微遮罩缺陷。
當帶有殘餘物之晶圓接觸到大氣且殘餘物與大氣中的濕氣反應時可能會造成設備污染。此反應可能會造成酸性逸氣(outgassing),其可能會轉移到晶圓承載設備,例如用以傳送晶圓之機器手臂。然後此污染之酸性性質可能會隨著時間而造成侵蝕性損傷。
故需要用以減少或消除來自鹵素殘餘物之污染的方法,其不會降低晶圓處理之生產率且不需要在下游剝除模組中使用高溫。一些晶圓可能由無法承受用於下游剝除模組之高溫(通常為200℃到400℃)的材料所製成。例如,使用非晶碳之晶圓若遇到下游剝除中所需之溫度,會直接燃燒起來。
圖1為本發明實施例之高階流程圖。通常未處理晶圓開始是位在製程工具以外之容納有複數個晶圓之晶圓盒中之正常大氣下。為進行處理,未處理晶圓必須被移入製程工具之真空環境中。在此實施例中,將晶圓從晶圓盒中移出(步驟102)並且移進入口裝載閉鎖室(entrance load lock)中(步驟104)。將入口裝載閉鎖室關閉並且在入口裝載閉鎖室中建立真空狀態(步驟108)。一旦在入口裝載閉鎖室中已經建立真空狀態,製程工具之通真空門會開啟,且晶圓會從入口裝載閉鎖室被傳送到製程工具中(步驟112),在此處理此晶圓(步驟116)。例如,此處理可包含施加蝕刻遮罩、進行蝕刻、或剝除蝕刻遮罩。此處理可實際上包含多個處理,其可能需要使用一個或多個在製程工具內之處理室。此時將處理後晶圓傳送至除氣室中(步驟120)。然後將除氣室密封並使其成真空狀態。將晶圓以UV光和氣體混合物處理以移除鹵素殘餘物(步驟124)。此氣體混合物包含臭氧、氧氣或水氣之至少其中一種。氣體混合物流過處理後晶圓的同時亦被抽出除氣室。在預定處理時間之後,停止此氣流(步驟128),將除氣室通氣至大氣狀態(步驟132),且停止此UV光(步驟136)。一旦除氣室內之壓力已與除氣室外之大氣壓相等,將處理後晶圓從除氣室中移出(步驟140)。然後可將處理後晶圓放置在隔離站(步驟144)一段時間,以使任何殘存之殘餘物能夠消散。然後可將處理後晶圓傳送到晶圓盒(步驟148)。
除氣裝載閉鎖室站之一實施例包含以下詳述之數個組件。
圖2為製程工具200之俯視圖,並包含本發明一實施例之組件。晶圓盒202在處理之前先容納未處理晶圓,然後在完成製程工具200中之所有處理步驟與除氣室中之處理步驟後容納處理後晶圓。晶圓盒202可容納許多晶圓,通常有25個之多。氣閘站205代表用以傳送晶圓往返大氣傳輸模組(ATM)214之大氣環境與真空傳輸模組(VTM)212之真空環境之間的一組元件。VTM 212為製程工具的一部份,且與複數個處理室208相連接。可有不同類型的處理室208。例如,對於以下各項可有不同的處理室208:施加蝕刻遮罩、進行蝕刻、或剝除蝕刻遮罩。或者,可有兩個以上相同類型之處理室208,以協助提升生產率。
圖3展示ATM 214連同隔離站210與晶圓盒202之側視圖。其展示有較細部之氣閘站205,包含除氣室336與入口裝載閉鎖室334。入口裝載閉鎖室334具有通大氣門318、通真空門320、晶圓支座306、通氣裝置(圖中未示)以及排氣裝置(圖中未示)。除氣室336與入口裝載閉鎖室334必須貼附於VTM 212,以維持VTM 212內之真空狀態。因此,在VTM 212與除氣室336和裝載閉鎖室334的會合點上有真空密封件324,以防止大氣滲入VTM 212中。儘管圖3展示入口裝載閉鎖室334與除氣室336為單獨之結構,它們可能為結合在一起之單獨的組件,或是被製成單一組件。隔離站210容納複數個處理後晶圓以使得任何殘留之殘餘物能夠消散。隔離站210較佳是以無腐蝕性材料製成,以減輕由任何設備污染所造成之任何損傷。隔離站210具有排氣裝置322,其藉由將空氣抽出隔離站210來幫助從晶圓上移除任何殘存之殘餘物。
圖4展示除氣室連同相關組件之例子的更多細節。除氣室336可由無腐蝕性材料製成,例如經由電鍍。除氣室336包含通大氣門302、通真空門304、晶圓支座308、UV光源310、排氣系統410、壓力感應器414、通氣孔316、以及氣體注射器408。
圖3和4展示位於除氣室336外部之UV光源310。在此實施例中,除氣室336包含窗部314,來自UV光源310之UV光312穿過窗部314而照射在容納於晶圓支座308之處理後晶圓上。可供替代之實施例展示於圖6中,在此UV光源310位於除氣室336內部。不論UV光源310是位在除氣室336之內部或外部,較佳是令UV光源310距離待處理晶圓不超過約30 cm。更佳是令UV光源310盡可能地靠近待處理晶圓。
氣體注射器408將氣體注入除氣室336中。由氣體源402提供此氣體。氣體源402之例子可包含數個容納有不同待提供氣體之專用氣體儲槽404、氧氣儲槽416、水蒸氣源405、以及臭氧產生器418。氣體源402亦包含用於每一個專用氣體儲槽404與水蒸氣源405之控制閥406。亦有氧氣控制閥420和臭氧控制閥422。儘管圖4展示了一個專用氣體儲槽404、一個水蒸氣源405和一個氧氣儲槽416,可依容納不同數目之各別氣體所需而有不同數目的氣體儲槽/氣體源404。例如,注入氣體可包含臭氧和氧氣之混合物,因此至少要有用以容納氧氣之氧氣儲槽416與用以將部份氧氣轉化成臭氧之臭氧產生器418。然而,例如,若此氣體亦含有氮氣,則要有用以容納氮氣之氣體儲槽404。
圖6展示氣體源402之可供替換的實施例。在此實施例中,沒有臭氧產生器418。當沒有臭氧產生器418時,可在除氣室內藉由施加來自UV光源310之特定UV光312來產生臭氧。基本上,可使用波長小於200 nm之UV光來從氧氣產生出臭氧。儘管圖4展示氣體源402之一實施例連同除氣室336之一實施例,而圖6展示氣體源402之另一實施例連同除氣室336之另一實施例,這些組件可以互換。所以圖4之氣體源402可與圖6之除氣室336一起使用,而圖6之氣體源402可與圖4之除氣室336一起使用。在本發明之部份實施例中,可提供水蒸氣源。
適用的氣體注射器408之一例子為五指注射器,其具有彼此分散且各自瞄準之五個獨立注射噴嘴,用以使注入氣體呈均勻之擴散流。必須要以能夠使氣體進入氣體注射器408,流經晶圓,然後藉由排氣系統410而排出除氣室336之方式來設置氣體注射器408與排氣系統410。例如,圖4之實施例展示位於除氣室336一側上端之氣體注射器408,與位於除氣室336另一側下端之排氣系統410。例如,適用之排氣系統410可包含導管和專用氣泵。在另一實施例中,排氣系統410可僅僅包含通向非專用氣泵之導管。
所示之控制器412與壓力感應器414、排氣系統410、UV光源310、控制閥406、氧氣控制閥420、以及臭氧控制閥422相連接。然而控制器亦可連接並控制其它組件,例如通氣孔316、通大氣門302以及通真空門304。
圖5A和5B說明電腦系統500,其適用於執行本發明實施例中所用之控制器412。圖5A展示電腦系統之一個可能的實體形式。當然,電腦系統可有遍及積體電路、印刷電路板、和從小型手持裝置到大型超級電腦之多種實體形式。電腦系統500包含監視器502、顯示器504、外殼506、磁碟機508、鍵盤510、以及滑鼠512。磁碟514為用以將資料傳送進出電腦系統500的電腦可讀媒體。
圖5B為電腦系統500之方塊圖的一個例子。依附於系統匯流排520的是類型繁多的子系統。處理器522(通常稱為中央處理單元或CPU)與儲存元件(包含記憶體524)相連接。記憶體524包含隨機存取記憶體(RAM)和唯讀記憶體(ROM)。如在此技藝中所眾所周知,ROM用以將資料和指令單向地傳送到CPU,而RAM基本上以雙向的方式來傳送資料和指令。這兩種記憶體皆可包含任何適當之以下所述之電腦可讀媒體。固定磁碟526亦為雙向連接至CPU 522;其提供額外的資料儲存容量,且亦可包含任何以下所述之電腦可讀媒體。固定磁碟526可用以儲存程式、資料及其類似物,且其基本上是比主要儲存媒體慢的次要儲存媒體(例如硬碟)。吾人應當了解,在適當情況下,可將保留在固定磁碟526內的資料以如同虛擬記憶體之標準方式併入記憶體524中。卸除式磁碟514可採取任何如下所述之電腦可讀媒體的形式。
亦可將CPU 522與各種輸入/輸出裝置(例如顯示器504、鍵盤510、滑鼠512和麥克風530)相連接。一般來說,輸入/輸出裝置可為以下任一種:影像顯示器、軌跡球、滑鼠、鍵盤、麥克風、觸控式顯示器、轉換器讀卡機、磁或紙帶讀取機、寫字板、觸控筆、聲音或手寫辨識器、生物測定讀取機、或其它電腦。CPU 522可選擇性地使用網路介面540而連接至另一電腦或電信網路。藉由此等網路介面,吾人可預期到,在執行上述方法步驟的過程中,CPU可從網路接收資料,或可輸出資料到網路。再者,本發明之方法實施例可僅僅在CPU 522上執行或透過網路(例如與分擔部份處理之遠端CPU相連接之網際網路)而執行。
此外,本發明實施例更關於電腦儲存產品,帶有具電腦編碼於其上之電腦可讀媒體,用以執行各種電腦實施之操作。此媒體和電腦編碼可依據本發明之目的而特別設計和製造,或者可以是熟悉電腦軟體技藝者可購得或知悉的類型。電腦可讀媒體的例子包含,但不限定於:磁性媒體(例如硬碟、軟磁碟、和磁帶)、光學媒體(例如CD-ROM和全像元件)、磁光學媒體(例如軟磁光碟)、以及特別用以儲存和執行程式碼之硬碟元件(例如特用積體電路(ASIC)、可程式邏輯元件(PLD)、以及ROM與RAM元件)。電腦編碼之例子包含機械碼(例如由編譯器所產生)、和含有由使用翻譯程式之電腦來執行之較高階編碼的檔案。電腦可讀媒體亦可為由體現於載波之電腦資料信號所傳送並且代表處理器可執行之指令序列的電腦編碼。
在本發明之一較詳細的實施例中,通常未處理晶圓開始是在晶圓盒202中。將未處理晶圓從晶圓盒202中移出(步驟102),且穿過通大氣門318而傳送到入口裝載閉鎖室334中(步驟104)。此步驟由圖3之第一工作流程指標330所展示。當程序開始時,通真空門320被關閉且通大氣門318被開啟。然後使晶圓容置於晶圓支座306且將通大氣門318關閉並密封。排氣裝置將密封之入口裝載閉鎖室334內的大氣排出,因此建立真空狀態(步驟108)。此時將通真空門320開啟,並且將晶圓送出入口裝載閉鎖室334,然後藉由VTM 212而送進製程工具200之處理室208中(步驟112)。在處理室208中處理此晶圓(步驟116)。例如,此處理可包含使施加蝕刻遮罩於晶圓上、蝕刻晶圓、或剝除蝕刻遮罩。可使用多個處理室208,且單一晶圓可經過一個以上之處理(其可能需要使用一個以上之處理室)。
在處理之後,將處理後晶圓從處理室208移出且藉由VTM 212而傳送到除氣室336(步驟120)。為了從VTM 212接收處理後晶圓,除氣室336內需為真空狀態。因此,在除氣室336接收晶圓之前,除氣室的兩個門302、304皆需被密封,然後藉由排氣系統410將除氣室336內之大氣排出。一旦壓力感應器414偵測到除氣室336內的真空狀態,通真空門304會被開啟且除氣室336則準備好接收處理後晶圓。此時除氣室336之通大氣門302仍為密封且通真空門304為開啟,而晶圓則穿過開啟的通真空門304而被送入除氣室336中(步驟120)。一旦進入除氣室336中,晶圓由晶圓支座308所支托且通真空門304會被關閉且密封。此時已準備好在除氣室336中處理晶圓。
將UV光312開啟且使氣體注射器408注入氣體到除氣室336中,以處理此處理後晶圓(步驟124)。在一實施例中,注入氣體含有臭氧或氧氣之至少其中一種。此氣體亦可包含其它成分,例如氮氣和/或水蒸氣。氣體混合物之一例子係由重量百分比為15%之臭氧和85%之氧氣所組成。此氣體較佳是含有重量百分比為從約3%到約30%的臭氧。更佳是,此氣體含有重量百分比為約5%到約20%的臭氧。氣體混合物的成分可藉由操縱控制閥406、氧氣控制閥420和臭氧控制閥422來設定,以使得所需氣體呈不同流速。此氣體流經晶圓然後經由排氣系統410而排出。
在除氣室336中以UV光312和氣體來處理晶圓一段時間,以使鹵素殘餘物能夠從晶圓上被大致移除。較佳是使處理持續5秒到20分鐘。更佳是使處理持續10秒到120秒。一旦處理完成,即停止氣流(步驟128)。在使除氣室336通氣之前,可讓排氣系統410運作一小段時間以使剩餘氣體能夠從除氣室336中被移除。藉由通氣孔316使除氣室336通氣成大氣壓(步驟132),且停止UV光312(步驟136)。可使用與除氣室336連接之壓力感應器414來確保在開啟通大氣門302之前除氣室336內之壓力與除氣室336外之壓力相等。
將通大氣門302開啟且將晶圓從除氣室336穿過通大氣門302而移出(步驟140)。然後可將晶圓移到隔離站210,如第二工作流程指標328所示(步驟144)。隔離站210可容納處理後晶圓一段時間以使任何殘留之殘餘物能夠消散。較佳是將處理後晶圓容置於隔離站1分鐘到30分鐘。若隔離站210有排氣裝置322,可將其開啟而藉由將空氣從隔離站210抽出以幫助從晶圓上移除任何殘留之殘餘物。一旦晶圓在隔離站210中處理完,將其送回晶圓盒202,如第三工作流程指標326所示(步驟148)。或者,可將晶圓從除氣室336直接傳送到晶圓盒202而不需經過隔離站210,如第四工作流程指標332所示。
在另一實施例中,除氣室336沒有通大氣門302。取而代之的是,晶圓被送回VTM然後送到裝載閉鎖室334。
特別是,除氣裝載閉鎖室站之一例子包含:除氣室、晶圓支座、壓力感應器、UV光源、氣體注射器、排氣系統、通氣孔、真空密封件以及隔離站。
增加除氣裝載閉鎖室站可幫助解決由污染殘餘物所產生之問題,同時亦可避免在下游剝除處理中帶來的缺陷。藉由增加在製程工具中未擔任有價值站點的除氣裝載閉鎖室站,製程工具能夠容納另一處理室且因此增加製程工具之總生產率,同時亦幫助移除污染殘餘物。此外,除氣裝載閉鎖室站及其使用方法不需用到在下游剝除處理中所需之高溫。藉由增加除氣裝載閉鎖室站,進入製程工具200之未處理晶圓以及離開製程工具200之處理後晶圓可行經不同路徑。進入的未處理晶圓行經入口裝載閉鎖室334,而離開的處理後晶圓行經除氣室336。因此,含有污染殘餘物之處理後晶圓不會緊密接近未處理晶圓,例如,在可傳送進入以及離開晶圓兩者的單一雙向氣閘中。此外,由於處理後晶圓未行經入口裝載閉鎖室334,其不會污染到入口裝載閉鎖室334本身。這樣幫助了防止處理後晶圓與未處理晶圓之間的交叉污染。
圖7為本發明另一實施例之高階流程圖。通常未處理晶圓開始是位在製程工具以外之容納有複數個晶圓之晶圓盒中之正常大氣下。為進行處理,未處理晶圓必須被移入製程工具之真空環境中。在此實施例中,將晶圓從晶圓盒中移出(步驟702)並且移進入口裝載閉鎖室(entrance load lock)中(步驟704)。將入口裝載閉鎖室關閉並且在入口裝載閉鎖室中建立真空狀態(步驟708)。一旦在入口裝載閉鎖室中已經建立真空狀態,製程工具之通真空門會開啟,且晶圓會從入口裝載閉鎖室被傳送到製程工具中(步驟712),在此於處理室中處理此晶圓(步驟716)。例如,此處理可包含施加蝕刻遮罩、進行蝕刻、或剝除蝕刻遮罩。此處理可實際上包含多個處理,其可能需要使用一個或多個在製程工具內之處理室。在於其中執行最後處理之處理室中執行後續之除氣處理(步驟718)。此時將處理後晶圓傳送至除氣室中(步驟720)。然後將除氣室密封並使其成真空狀態。將晶圓以UV光和氣體混合物處理以移除鹵素殘餘物(步驟724)。此氣體混合物包含臭氧、氧氣或水蒸氣之至少其中一種。氣體混合物流過處理後晶圓的同時亦被抽出除氣室。在預定處理時間之後,停止此氣流(步驟728),將除氣室通氣至大氣狀態(步驟732),且停止此UV光(步驟736)。一旦除氣室內之壓力已與除氣室外之大氣壓相等,將處理後晶圓從除氣室中移出(步驟740)。然後可將處理後晶圓放置在隔離站(步驟744)一段時間,以使任何殘存之殘餘物能夠消散。然後可將處理後晶圓傳送到晶圓盒(步驟748)。
在本發明之一較詳細的實施例中,通常未處理晶圓開始是在晶圓盒202中。圖8A為在光阻遮罩806下方之未處理晶圓804的橫剖面圖。將未處理晶圓804從晶圓盒202中移出(步驟702),且穿過通大氣門318而傳送到入口裝載閉鎖室334(步驟704)。此步驟由圖3之第一工作流程指標330所展示。當程序開始時,通真空門320被關閉且通大氣門318被開啟。然後使晶圓容置於晶圓支座306且將通大氣門318關閉並密封。將密封之入口裝載閉鎖室334內的大氣排出,因此建立真空狀態(步驟708)。此時將通真空門320開啟,並且將晶圓送出入口裝載閉鎖室334然後藉由VTM 212而送進製程工具200之處理室208中(步驟712)。
在處理室208中處理此晶圓(步驟716)。可使用多個處理室208,且單一晶圓可經過一個以上之處理(其可能需要使用一個以上之處理室)。圖9為用於本發明實施例之一例子中之在處理室中處理晶圓之步驟(步驟716)之更詳細的流程圖。在此例子中,將矽晶圓蝕刻以形成遍及蝕刻特徵部之氧化矽側壁鈍化層(步驟904)。圖8B為已經蝕刻特徵部808於晶圓中之後的晶圓804橫剖面圖,形成有氧化矽側壁鈍化層812。
形成氧化矽側壁鈍化層812以提供改進之蝕刻。例如,側壁鈍化層可減少側蝕(undercutting)或其它不想要的結果。在先前技術中,可使用濕式處理來移除氧化矽側壁鈍化層。此等處理會需要將晶圓從裝載閉鎖室中移出,這樣需要額外的時間與步驟,會增加成本並延緩製程。濕式處理優於以電漿蝕刻來移除氧化矽側壁係在於電漿蝕刻會留下可降低裝置效能之氟殘餘物。因此,在此例子中係使用乾式蝕刻以移除氧化矽側壁,並且使用除氣步驟之組合以移除來自蝕刻處理之氟殘餘物和其它鹵素殘餘物。
在此例子中,使用含氟晶圓處理(例如含氟蝕刻)以提供電漿蝕刻,其用以移除氧化矽側壁鈍化層(步驟908)。此等氟蝕刻可使用含氟氣體,例如C2F6、CF4、CHF3、CH2F2、SF6或NF3,其可與He、Ar、Ne、Xe或Kr稀釋劑以及O2添加劑一起使用。在一配方例子中,提供了5-100毫托耳的壓力。使50-500 sccm的CF4流入蝕刻室中。在200-1000瓦特與13.56 MHz下提供變壓器偶合式電漿(TCP)。未提供偏壓電壓,所以可移除氧化矽側壁而不會過度蝕刻到其它材料。將由CF4形成之電漿維持5到30秒。圖8C為已經移除氧化矽側壁鈍化層之後的矽晶圓804橫剖面圖。
在處理晶圓之後,於蝕刻室中提供原位除氣處理(步驟718)。使除氣氣體流入處理室中(步驟912)。較佳是此除氣提供之氧氣流為除氣處理期間之總氣流的至少5%。更佳是使氧氣流為除氣處理期間之總氣流的至少50%。最佳是使氧氣流為除氣處理期間之總氣流的至少70%。較佳是以提供氧氣之流速的0-50%之間的流速來提供氮氣流。更佳是使氮氣流速為氧氣流速的10-20%。將除氣氣體形成電漿(步驟916)。除氣處理之一配方例為提供5-500毫托耳的壓力。提供50-2000 sccm之氧氣與0-400 sccn之氮氣流到蝕刻室中。亦可加入其它添加劑氣體,例如惰性氣體He、Ar、Ne和Xe。在13.56 MHz下提供50到5000瓦特的TCP功率以將原位除氣氣體形成電漿。
在此實施例中,於除氣處理期間將矽晶圓去靜電(步驟918)。在此例子中,於除氣處理期間,藉由移除靜電壓(步驟920)然後使用頂針將矽晶圓從吸盤上抬起(步驟924)而使晶圓去靜電。據信於原位除氣期間抬起晶圓可減少從晶圓到靜電吸盤的熱傳遞,其會增加晶圓溫度。於除氣處理期間增加晶圓溫度可進一步減少晶圓上殘留之鹵素。停止除氣氣體流(步驟928)。
在處理室中原位除氣之後,將處理後晶圓從處理室208移出並且藉由VTM 212而傳送到除氣室336(步驟720)。為了從VTM 212接收處理後晶圓,除氣室336內需為真空狀態。因此,在除氣室336接收晶圓之前,除氣室的兩個門302、304皆需被密封,然後藉由排氣系統410將除氣室336內之大氣排出。一旦壓力感應器414偵測到除氣室336內的真空狀態,通真空門304會被開啟且除氣室336則準備好接收處理後晶圓。此時除氣室336之通大氣門302仍為密封且通真空門304為開啟,而晶圓則穿過開啟的通真空門304而被送入除氣室336中(步驟720)。一旦進入除氣室336中,晶圓由晶圓支座308所支托且通真空門304會被關閉且密封。此時已準備好在除氣室336中處理晶圓。
將UV光312開啟且使氣體注射器408注入氣體到除氣室336中,以處理此處理後晶圓(步驟724)。在一實施例中,注入氣體含有臭氧、氧氣或水蒸氣之至少其中一種。在一例子中,注入氣體流速為0.3到5 slm(標準每分鐘公升)之間,且含有20-100%之水蒸氣,而注入氣體之其餘部份為氧氣。其壓力被維持在100毫托耳與5托耳之間。此氣體亦可含有其它成分,例如氮。氣體混合物之另一例子係由重量百分比為15%之臭氧和85%之氧氣所組成。此氣體較佳是含有重量百分比為從約3%到約30%的臭氧。更佳是,此氣體含有重量百分比為約5%到約20%的臭氧。氣體混合物的成分可藉由操縱控制閥406、氧氣控制閥420和臭氧控制閥422來設定,以使得所需氣體呈不同流速。此氣體流經晶圓然後經由排氣系統410而排出。
在除氣室336中以UV光312和氣體來處理晶圓一段時間,以使鹵素殘餘物能夠從晶圓上被大致移除。較佳是使處理持續5秒到20分鐘。更佳是使處理持續10秒到120秒。一旦處理完成,即停止氣流(步驟728)。在使除氣室336通氣之前,可讓排氣系統410運作一小段時間以使剩餘氣體能夠從除氣室336中移除。藉由通氣孔316使除氣室336通氣成大氣壓(步驟732),且停止UV光312(步驟736)。可使用與除氣室336連接之壓力感應器414來確保在開啟通大氣門302之前除氣室336內之壓力與除氣室336外之壓力相等。
將通大氣門302開啟且將晶圓從除氣室336穿過通大氣門302而移出(步驟740)。然後可將晶圓移到隔離站210,如第二工作流程指標328所示(步驟744)。隔離站210可容納處理後晶圓一段時間以使任何殘留之殘餘物能夠消散。較佳是將處理後晶圓容置於隔離站1分鐘到30分鐘。若隔離站210有排氣裝置322,可將其開啟而藉由將空氣從隔離站210抽出以幫助從晶圓上移除任何殘留之殘餘物。一旦晶圓在隔離站210中處理完,將其送回晶圓盒202,如第三工作流程指標326所示(步驟748)。或者,可將晶圓從除氣室336直接傳送到晶圓盒202而不需經過隔離站210,如第四工作流程指標332所示。
在將晶圓從處理室中移出之後只使用UV以及氧或臭氧除氣的實驗中,已發現到可將60-80%的Br從含HBr配方所蝕刻之晶圓上移除。若想到達到更高的Br移除率,以進一步增進克服缺陷(由於Br與空氣中濕氣之間的反應所形成之凝結作用而產生)之幅度,並且防止從蝕刻後晶圓逸氣到蝕刻工具大氣區域中之HBr所造成之侵蝕,在實驗中已發現到,使用富氧電漿之原位晶圓脫鹵作用(dehalogenation)接續著UV+O3站中之異位脫鹵作用能夠從富含HBr化學作用所蝕刻之晶圓上移除>90%的Br。據信由於在主處理室中殘餘鹵素的連續減少而達成了較高的鹵素減少率。
此外,實驗指出使用富氧電漿之原位晶圓脫鹵作用可使其它化學作用能夠被用在除氣站中,例如UV+H2O。
已經發現到原位除氣處理亦能夠移除含碳沉積物。因此原位除氣和異位除氣的組合能夠符合脫鹵作用的要求以及殘碳量的要求。
在本發明之其它實施例中,可在矽晶圓和遮罩之間放置一個以上的材料層。可在蝕刻處理期間蝕刻此等一個以上之材料層的一部份。在一實施例中,係此等一個以上之材料層被蝕刻而非矽晶圓。此等材料層較佳可為下列之一:多晶矽、TiN、W、SiO2、TiAlN、WSix、TaN、Ti、TiO2、Al2O3、或ZrO2
本發明之一優點在於可執行充分的脫鹵作用而不用將晶圓加熱到200℃以上。將晶圓加熱到200℃以上可能會損害裝置效能。因此,本發明之較佳實施例在脫鹵作用處理期間將晶圓溫度維持在200℃以下。
儘管本發明已經依數個較佳實施例而加以描述,其可有屬於本發明之範疇的變化、置換、修正以及各種替代均等物。吾人亦應了解,有許多替代之方法來實施本發明之方法與設備。因此,以下隨附之申請專利範圍應解釋為包含屬於本發明之真實精神與範疇的所有此等變化、置換、修正以及各種替代均等物。
102...將晶圓從晶圓盒中移出
104...將晶圓移進入口裝載閉鎖室中
108...在入口裝載閉鎖室中建立真空狀態
112...將晶圓從入口裝載閉鎖室傳送到製程工具中
116...處理晶圓
120...將處理後晶圓傳送至除氣室中
124...在除氣室中處理此處理後晶圓
128...停止氣流
132...將除氣室通氣至大氣狀態
136...停止UV光
140...從除氣室中移出
144...將晶圓放置在隔離站
148...將晶圓傳送到晶圓盒
200...製程工具
202...晶圓盒
205...氣閘站
208...處理室
210...隔離站
212...真空傳輸模組(VTM)
214...大氣傳輸模組(ATM)
302...通大氣門
304...通真空門
306...晶圓支座
308...晶圓支座
310...UV光源
312...UV光
314...窗部
316...通氣孔
318...通大氣門
320...通真空門
322...排氣裝置
324...真空密封件
326...第三工作流程指標
328...第二工作流程指標
330...第一工作流程指標
332...第四工作流程指標
334...入口裝載閉鎖室
336...除氣室
402...氣體源
404...專用氣體儲槽
405...水蒸氣源
406...控制閥
408...氣體注射器
410...排氣系統
412...控制器
414...壓力感應器
416...氧氣儲槽
418...臭氧產生器
420...氧氣控制閥
422...臭氧控制閥
500...電腦系統
502...監視器
504...顯示器
506...外殼
508...磁碟機
510...鍵盤
512...滑鼠
514...卸除式磁碟
520...系統匯流排
522...處理器
524...記憶體
526...固定磁碟
530...麥克風
540...網路介面
702...將晶圓從晶圓盒中移出
704...將晶圓移進入口裝載閉鎖室中
708...在入口裝載閉鎖室中建立真空狀態
712...將晶圓從入口裝載閉鎖室傳送到製程工具中
716...在處理室中處理此晶圓
718...在處理室中除氣
720...將處理後晶圓傳送至除氣室中
724...在除氣室中處理此處理後晶圓
728...停止氣流
732...將除氣室通氣至大氣狀態
736...停止UV光
740...從除氣室中移出
744...將晶圓放置在隔離站
748...將晶圓傳送到晶圓盒
804...晶圓
806...光阻遮罩
808...特徵部
812...氧化矽側壁鈍化層
904...蝕刻特徵部於矽晶圓中
908...移除側壁鈍化層
912...提供除氣氣體
916...由除氣氣體形成電漿
918...去靜電
920...移除靜電壓
924...抬起晶圓
928...停止除氣氣體流
本發明係依例示方式而非限定方式而於隨附之圖式中加以說明,其中相同元件符號表示相同之元件,其中:
圖1為本發明實施例之流程圖。
圖2為包含本發明一實施例之系統示意圖。
圖3為帶有隔離站和晶圓盒之大氣傳輸模組的示意圖。
圖4為除氣室與相關組件之一實施例的示意圖。
圖5A-B為可用以實施本發明之電腦系統的示意圖。
圖6為除氣室與相關組件之另一實施例的示意圖。
圖7為本發明另一實施例之高階流程圖。
圖8A-C為依據本發明實施例所處理之晶圓的橫剖面圖。
圖9為在處理室步驟與原位除氣步驟中晶圓處理的較詳細流程圖。
202...晶圓盒
205...氣閘站
210...隔離站
212...真空傳輸模組(VTM)
214...大氣傳輸模組(ATM)
302...通大氣門
304...通真空門
306...晶圓支座
308...晶圓支座
310...UV光源
312...UV光
314...窗部
316...通氣孔
318...通大氣門
320...通真空門
322...排氣裝置
324...真空密封件
326...第三工作流程指標
328...第二工作流程指標
330...第一工作流程指標
332...第四工作流程指標
334...入口裝載閉鎖室
336...除氣室

Claims (33)

  1. 一種用以從晶圓上移除鹵素物種之除氣站,其中該鹵素物種於該晶圓處理期間而堆積,該除氣站包含:一除氣室,能夠建立與維持真空狀態並且能夠容納該晶圓;一晶圓支座,用以在該除氣室中支托該晶圓;一UV光源,當該晶圓位於該除氣室中時,該UV光源利用UV光來處理該晶圓;一注射器,與該除氣室相連接,用以將一氣體注入該除氣室中,使得該氣體流經容納於該除氣室中之該晶圓,其中該氣體包含氧氣、水蒸氣或臭氧之至少其中一種;一排氣系統,與該除氣室相連接,其中該排氣系統能夠使該除氣室排氣以建立真空狀態,且其中該排氣系統能夠在該氣體被注入該除氣室的同時移除該氣體,以大致維持該除氣室內之真空狀態;一隔離站,其中該隔離站容納有已經在除氣室中被除氣之複數個晶圓;一第一晶圓通口,位於該除氣室,用以從一裝載閉鎖真空室接收該晶圓;以及複數個真空密封件,圍繞該第一晶圓通口,使得該除氣室與該真空室在該第一晶圓通口處相連接。
  2. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,其中該UV光源係設置於該除氣室外部且位於該除氣室之至少一側,使得來自該UV光源之UV光穿過一窗部而進入該除氣室到達該晶圓上。
  3. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,其中該UV光源係設置於該除氣室內部。
  4. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除 氣站,其中該隔離站包含一排氣裝置,用以將空氣抽出該隔離站。
  5. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,其中該UV光源距離該晶圓支座少於30cm。
  6. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,其中該氣體包含臭氧。
  7. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,其中該氣體包含氧氣,且由該UV光源提供UV光,使得藉由施加UV光於含氧之該氣體而產生臭氧於該除氣室中。
  8. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,更包含一第二晶圓通口,位於該除氣室,用以將該晶圓傳送到一周遭大氣環境中。
  9. 如申請專利範圍第8項所述之用以從晶圓上移除鹵素物種之除氣站,更包含一大氣通氣孔,能夠使該除氣室通氣至大氣壓以準備將晶圓傳送到大氣環境中。
  10. 如申請專利範圍第1項所述之用以從晶圓上移除鹵素物種之除氣站,更包含:一壓力感應器,與該除氣室相連接,用以感應該除氣室中之壓力;一大氣通氣孔,能夠使該除氣室通氣至大氣壓以準備將晶圓傳送到大氣環境中;以及一第二晶圓通口,位於該除氣室,用以將該晶圓送出該除氣室而傳送到一周遭大氣環境中,其中該除氣站在一單一室中係作為一除氣與裝載閉鎖站。
  11. 一種用以從晶圓上移除鹵素物種之方法,包含下列步驟:將一晶圓送入一入口裝載閉鎖室;在該入口裝載閉鎖室中建立真空狀態;將該晶圓從該入口裝載閉鎖室傳送到一製程工具中;在該製程工具之一處理室中處理該晶圓,以提供一處理後晶圓,其中該處理步驟在該晶圓上形成鹵素殘餘物;將該處理後晶圓送入一除氣室中,其中該除氣室內維持為真空狀態;在該除氣室中以UV光和一氣流來處理該處理後晶圓,其中該氣流包含臭氧、氧氣或水蒸氣之至少其中一種;停止該氣流;使該除氣室通氣至大氣壓,以去除該真空狀態;以及在使該除氣室通氣之後,透過位於該除氣室的一第二通口,將該處理後晶圓從該除氣室中移出。
  12. 如申請專利範圍第11項所述之用以從晶圓上移除鹵素物種之方法,更包含在處理該晶圓之後與將該處理後晶圓送入該除氣室之前,在該處理室中對該晶圓進行一除氣步驟。
  13. 如申請專利範圍第12項所述之用以從晶圓上移除鹵素物種之方法,其中在該處理室中對該晶圓進行該除氣步驟包含:提供至少含有5%氧氣之一不含鹵素氣體;以及由該至少含有5%氧氣之氣體來形成一電漿。
  14. 如申請專利範圍第13項所述之用以從晶圓上移除鹵素物種之方法,更包含在該處理室中將該晶圓去靜電,其中進行該除氣步驟與將該晶圓去靜電係同時發生。
  15. 如申請專利範圍第14項所述之用以從晶圓上移除鹵素物種之方法,其中將該晶圓去靜電之步驟包含:在該處理室中,於由該 至少含有5%氧氣之氣體所形成之該電漿的存在下,將該晶圓抬起。
  16. 如申請專利範圍第15項所述之用以從晶圓上移除鹵素物種之方法,其中該不含鹵素氣體更包含氮氣,其中該氮氣之流速係介於該氧氣之流速的10%到20%。
  17. 如申請專利範圍第16項所述之用以從晶圓上移除鹵素物種之方法,其中該除氣步驟係將碳殘餘物移除。
  18. 如申請專利範圍第17項所述之用以從晶圓上移除鹵素物種之方法,其中該不含鹵素氣體至少含有50%氧氣。
  19. 如申請專利範圍第13項所述之用以從晶圓上移除鹵素物種之方法,其中該晶圓為一矽晶圓,且其中在該處理室中處理該晶圓之步驟包含執行一含氟晶圓處理。
  20. 如申請專利範圍第19項所述之用以從晶圓上移除鹵素物種之方法,更包含在該晶圓中形成矽特徵部,其中該矽特徵部具有氧化矽側壁鈍化層,其中係執行該含氟晶圓處理來移除該氧化矽側壁鈍化層。
  21. 如申請專利範圍第13項所述之用以從晶圓上移除鹵素物種之方法,其中該不含鹵素氣體至少含有70%氧氣。
  22. 如申請專利範圍第21項所述之用以從晶圓上移除鹵素物種之方法,其中該不含鹵素氣體更包含氮氣,其中該氮氣之流速係介於該氧氣之流速的10%到20%。
  23. 如申請專利範圍第11項所述之用以從晶圓上移除鹵素物種之 方法,其中該氣流包含臭氧、氧氣或水蒸氣之至少其中一種,且更包含氮氣。
  24. 如申請專利範圍第23項所述之用以從晶圓上移除鹵素物種之方法,更包含將該處理後晶圓在從該除氣室中移出之後傳送到一隔離站,其中該隔離站容納有複數個處理後晶圓。
  25. 如申請專利範圍第24項所述之用以從晶圓上移除鹵素物種之方法,更包含將該處理後晶圓從該隔離站傳送到一晶圓盒。
  26. 如申請專利範圍第11項所述之用以從晶圓上移除鹵素物種之方法,其中將該處理後晶圓於該除氣室中處理5秒到30分鐘。
  27. 如申請專利範圍第11項所述之用以從晶圓上移除鹵素物種之方法,其中該矽晶圓包含一個以上之材料層於該晶圓之上,其中對該晶圓執行一蝕刻處理係包含蝕刻該晶圓上之該一個以上之材料層。
  28. 如申請專利範圍第27項所述之用以從晶圓上移除鹵素物種之方法,其中該一個以上之材料層包含多晶矽、SiO2、SiN、W、TiN、TiAlN、WSix、TaN、Ti、TiO2、Al2O3、或ZrO2之至少其中一材料層。
  29. 一種處理用以生產半導體裝置之晶圓的系統,包含:一入口裝載閉鎖室;一除氣站,該除氣站包含:一除氣室,能夠建立與維持真空狀態並且能夠容納該晶圓;一晶圓支座,用以在該除氣室中支托該晶圓;一UV光源,當該晶圓位於該除氣室中時,該UV光源利用UV光來處理該晶圓; 一注射器,與該除氣室相連接,用以將一氣體注入該除氣室中,使得該氣體流經容納於該除氣室中之該晶圓,其中該氣體包含氧氣、水蒸氣或臭氧之至少其中一種;一排氣系統,與該除氣室相連接,其中該排氣系統能夠使該除氣室排氣以建立真空狀態,且其中該排氣系統能夠在該氣體被注入該除氣室的同時移除該氣體,以大致維持該除氣室內之真空狀態;一第一晶圓通口,位於該除氣室;以及複數個真空密封件,圍繞該第一晶圓通口;一製程工具,該製程工具包含:一真空傳輸模組,與該入口裝載閉鎖室相連接且與該除氣室在該第一晶圓通口處相連接;以及複數個處理室,與該真空傳輸模組相連接,用以處理該晶圓;其中該複數個真空密封件圍繞該第一晶圓通口,使得該除氣室與該真空傳輸模組相連接,且該複數個真空密封件維持該除氣室與該真空傳輸模組之間的真空密封。
  30. 如申請專利範圍第29項所述之處理用以生產半導體裝置之晶圓的系統,其中該除氣站更包含:一隔離站,其中該隔離站容納有已經在除氣室中被除氣之複數個晶圓。
  31. 如申請專利範圍第29項所述之處理用以生產半導體裝置之晶圓的系統,其中該複數個處理室包含至少一處理室,用以執行下列步驟之至少其中一者:施加蝕刻遮罩、蝕刻、或剝除蝕刻遮罩。
  32. 如申請專利範圍第29項所述之處理用以生產半導體裝置之晶圓的系統,其中該除氣站更包含:一第二晶圓通口,位於該除氣室,用以將該晶圓傳送到一周遭大氣環境中;以及一大氣通氣孔,能夠使該除氣室通氣至大氣壓以準備將晶圓 傳送到大氣環境中。
  33. 如申請專利範圍第29項所述之處理用以生產半導體裝置之晶圓的系統,其中該複數個處理室包含至少一處理室,用以執行蝕刻和原位除氣。
TW099136549A 2009-10-27 2010-10-26 鹵素移除方法及設備 TWI528442B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/606,528 US8232538B2 (en) 2009-10-27 2009-10-27 Method and apparatus of halogen removal using optimal ozone and UV exposure
US12/908,258 US8525139B2 (en) 2009-10-27 2010-10-20 Method and apparatus of halogen removal

Publications (2)

Publication Number Publication Date
TW201115643A TW201115643A (en) 2011-05-01
TWI528442B true TWI528442B (zh) 2016-04-01

Family

ID=43898806

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099136549A TWI528442B (zh) 2009-10-27 2010-10-26 鹵素移除方法及設備

Country Status (6)

Country Link
US (1) US8525139B2 (zh)
JP (1) JP5693596B2 (zh)
KR (1) KR101854923B1 (zh)
CN (1) CN102549721B (zh)
TW (1) TWI528442B (zh)
WO (1) WO2011056484A2 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
US8492736B2 (en) * 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
JP2013030625A (ja) * 2011-07-28 2013-02-07 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び処理装置
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
CN102820245B (zh) * 2012-08-16 2015-02-11 上海华力微电子有限公司 具有存片槽的薄膜工艺系统及其存取片方法
KR102165264B1 (ko) 2013-10-10 2020-10-13 삼성전자 주식회사 아연 입자를 함유하는 비전도성 폴리머 막, 비전도성 폴리머 페이스트, 이들을 포함하는 반도체 패키지, 및 반도체 패키지의 제조 방법
KR102165267B1 (ko) 2013-11-18 2020-10-13 삼성전자 주식회사 Tsv 구조를 포함하는 집적회로 소자 및 그 제조 방법
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
EP3513426A4 (en) * 2016-09-14 2020-06-10 Applied Materials, Inc. DEGASSING CHAMBER FOR ARSENIC ASSOCIATED PROCESSES
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
KR102509390B1 (ko) 2017-07-24 2023-03-14 어플라이드 머티어리얼스, 인코포레이티드 산화규소 상의 초박형 비정질 규소 막의 연속성을 개선하기 위한 전처리 접근법
CN112703588A (zh) 2018-09-24 2021-04-23 应用材料公司 用于清洁和表面处理的原子氧和臭氧装置
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
JP7402715B2 (ja) * 2020-03-06 2023-12-21 東京エレクトロン株式会社 ウエハを処理する方法
US20240102153A1 (en) * 2022-09-27 2024-03-28 Applied Materials, Inc. Protective gas flow during wafer dechucking in pvd chamber

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6139524A (ja) 1984-07-31 1986-02-25 Toshiba Ceramics Co Ltd 半導体ウエ−ハの洗浄装置
JPS61160939A (ja) * 1985-01-09 1986-07-21 Nec Corp ドライエツチング後Si表面損傷の乾式による除去方法
JPH01189122A (ja) 1988-01-25 1989-07-28 Hitachi Ltd アツシング方法
JPH01272120A (ja) 1988-04-25 1989-10-31 Hitachi Ltd ドライアッシング装置
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JPH0766159A (ja) 1993-08-23 1995-03-10 Hitachi Ltd 表面処理方法及びその装置
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
KR100466307B1 (ko) 1997-10-25 2005-05-19 삼성전자주식회사 반도체소자제조장치및이를이용한디가스공정,식각공정및열처리공정
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6457478B1 (en) * 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
DE19957034B4 (de) * 1999-11-26 2006-04-13 Heraeus Noblelight Gmbh Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung
US6406929B1 (en) * 2000-06-21 2002-06-18 University Of Vermont And State Agricultural College Structure and method for abrupt PN junction diode formed using chemical vapor deposition processing
DE10101014A1 (de) * 2001-01-05 2002-07-11 Zeiss Carl Beschichtung optischer Elemente, insbesondere für Verwendung mit Ultraviolettlicht
JP2002261148A (ja) * 2001-03-05 2002-09-13 Tokyo Electron Ltd 処理システム及び被処理体の予熱方法
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6955928B1 (en) * 2001-06-18 2005-10-18 Advanced Micro Devices, Inc. Closed loop residual gas analyzer process control technique
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US7118852B2 (en) * 2002-04-11 2006-10-10 Throwleigh Technologies, L.L.C. Methods and apparatus for decontaminating fluids
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7015568B2 (en) 2003-08-21 2006-03-21 Texas Instruments Incorporated System for ultraviolet atmospheric seed layer remediation
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
WO2005038877A2 (en) * 2003-10-14 2005-04-28 Rudolph Technologies, Inc. MOLECULAR AIRBORNE CONTAMINANTS (MACs) REMOVAL AND WAFER SURFACE SUSTAINING SYSTEM AND METHOD
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US6962871B2 (en) * 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7485572B2 (en) * 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080230096A1 (en) * 2007-03-22 2008-09-25 Tokyo Electron Limited Substrate cleaning device and substrate processing apparatus
US20080254619A1 (en) * 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure

Also Published As

Publication number Publication date
CN102549721B (zh) 2016-08-03
JP2013509003A (ja) 2013-03-07
WO2011056484A2 (en) 2011-05-12
US20110097902A1 (en) 2011-04-28
KR20120092602A (ko) 2012-08-21
JP5693596B2 (ja) 2015-04-01
WO2011056484A3 (en) 2011-08-04
KR101854923B1 (ko) 2018-05-04
CN102549721A (zh) 2012-07-04
US8525139B2 (en) 2013-09-03
TW201115643A (en) 2011-05-01

Similar Documents

Publication Publication Date Title
TWI528442B (zh) 鹵素移除方法及設備
KR101010419B1 (ko) 열 프로세스에 의한 식각된 챔버로부터 할로겐 잔류물들을 제거하기 위한 통합 방법
US8492287B2 (en) Substrate processing method
US20220344136A1 (en) Dry chamber clean of photoresist films
US7374696B2 (en) Method and apparatus for removing a halogen-containing residue
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
TWI712703B (zh) 半導體裝置的製造方法、基板處理裝置及記錄媒體
US8845816B2 (en) Method extending the service interval of a gas distribution plate
US7947605B2 (en) Post ion implant photoresist strip using a pattern fill and method
WO2021262529A1 (en) Dry backside and bevel edge clean of photoresist
US8232538B2 (en) Method and apparatus of halogen removal using optimal ozone and UV exposure
CN115004110A (zh) 用于图案化辐射光致抗蚀剂图案化的集成干燥工艺
US8992689B2 (en) Method for removing halogen-containing residues from substrate
JP2010165943A (ja) 半導体装置の製造方法およびウェハ処理システム
US20240036474A1 (en) Control of metallic contamination from metal-containing photoresist
JP2004252054A (ja) 基板処理方法
KR980012056A (ko) 반도체 메모리소자의 커패시터 제조방법
JPH03273625A (ja) アルミニウム合金膜のエッチング装置