TWI624024B - 用於預清洗導電互連結構之方法 - Google Patents

用於預清洗導電互連結構之方法 Download PDF

Info

Publication number
TWI624024B
TWI624024B TW105130420A TW105130420A TWI624024B TW I624024 B TWI624024 B TW I624024B TW 105130420 A TW105130420 A TW 105130420A TW 105130420 A TW105130420 A TW 105130420A TW I624024 B TWI624024 B TW I624024B
Authority
TW
Taiwan
Prior art keywords
substrate
processing
alcohol
processing chamber
volume
Prior art date
Application number
TW105130420A
Other languages
English (en)
Other versions
TW201719844A (zh
Inventor
謝祥金
鳳全 劉
大平 姚
亞歷山大 珍森
李正周
阿道夫米勒 艾倫
先敏 唐
鎂 張
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201719844A publication Critical patent/TW201719844A/zh
Application granted granted Critical
Publication of TWI624024B publication Critical patent/TWI624024B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • C23G5/02Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents
    • C23G5/04Apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • B08B9/02Cleaning pipes or tubes or systems of pipes or tubes
    • B08B9/027Cleaning the internal surfaces; Removal of blockages
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D17/00Detergent materials or soaps characterised by their shape or physical properties
    • C11D17/0039Coated compositions or coated components in the compositions, (micro)capsules
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/261Alcohols; Phenols
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G3/00Apparatus for cleaning or pickling metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • C23G5/02Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents
    • C23G5/032Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents using organic solvents containing oxygen-containing compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • B08B3/106Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration by boiling the liquid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B9/00Cleaning hollow articles by methods or apparatus specially adapted thereto 
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G1/00Cleaning or pickling metallic material with solutions or molten salts
    • C23G1/24Cleaning or pickling metallic material with solutions or molten salts with neutral solutions
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F01MACHINES OR ENGINES IN GENERAL; ENGINE PLANTS IN GENERAL; STEAM ENGINES
    • F01DNON-POSITIVE DISPLACEMENT MACHINES OR ENGINES, e.g. STEAM TURBINES
    • F01D5/00Blades; Blade-carrying members; Heating, heat-insulating, cooling or antivibration means on the blades or the members
    • F01D5/005Repairing methods or devices
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F05INDEXING SCHEMES RELATING TO ENGINES OR PUMPS IN VARIOUS SUBCLASSES OF CLASSES F01-F04
    • F05DINDEXING SCHEME FOR ASPECTS RELATING TO NON-POSITIVE-DISPLACEMENT MACHINES OR ENGINES, GAS-TURBINES OR JET-PROPULSION PLANTS
    • F05D2230/00Manufacture
    • F05D2230/90Coating; Surface treatment

Abstract

本文提供用於處理基板之方法。在一些實施例中,一種處理基板的方法,包括以下步驟:將設置於基板處理腔室的處理容積內的基板加熱到最多攝氏約400度的溫度,其中基板包含暴露的導電材料;以及將基板暴露於處理氣體,以還原導電材料的受污染表面,處理氣體包含約80至約100重量%的醇類蒸氣。在一些實施例中,基板進一步包含第一表面,該第一表面具有形成於第一表面的開口,其中暴露的導電材料係為設置於基板中並與開口對準的導電材料的部分,而使得設置於基板中的導電材料的一部分透過開口而暴露。

Description

用於預清洗導電互連結構之方法
本發明之實施例一般係關於處理基板的方法,更特定為預清洗導電互連結構的方法。
在整合電路(IC)的互連結構的製造中,通常隨著蝕刻在通孔開口與隨後的金屬硬遮罩移除期間形成的副產物與殘留物,而污染形成於通孔底部的導電表面(如銅表面或鈷表面)。儘管可藉由隨後的乾式清洗處理移除大尺寸的顆粒,但無法藉由乾式清洗處理移除來自蝕刻相關元素(如氧、氟、及碳)或由於導電互連表面暴露於空氣的氧化物的原子級污染。
通常,氟、氧、及碳污染可藉由濕式清洗方法移除。然而,發明人已觀察到,由於與低k介電質的相容性問題以及最近的低k損傷的更緊縮要求(例如,碳耗盡問題),這些技術無法用於較新的線後端(BEOL)處理。熱或溫和的乾式清洗通常係用於後通孔蝕刻,以透過更平緩的處理改善電子效能。
因此,發明人已開發改良技術,以還原導電互連表面。
本文提供用於處理基板之方法。在一些實施例中,一種處理基板的方法,包括以下步驟:將設置於基板處理腔室的處理容積內的基板加熱到最多攝氏約400度的溫度,其中基板包含暴露的導電材料;以及將基板暴露於處理氣體,以還原導電材料的受污染表面,處理氣體包含約80至約100重量%的醇類蒸氣。在一些實施例中,基板進一步包含第一表面,第一表面具有形成於第一表面的開口,且其中導電材料係設置於基板中而與開口對準,而使得導電材料的一部分透過開口而暴露。
在一些實施例中,一種處理基板的方法,包括以下步驟:將設置於基板處理腔室的處理容積中的基板加熱到最多攝氏約400度的溫度,其中基板包含第一表面與開口,開口形成於第一表面,並朝向相對的第二表面延伸,第二表面具有設置於第二表面並與開口對準的銅材料;以及將基板暴露於約80至約100重量%的醇類,以還原銅材料的受污染表面,其中醇類具有分子式Cn H2n+1 -OH,且其中n為整數。
在一些實施例中,提供一種具有儲存其上的指令的電腦可讀取媒體,當執行該等指令時造成處理腔室執行用於處理基板的方法。該方法可包括本文所揭示之任何方法。
本發明的其他與進一步實施例將描述於後。
本文提供用於處理基板之方法。本發明方法有利地促進還原基板上暴露的導電表面。在一些實施例中,暴露的導電表面可以是形成於低k材料的導電互連表面,而可提供還原且同時維持IC互連結構的低k完整性、導電性、及可靠性。如本發明所使用的術語「還原(reduce)」、「還原(reducing)」、或「還原(reduction)」係指稱經由化學反應從表面(例如敘述於下的受污染表面224)部分或完全移除的氧。本發明方法可用於整合電路中的金屬互連的形成,或用於金屬閘或金屬接觸間隙填充處理的形成,以及可執行金屬還原的其他合適的應用。
第4圖圖示根據本發明之一些實施例之用於處理基板的方法400的流程圖。方法400示例性地將相對於如第2A-2F圖所示的處理基板的階段描述於下,並可例如在合適的反應器中執行,例如相對於第4圖描述於下者。可用於執行本文所揭示之方法的示例性處理系統可包括但不限於,可從California的Santa Clara的Applied Materials, Inc.商業取得的處理系統的Endura®、CENTURA®、或PRODUCER®線中之任一者。包括可從其他製造商取得的其他處理腔室亦可連接本文提供的教示而適當地使用。
如第2A圖所示,方法400可示例性地執行於基板200上,基板200具有開口202,開口202形成於基板200的第一表面204,並延伸進入基板200,而朝向基板200的相對第二表面206。基板200可以是具有形成於基板200的開口202的任何合適的基板。舉例而言,基板200可包含介電材料、矽(Si)、金屬、或類似物中之一或更多者。此外,基板200可包括材料的附加層,或可具有形成於基板200中或基板200上的一或更多個完整或部分完整結構。舉例而言,基板200可包括第一介電層212,如氧化矽、低k材料(例如,具有介電常數小於氧化矽或小於約3.9的材料)、或類似物。開口202可形成於第一介電層212中。在一些實施例中,第一介電層212可設置於第二介電層214上方,如氧化矽、氮化矽、碳化矽、或類似物。導電材料(例如,導電材料220)(如銅或鈷)可設置於第二介電層214中,並可與開口202對準,而使得開口在填有導電材料時提供往返導電材料的電路徑。舉例而言,導電材料可以是互連所耦接的線或通孔的部分。
開口202可以是任何開口,例如通孔、溝道、雙鑲嵌結構、或類似物。在一些實施例中,開口202的高度與寬度的縱橫比可為約4:1或更大(例如,高縱橫比)。可藉由使用任何合適的蝕刻處理蝕刻基板200而形成開口202。由於形成開口202,導電材料220形成受污染表面224。受污染表面224包含氧化表面。舉例而言,在導電材料220為銅或鈷的實施例中,受污染表面224係分別為氧化銅(CuO)或氧化鈷(CoO)。可替代地,導電材料可以是具有來自其他基板處理的受污染表面的暴露導電材料,而不一定需要透過覆蓋層中的開口暴露。
在一些實施例中,可藉由在第一介電層212上方形成圖案化遮罩層,以將開口202蝕刻進入第一介電層212,而到導電材料220的表面(例如頂面),以形成開口202。圖案化遮罩層可以是任何合適的遮罩層,例如硬遮罩或光阻層。可藉由適於形成能夠提供用於定義其下方的第一介電層212的圖案的適當模板的圖案化遮罩層的任何處理而形成圖案化遮罩層。舉例而言,在一些實施例中,可經由圖案化的蝕刻處理而形成圖案化遮罩層。在一些實施例中,開口202可以是溝道或通孔。可經由適於蝕刻介電材料以形成具有垂直或基本上垂直的側壁的開口202的任何蝕刻處理,以蝕刻開口202。舉例而言,基板200可暴露於使用含鹵素氣體所形成的蝕刻電漿,例如含氟氣體,如四氟化碳(CF4)、三氟甲烷(CHF3)、八氟環丁烷(C4F8)、六氟丁二烯(C4F6)、三氟化氮(NF3)、六氟化硫(SF6)、或類似物。
方法400藉由將基板200加熱到最多攝氏約400度的溫度,而開始於步驟402。在一些實施例中,將基板200加熱到攝氏約250至約350度的溫度。發明人已觀察到,將基板200加熱到最多攝氏約400度的溫度(例如攝氏約250至約350度)係提供下面描述的處理氣體的活化能,而與受污染表面224反應。此外,將基板200加熱到上述溫度係防止基板200上的有機殘留物的堆積與水冷凝的形成。可使用任何合適的加熱機制加熱基板200,如嵌入於基板支撐架的基板加熱器。
下一個在步驟404,而如第2B圖所示,基板200暴露於包含最多100重量%的醇類的處理氣體222。在一些實施例中,處理氣體222包含約80至約100重量%的醇類,例如約95重量%。在一些實施例中,處理氣體222由約100重量%的醇類組成,或基本上由約 100重量%的醇類組成。在一些實施例中,處理氣體222由醇類以及任選的氫自由基或氫自由基與一或更多個惰性氣體的混合物組成,或基本上由醇類以及任選的氫自由基或氫自由基與一或更多個惰性氣體的混合物組成。舉例而言,在一些實施例中,處理氣體222以由上述任何百分比的醇類以及氫自由基或氫自由基與一或更多個惰性氣體元素的混合物的處理氣體的平衡而組成,或基本上由上述任何百分比的醇類以及氫自由基或氫自由基與一或更多個惰性氣體元素的混合物的處理氣體的平衡而組成。
舉例而言,在一些實施例中,將100重量%的醇類提供到處理容積,以與基板200互相作用。因此,可將基板200暴露於100重量%的醇類。在一些實施例中,可將氫自由基或氫自由基與一或更多個惰性氣體元素的混合物(例如,氫自由基的混合物)提供到處理容積,而可與最多100重量%的醇類混合。在一些實施例中,在將基板暴露於最多100重量%的醇類之前,可將氫自由基或氫自由基的混合物提供到處理容積。在一些實施例中,可將氫自由基或氫自由基的混合物與最高100重量%的醇類同時提供到處理容積,而使得基板暴露於最多100重量%的醇類以及氫自由基或氫自由基的混合物。
在一些實施例中,醇類包含具有羥(OH)官能基的有機化合物。在一些實施例中,醇類具有化學式CnH2n+1-OH,其中n係為整數。在一些實施例中,醇類係為甲醇(CH3 OH)、乙醇(C2 H5 OH)、異丙醇(C3 H7 OH)、或丁醇(C4 H9 OH)中之一或更多者。
經汽化醇類與受污染表面224反應,而產生可從處理腔室泵出的揮發性副產物。舉例而言,經由下述反應進行經汽化乙醇與氧化銅的受污染表面224的反應,以形成二氧化碳(CO2 )、醛、及水蒸氣(H2 O)的揮發性副產物,而可從處理腔室排空,而留下導電材料220的未污染表面。 [1] 12CuO(s)+CH3 CH2 OH-->6Cu2 O(s)+2CO2 +3H2 O [2] 6Cu2 O(s)+CH3 CH2 OH-->12Cu(s)+2CO2 +3H2 O
如第2C圖所示,將基板200以上述基板溫度暴露於包含最多100重量%的醇類(如乙醇)的處理氣體222,有利地還原在導電材料220上方形成的受污染表面224,同時維持IC互連結構的低k完整性、導電性、及可靠性。此外,醇類加工有助於將受損親水低k表面恢復到親水狀態,並減少或防止低k表面的碳損耗。舉例而言,發明人已觀察到,方法400可有利地大幅減少或完全消除低k表面的碳損耗。
在一些實施例中,液態醇類係儲存於耦接到處理腔室的安瓿。將安瓿加熱到預定溫度(例如攝氏約20至約50度),以蒸發醇類。將經汽化醇類經由真空抽吸處理提供給處理腔室的處理容積。真空抽吸處理有利地允許將經汽化醇類的高濃度(例如最多100重量%的醇類)引入處理腔室的處理容積,而不需利用載流氣體稀釋處理氣體或使用機械流動機制。暴露於處理氣體期間的處理腔室的處理容積的一般處理條件包括處理腔室中的壓力約0.1至約30 Torr,或在一些實施例中約0.6至約3 Torr。
發明人已觀察到,暴露於濃處理氣體以及上述方法400所使用的壓力與溫度範圍有利地減少暴露時間,而還原導電材料220的受污染表面224。舉例而言,在以上所提供的濃度、壓力、及溫度範圍中,暴露於處理氣體最多約120秒、或約30至約120秒、或最多約60秒足以還原受污染表面224。此外,上述溫度與壓力範圍有利地改良還原處理的揮發性副產物的釋放。
在如第2D-2F圖所示之一些實施例中,除了上述受污染表面224之外,開口202的形成(或其他基板處理)導致導電材料220上方的污染物層208的形成,污染物層208包含蝕刻相關元素(如氧、氟、及碳)。在此類實施例中,方法400可進一步包含提供氫自由基到處理腔室的處理容積,以蝕刻污染物層208,並允許處理氣體222與上述受污染表面224反應。在一些實施例中,如上述方法400所述,在移除污染物層208之前,基板200暴露於氫自由基,隨後暴露於處理氣體222。在一些實施例中,如第2E圖所示,將氫自由基226提供到處理容積,並同時將基板200暴露於處理氣體222。以上述方法400所述之方式,隨著氫自由基226蝕刻污染物層208,處理氣體222還原受污染表面224,直到如第2F圖所示的導電材料220沒有污染物層208與污染物材料208。
在方法400之後,基板200可經歷附加處理,以完成互連的形成,例如沉積處理(例如,阻障層、種晶層、及導電填充材料的沉積)與蝕刻/清洗處理(如CMP)。
本文所述的方法可在獨立處理腔室中執行,獨立處理腔室可提供於單獨配置中(如第1圖所述之處理腔室72),或作為一或更多個群集工具的一部分,例如下面第3圖所述的整合工具300(亦即,群集工具)。在一些實施例中,處理上述基板的方法400可在獨立處理腔室中執行(例如,處理腔室72),獨立處理腔室係提供為單獨腔室或作為群集工具的一部分。
整合工具300的實例包括可從California的Santa Clara的Applied Materials公司取得的CENTURA®與ENDURA®整合工具。然而,可使用具有耦接其上的適合處理腔室或在其他合適的處理腔室中的其他群集工具實施本文所述之方法。舉例而言,在一些實施例中,上述發明方法可以有利地在整合工具中執行,而使得處理步驟之間係為有限或沒有真空斷流。
整合工具300可包括一或更多個裝載閘腔室306A、306B,用於將基板傳送進出整合工具300。通常,由於整合工具300係在真空下,裝載閘腔室306A、306B可「泵低」引入整合工具300的基板。第一機器人310可在裝載閘腔室306A、306B與一或更多個基板處理腔室312、314、316、318(圖示4個)的第一組之間傳送基板。可配備每一基板處理腔室312、314、316、318,以執行多個基板處理操作,除了物理氣相沉積處理(PVD)、原子層沉積(ALD)、化學氣相沉積(CVD)、預清洗、熱處理/除氣、定向、及其他基板處理之外,亦包括上述方法400。
第一機器人310亦可將基板傳送進/出一或更多個中間傳送腔室322、324。中間傳送腔室322、324可用於維持超高真空條件,同時允許基板在整合工具300內傳送。第二機器人330可在中間傳送腔室322、324與一或更多個基板處理腔室332、334、336、338的第二組之間傳送基板。類似於基板處理腔室312、314、316、318,可配備基板處理腔室332、334、336、338,以執行各種基板處理操作,例如除了原子層沉積(ALD)、化學氣相沉積(CVD)、預清洗、熱處理/除氣、及定向之外,亦包括本文所述的物理氣相沉積處理。針對由整合工具300執行的特定處理,若不需要,則可從整合工具300移除基板處理腔室312、314、316、318、332、334、336、338中之任一者。
第1圖顯示根據本發明之一些實施例之基板處理系統。舉例而言,在一些實施例中,基板處理系統可以是預清洗腔室,如可從California的Santa Clara的Applied Materials公司取得的Preclean II腔室。其他處理腔室可根據本文所提供之技術而修改。一般而言,基板處理系統(亦即系統40)包含處理腔室72,該處理腔室72具有第一容積73與第二容積75。第一容積73可包括處理腔室72的一部分,以接收(如,引入或形成)電漿77。第二容積75可包含處理腔室72的一部分,以利用來自電漿77之反應物處理基板。舉例而言,基板支撐件42可設置於處理腔室72的第二容積75內。電漿過濾器89可設置於處理腔室72中,並在第一容積73與第二容積75之間,而使得形成於第一容積73中之電漿77(或從電漿77所形成之反應物)可僅經由電漿過濾器89而抵達第二容積75。
系統40可包括耦接至處理腔室之氣體入口76,以提供可用於在第一容積中形成電漿77的一或更多個處理氣體。氣體排氣裝置78可耦接至處理腔室72,例如在包括第二容積75的處理腔室72的較低位置。在一些實施例中,RF功率源74可耦接至感應線圈98,以在處理腔室72內產生電漿77。可替代地(未圖示),可藉由如遠端電漿源或類似物而遠端產生電漿,並流入處理腔室之第一容積73。在一些實施例中,功率源80可耦接至基板支撐件42,以在離子通量出現於基板支撐件42之表面上時,控制到基板54的離子通量。舉例而言,系統40可包括控制器110,以控制系統40之一或更多個部件而執行基板54上之操作。其他或進一步之部件及系統40將敘述於下。
處理腔室72包括壁82、底部84、及頂部86。介電蓋88可設置於頂部86下方與處理套組90上方,處理套組90係耦接至處理腔室72,並經配置以支承電漿過濾器89。介電蓋88可如第1圖所示為圓頂形。介電蓋88係由介電材質(如玻璃或石英)製成,且一般為可替換部分而可在系統40中處理一定數量之基材之後替換。感應線圈98可設置環繞於介電蓋88,並耦接至RF功率源74,以感應地將RF功率耦接至第一容積73,以在第一容積73內形成電漿77。替代感應線圈98,或與感應線圈98結合,可使用遠端電漿源(未圖示)以在第一容積73中形成電漿77,或將電漿77提供至第一容積73。
處理套組90可包括環91(如,凸緣),該環91具有第一外緣93,該第一外緣93經配置以放置於該處理腔室72之壁82上。舉例而言,如第1圖所示,環91可放置於壁82上,並具有介電蓋88與頂部86。然而,第1圖所示之實施例僅為示例性,亦可能有其他實施例。舉例而言,環可經配置以放置於腔室之內側特徵結構上(未圖示),如從壁82向內延伸之唇部或類似物。環91可進一步包括第一內緣95。
處理套組90可包括主體97,主體97從環91之第一內緣95向下延伸。主體97可包括側壁99,側壁99定義基板支撐件42上方之開口100。舉例而言,如第1圖所示,開口100之直徑可超過基板支撐件42之直徑。舉例而言,形成於基板支撐件42與主體97的側壁99之間的間隙102可作為流動路徑,流動路徑讓處理氣體、副產物及其他材料排出至氣體排氣裝置78。
處理套組90可包括唇部104,唇部104從主體97之側壁99延伸至基板支撐件42上方之開口100。唇部104可經配置以支承電漿過濾器89(如下所論述)。唇部104可從主體97之側壁99延伸,舉例而言,如第1圖所示而在環91下方沿著側壁99的位置延伸。可替代地,唇部104可從主體97鄰近環91之位置延伸,例如與環91大約相同水平。唇部104可從主體97於任何合適的位置延伸,而使得電漿過濾器89可位於感應線圈98下方的平面,以防止與感應耦合之干擾,並防止任何偏離電漿在電漿過濾器89下方產生。
唇部104可具有第二內緣106,第二內緣10經配置以在第二內緣106上支撐電漿過濾器89之周緣。舉例而言,第二內緣106可包括凹槽108,凹槽108係設置環繞於第二內緣106,以在凹槽108中支承電漿過濾器89。然而,凹槽108僅為支承電漿過濾器89之一個示例性實施例,並可使用其他合適的保持機構。
處理套組90可包含與執行於系統40中的處理相容的任何合適材料。處理套組90之部件可用於定義第一容積73與第二容積75。舉例而言,可至少藉由環91、唇部104、電漿過濾器89、及介電蓋88定義第一容積73。舉例而言,在一些實施例中,如第1圖所示,可進一步由主體97之側壁99定義第一容積73。舉例而言,可藉由唇部104、電漿過濾器89、主體97、及基板支撐件42定義第二容積75。
在電漿77形成於處理腔室中之後,可使用電漿過濾器89以限制電漿77的離子流。電漿過濾器89包含複數個開口87,複數個開口87係從電漿過濾器89之面對第一容積表面83透過電漿過濾器89到電漿過濾器89之面對第二容積表面85設置。複數個開口87將第一容積73流動耦接至第二容積75。
回到系統40,氣體入口76連接至處理氣體供應器92,並於處理期間將處理氣體引入系統40。如圖所示,氣體入口76經由介電蓋88而耦接至第一容積73。然而,氣體入口76可在任何合適的位置而耦接進入第一容積73。氣體排氣裝置78可包含伺服控制節流閥94與真空泵96。在處理之前,真空泵96排空系統40。在處理期間,真空泵96與伺服控制節流閥94維持處理期間系統40內的預定壓力。在一些實施例中,由處理氣體供應器92引入的處理氣體可包含適於形成氫自由基的含氫氣體(如氫(H2 ))與惰性氣體(如氬(Ar)或氦(He))的一或更多者。在一些實施例中,處理氣體包含H2 及He的混合物,其中H2 為約5%。
處理腔室72進一步耦接到適於支承液態醇類的安瓿79。如上所述,將安瓿79維持於預定溫度(例如攝氏約20至約50度的溫度),以確保醇類的蒸氣壓基本上保持恆定。經由氣體入口81將經汽化醇類提供到第二容積75。
基板支撐件42一般包括加熱器44、RF電極46、及夾持電極48中之一或更多者。舉例而言,RF電極46可包含鈦,且可連接至功率源80,以在處理期間提供RF偏壓。使用至RF電極46的偏壓功率可促進電漿引發(plasma ignition)及/或離子流的控制。然而,來自RF電極46的偏壓功率可能無法與系統40之所有實施例相容。因此,在這些情況中可藉由其他手段達成電漿引發。舉例而言,在足夠高的壓力(取決於氣體類型)下,感應線圈98與第一容積73之間的電容耦合可導致電漿引發。
基板支撐件42可包括夾持電極48,當夾持電極48設置於基板支撐件42上時,夾持電極48用以將基板54緊固至基板支撐件42之表面。夾持電極48可透過匹配網路(未圖示)而耦接至夾持功率源50。夾持功率源50可以在約2 MHz或約13.56 MHz或約60 MHz的頻率下,而能夠產生最高12000 W。在一些實施例中,夾持功率源50可提供連續或脈衝功率。在一些實施例中,夾持功率源可以是DC或脈衝DC源。
基板支撐件可包括加熱器44,當加熱器44設置於基板支撐件42上時,加熱器44將基板54加熱至預定溫度。加熱器44可以是適於提供控制基板溫度之任何類型的加熱器。舉例而言,加熱器44可以是電阻式加熱器。在此類實施例中,加熱器44可耦接至功率源52,功率源52經配置以將功率提供到加熱器44,而促進加熱該加熱器44。在一些實施例中,加熱器44可設置於基板支撐件42之表面上方或鄰近基板支撐件42之表面。可替代或與之結合,在一些實施例中,加熱器可嵌入基板支撐件42。可變化加熱器44之數量及佈置,而提供對基板54之溫度的額外控制。舉例而言,在使用一個以上的加熱器之實施例中,加熱器可佈置於複數個區域中,以促進遍佈基板54之溫度的控制,而因此提供經增加的溫度控制。
控制器110包含中央處理單元(CPU)112、記憶體114、及用於CPU 112之支援電路116,並促進系統40的部件的控制以及如系統40中處理基板之方法。控制器110可以是任何形式之通用目的電腦處理器,通用目的電腦處理器可用於控制各種腔室與子處理器的工業設定。CPU 112之記憶體114或電腦可讀取媒體可以是易於取得之記憶體中之一或更多者,如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、或任何其他形式之本端或遠端的數位儲存。支援電路116耦接至CPU 112,用於以傳統方式支援處理器。這些電路包括快取、電源供應器、時脈電路、輸入/輸出電路與子系統、及類似物。記憶體114儲存軟體(源代碼或目標代碼),可執行或可調用該軟體以利用本文所述之方式控制系統40的操作。軟體例式亦可由第二CPU(未圖示)儲存及/或執行,該第二CPU位於CPU 112所控制之硬體的遠端。
在操作的實例中,基板54位於基板支撐件42上,並將第二容積75加壓至約0.1至約30 Torr,或約0.6至約3 Torr。由於第二容積75與安瓿79之間的壓力差,醇類處理氣體(如乙醇)係透過氣體入口81吸入到第二容積75。為了啟動反應,將基板54加熱到攝氏約250至約350度的溫度。將基板暴露於乙醇約30至約120秒,以還原導電材料220的受污染表面224。在一些實施例中,處理氣體(如氫(H2 ))透過氣體入口76而引入第一容積73。由氫氣形成的電漿的氫自由基係透過感應耦合及/或電容耦合而產生於處理區域中。可藉由施加適當功率至感應線圈98而產生電漿77。如上所述,氫自由基移除污染物層208以及經汽化醇類還原受污染表面224,而導致導電材料220保持IC互連結構的低k完整性、導電率、及可靠性。
可使用其他半導體基板處理系統而實施本發明,其中那些該領域具有通常知識者可在不悖離本發明之精神而利用本文之教示而調整處理參數,以實現可接受特性。
儘管上述內容係針對本發明之實施例,但在不悖離本發明的基本範疇之情況下,可設計本發明的其他與進一步實施例。
40‧‧‧系統
42‧‧‧基板支撐件
44‧‧‧加熱器
46‧‧‧RF電極
48‧‧‧夾持電極
50‧‧‧夾持功率源
52‧‧‧功率源
54‧‧‧基板
72‧‧‧處理腔室
73‧‧‧第一容積
74‧‧‧RF功率源
75‧‧‧第二容積
76‧‧‧氣體入口
77‧‧‧電漿
78‧‧‧氣體排氣裝置
79‧‧‧安瓿
80‧‧‧功率源
81‧‧‧氣體入口
82‧‧‧壁
83‧‧‧面對第一容積表面
84‧‧‧底部
85‧‧‧面對第二容積表面
86‧‧‧頂部
87‧‧‧開口
88‧‧‧介電蓋
89‧‧‧電漿過濾器
90‧‧‧處理套組
91‧‧‧環
92‧‧‧處理氣體供應器
93‧‧‧第一外緣
94‧‧‧伺服控制節流閥
95‧‧‧第一內緣
96‧‧‧真空泵
97‧‧‧主體
98‧‧‧感應線圈
99‧‧‧側壁
100‧‧‧開口
102‧‧‧間隙
104‧‧‧唇部
106‧‧‧第二內緣
108‧‧‧凹槽
110‧‧‧控制器
112‧‧‧CPU
114‧‧‧記憶體
116‧‧‧支援電路
200‧‧‧基板
202‧‧‧開口
204‧‧‧第一表面
206‧‧‧第二表面
208‧‧‧污染物層
212‧‧‧第一介電層
214‧‧‧第二介電層
220‧‧‧導電材料
222‧‧‧處理氣體
224‧‧‧受污染表面
226‧‧‧氫自由基
300‧‧‧整合工具
306A‧‧‧裝載閘腔室
306B‧‧‧裝載閘腔室
310‧‧‧第一機器人
312‧‧‧基板處理腔室
314‧‧‧基板處理腔室
316‧‧‧基板處理腔室
318‧‧‧基板處理腔室
322‧‧‧中間傳送腔室
324‧‧‧中間傳送腔室
330‧‧‧第二機器人
332‧‧‧基板處理腔室
334‧‧‧基板處理腔室
336‧‧‧基板處理腔室
338‧‧‧基板處理腔室
400‧‧‧方法
402‧‧‧步驟
404‧‧‧步驟
為讓上文簡要概述且下文更詳細論述的本發明的實施例更明顯易懂,可配合本發明的參考實施例說明,該等實施例係圖示於隨附圖式中。然而,隨附圖式僅繪示本發明之典型實施例,且由於本發明可允許其他均等有效的實施例,因此不應視為限制範圍。
第1圖圖示根據本發明之一些實施例之基板處理系統的示意圖。
第2A-F圖圖示根據本發明之一些實施例之處理基板的階段。
第3圖圖示根據本發明之一些實施例之適於執行用於處理基板的方法的群集工具。
第4圖圖示根據本發明之一些實施例之用於處理基板的方法的流程圖。
為促進理解,圖式中相同的元件符號儘可能指定相同的元件。為清楚說明,以上圖式已經簡化且未按比例繪製。一個實施例的元件與特徵可有利地併入其他實施例,在此不另外詳述。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
(請換頁單獨記載) 無

Claims (18)

  1. 一種處理一基板的方法,包含以下步驟:將設置於一基板處理腔室的一處理容積內的一基板加熱到最多攝氏約400度的一溫度,其中該基板包含:一第一表面、一相對的第二表面,及一開口,該開口被形成在該第一表面中和朝向該相對的第二表面延伸,及其中該第二表面包含:一導電材料,該導電材料被設置在該第二表面中和與該開口對準;將一安瓿加熱至一預定溫度以蒸發一液態醇類,其中該安瓿被設置在該處理腔室的外面;將該蒸發的醇類引入該處理腔室;以及將該基板實質上暴露於一處理氣體,以還原該導電材料的一受污染表面,該處理氣體包含約80至約100重量%的該蒸發的醇類。
  2. 如請求項1所述之方法,其中該導電材料係為銅或鈷。
  3. 如請求項1所述之方法,其中該醇類具有一化學式CnH2n+1-OH,其中n係為一整數。
  4. 如請求項1所述之方法,其中該基板處理腔室的一壓力在將該基板暴露於該處理氣體時係為約0.1至約30Torr。
  5. 如請求項1所述之方法,其中該基板處理腔 室的一壓力在將該基板暴露於該處理氣體時係為約0.6至約3Torr。
  6. 如請求項1所述之方法,進一步包含以下步驟:將該基板暴露於該處理氣體最多約60秒。
  7. 如請求項1所述之方法,其中該預定溫度係攝氏約20到約50度,而其中該安瓿中的該醇類的一蒸氣壓基本上恆定。
  8. 如請求項1所述之方法,進一步包含以下步驟:將經汽化醇類經由一真空抽吸處理從該安瓿抽取到該處理容積。
  9. 如請求項1所述之方法,進一步包含以下步驟:將該基板暴露於氫自由基,該等氫自由基係從耦接到該基板處理腔室的一遠端電漿源提供到該處理容積。
  10. 如請求項3所述之方法,其中該醇類係為乙醇、異丙醇、甲醇、或丁醇中之一或更多者。
  11. 如請求項9所述之方法,其中在將該基板暴露於該處理氣體之前或與將該基板暴露於該處理氣體同時的至少一者的情況下,將該等氫自由基提供到該處理容積。
  12. 一種處理一基板的方法,包含以下步驟:將設置於一基板處理腔室的一處理容積中的一基板 加熱到最多攝氏約400度的一溫度,其中該基板包含一第一表面與一開口,該開口形成於該第一表面,並朝向相對的一第二表面延伸,該第二表面具有設置於該第二表面並與該開口對準的一銅材料;將一安瓿加熱至一預定溫度以蒸發一液態醇類,其中該安瓿被設置在該處理腔室的外面;將該蒸發的醇類引入該處理腔室;以及將該基板實質上暴露於包含約80至約100重量%的該蒸發的醇類的一處理氣體,以還原該銅材料的一受污染表面,其中該醇類具有一分子式CnH2n+1-OH,且其中n為一整數。
  13. 如請求項12所述之方法,進一步包含以下步驟:將該基板暴露於氫自由基,該等氫自由基係從耦接到該基板處理腔室的一遠端電漿源提供到該處理容積。
  14. 如請求項12所述之方法,進一步包含以下步驟:將該基板暴露於該醇類最多約60秒。
  15. 如請求項12所述之方法,其中該預定溫度係攝氏約20到約50度,而其中該安瓿中的該醇類的一蒸氣壓基本上恆定。
  16. 如請求項12所述之方法,進一步包含以下 步驟:將經汽化醇類經由一真空抽吸處理從該安瓿抽取到該處理容積。
  17. 如請求項13所述之方法,其中在將該基板暴露於該醇類之前或與將該基板暴露於該醇類同時的至少一者的情況下,將該等氫自由基提供到該處理容積。
  18. 一種處理一基板的方法,包含以下步驟:將設置於一基板處理腔室的一處理容積中的一基板加熱到最多攝氏約400度的一溫度,其中該基板包含一第一表面、一相對的第二表面,及一開口,該開口被形成在該第一表面中和朝向該相對的第二表面延伸,及其中該第二表面包含:一導電材料,該導電材料被設置在該第二表面中和與該開口對準;將該基板暴露於包含約80至約100重量%的一醇類的一處理氣體,以還原該導電材料的一受污染表面;及將該基板暴露於氫自由基,該等氫自由基係從耦接到該基板處理腔室的一遠端電漿源提供到該處理容積,其中在將該基板暴露於該處理氣體之前或與將該基板暴露於該處理氣體同時的至少一者的情況下,將該等氫自由基提供到該處理容積。
TW105130420A 2015-10-02 2016-09-21 用於預清洗導電互連結構之方法 TWI624024B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562236470P 2015-10-02 2015-10-02
US62/236,470 2015-10-02
US14/958,459 US9460959B1 (en) 2015-10-02 2015-12-03 Methods for pre-cleaning conductive interconnect structures
US14/958,459 2015-12-03

Publications (2)

Publication Number Publication Date
TW201719844A TW201719844A (zh) 2017-06-01
TWI624024B true TWI624024B (zh) 2018-05-11

Family

ID=56995293

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105130420A TWI624024B (zh) 2015-10-02 2016-09-21 用於預清洗導電互連結構之方法

Country Status (5)

Country Link
US (2) US9460959B1 (zh)
KR (1) KR102655798B1 (zh)
CN (1) CN108138336B (zh)
TW (1) TWI624024B (zh)
WO (1) WO2017058567A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI721643B (zh) * 2018-11-30 2021-03-11 台灣積體電路製造股份有限公司 積體晶片的互連結構及其形成方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960118B2 (en) * 2016-01-20 2018-05-01 Globalfoundries Inc. Contact using multilayer liner
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) * 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11037779B2 (en) * 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN110797298A (zh) * 2018-08-03 2020-02-14 群创光电股份有限公司 电子装置及其制备方法
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11891690B2 (en) 2019-08-12 2024-02-06 Applied Materials, Inc. Molybdenum thin films by oxidation-reduction
CN113471070B (zh) * 2020-05-22 2022-04-12 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工
US11939666B2 (en) * 2020-06-01 2024-03-26 Applied Materials, Inc. Methods and apparatus for precleaning and treating wafer surfaces
US11443936B2 (en) * 2020-06-19 2022-09-13 Applied Materials, Inc. Methods and apparatus for aluminum oxide surface recovery
KR102451424B1 (ko) * 2020-07-14 2022-10-05 이창훈 롤투롤 플라즈마 생성 장치를 이용한 기재의 표면 세정 시스템 및 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181041A1 (en) * 2000-09-07 2003-09-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050241672A1 (en) * 2004-04-28 2005-11-03 Texas Instruments Incorporated Extraction of impurities in a semiconductor process with a supercritical fluid
TW201110230A (en) * 2009-04-09 2011-03-16 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and computer-readable storage medium

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100235937B1 (ko) * 1992-03-31 1999-12-15 김영환 반도체소자 제조공정의 비아 콘택형성방법
KR940012061A (ko) * 1992-11-27 1994-06-22 가나이 쯔또무 유기물제거방법 및 그 방법을 이용하기 위한 유기물제거장치
US6030754A (en) * 1996-02-05 2000-02-29 Texas Instruments Incorporated Photoresist removal without organic solvent following ashing operation
KR100252223B1 (ko) * 1997-08-30 2000-04-15 윤종용 반도체장치의 콘택홀 세정방법
KR100319881B1 (ko) * 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US20020160606A1 (en) * 2001-02-14 2002-10-31 Siefering Kevin L. Method for material removal from an in-process microelectronic substrate
US6918192B2 (en) * 2002-11-07 2005-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Substrate drying system
US20040194806A1 (en) * 2003-04-02 2004-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. IPA concentration interlock detector for substrate dryer
US20050039775A1 (en) * 2003-08-19 2005-02-24 Whitlock Walter H. Process and system for cleaning surfaces of semiconductor wafers
JP2005268312A (ja) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc レジスト除去方法及びそれを用いて製造した半導体装置
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
US20060180572A1 (en) * 2005-02-15 2006-08-17 Tokyo Electron Limited Removal of post etch residue for a substrate with open metal surfaces
US20070037389A1 (en) 2005-08-11 2007-02-15 Shu-Jen Chen Method for electroless plating metal cap barrier on copper
JP4816052B2 (ja) * 2005-12-13 2011-11-16 東京エレクトロン株式会社 半導体製造装置及び半導体装置の製造方法
KR100818708B1 (ko) * 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US9418831B2 (en) * 2007-07-30 2016-08-16 Planar Semiconductor, Inc. Method for precision cleaning and drying flat objects
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
MY162497A (en) * 2009-10-16 2017-06-15 Linde Ag Cleaning of copper wire using plasma or activated gas
US8633117B1 (en) * 2012-11-07 2014-01-21 International Business Machines Corporation Sputter and surface modification etch processing for metal patterning in integrated circuits
JP5977720B2 (ja) * 2013-08-27 2016-08-24 東京エレクトロン株式会社 基板処理方法、基板処理システムおよび記憶媒体

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030181041A1 (en) * 2000-09-07 2003-09-25 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US20050241672A1 (en) * 2004-04-28 2005-11-03 Texas Instruments Incorporated Extraction of impurities in a semiconductor process with a supercritical fluid
TW201110230A (en) * 2009-04-09 2011-03-16 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method, and computer-readable storage medium

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI721643B (zh) * 2018-11-30 2021-03-11 台灣積體電路製造股份有限公司 積體晶片的互連結構及其形成方法

Also Published As

Publication number Publication date
CN108138336A (zh) 2018-06-08
CN108138336B (zh) 2021-08-27
WO2017058567A1 (en) 2017-04-06
KR20180051662A (ko) 2018-05-16
US10283345B2 (en) 2019-05-07
KR102655798B1 (ko) 2024-04-05
TW201719844A (zh) 2017-06-01
US9460959B1 (en) 2016-10-04
US20170098540A1 (en) 2017-04-06

Similar Documents

Publication Publication Date Title
TWI624024B (zh) 用於預清洗導電互連結構之方法
JP5911068B2 (ja) ワークピース上の誘電体層から材料を除去する方法および装置、並びに、ワークピース上の誘電体層から材料を除去する段階を備える集積回路を製造する方法
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TWI657499B (zh) 蝕刻方法
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US20160196985A1 (en) Method and apparatus for anisotropic tungsten etching
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
JP4919871B2 (ja) エッチング方法、半導体装置の製造方法および記憶媒体
US20080050922A1 (en) Chamber recovery after opening barrier over copper
US8216861B1 (en) Dielectric recovery of plasma damaged low-k films by UV-assisted photochemical deposition
TWI518773B (zh) 在高劑量植入剝除前保護矽之增強式鈍化製程
TWI514516B (zh) 保護外露式低k表面的方法
JP4999419B2 (ja) 基板処理方法および基板処理システム、ならびにコンピュータ読取可能な記憶媒体
US20110168205A1 (en) Substrate cleaning method and substrate cleaning apparatus
CN107017162B (zh) 具有高产量的超高选择比的多晶硅蚀刻
US6325861B1 (en) Method for etching and cleaning a substrate
TW201725279A (zh) 基板處理設備及方法
US8992689B2 (en) Method for removing halogen-containing residues from substrate
CN100468652C (zh) 在半导体基底的金属结构表面去除残余物的方法
KR20230124008A (ko) 기판 처리 방법 및 기판 처리 장치
JP3887123B2 (ja) ドライエッチング方法
KR102476422B1 (ko) 피가공물을 처리하는 방법
TW201633403A (zh) 電漿處理方法
CN116457919A (zh) 用于半导体图案化应用的氧化锡及碳化锡材料
TW201306125A (zh) 灰化後側壁修復