TWI654683B - 蝕刻雙鑲嵌結構中的介電阻隔層之方法 - Google Patents

蝕刻雙鑲嵌結構中的介電阻隔層之方法

Info

Publication number
TWI654683B
TWI654683B TW103144829A TW103144829A TWI654683B TW I654683 B TWI654683 B TW I654683B TW 103144829 A TW103144829 A TW 103144829A TW 103144829 A TW103144829 A TW 103144829A TW I654683 B TWI654683 B TW I654683B
Authority
TW
Taiwan
Prior art keywords
layer
substrate
barrier layer
dielectric barrier
dielectric
Prior art date
Application number
TW103144829A
Other languages
English (en)
Other versions
TW201532143A (zh
Inventor
任河
高嘉玲
康席恩S
潘德瑞米亞T
奈馬尼史林尼法斯D
那克美荷B
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201532143A publication Critical patent/TW201532143A/zh
Application granted granted Critical
Publication of TWI654683B publication Critical patent/TWI654683B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

茲提供可排除雙鑲嵌結構中之傳導層的早期暴露,並可蝕刻雙鑲嵌結構中之介電阻障層的方法。在一個實施例中,用於蝕刻設置在基板上的介電阻障層之方法包括下列步驟:使用硬遮罩層作為蝕刻遮罩來圖案化基板,該基板具有設置於介電阻障層上之介電塊體絕緣層,而硬遮罩層設置於介電塊體絕緣層上;在移除未由硬遮罩層所覆蓋之介電塊體絕緣層之後,暴露介電阻障層的一部分;從基板移除硬遮罩層;以及後續蝕刻由介電塊體絕緣層所暴露之介電阻障層。

Description

蝕刻雙鑲嵌結構中的介電阻隔層之方法
本發明的實施例一般與形成半導體元件的方法有關。詳言之,本發明的實施例一般與蝕刻介電阻障層的方法有關,所述蝕刻介電阻障層的方法不會損壞用於製造半導體元件的下方導電結構。
可靠地製造次半微米(sub-half micron)以及更小的特徵結構是用於半導體元件的下一代超大型積體電路(very large scale integration,VLSI)以及極大型積體電路(ultra large-scale integration,ULSI)的關鍵技術挑戰之一。然而,隨電路技術的邊際受壓縮,在VLSI及ULSI互連技術的收縮維度(shrinking dimension)對處理能力有額外的需求。對VLSI及ULSI的成功,以及對增加電路密度及個別基板與晶粒之品質的後續努力而言,在基板上可靠形成閘結構是重要的。
經圖案化遮罩,如光阻層或硬遮罩層,慣用於蝕刻基板上的結構,如閘結構、淺溝槽隔離區域(shallow trench isolation,STI)、位元線及類似結構或背端雙鑲嵌結構(back end dual damascene structure)。傳統上藉由使用微影製程,以 光學方式將具有期望臨界維度(critical dimension)的圖案轉移至光阻層,來製造經圖案化遮罩。接著顯影光阻層來移除光阻的非期望部分,從而在保留的光阻中產生開口。
當積體電路組件之尺寸減小(例如,減小至次微米尺寸)時,必須小心地選擇用來製造此類組件之材料,以便獲得滿意水平的電氣效能。例如,當相鄰金屬內連線之間的距離及/或隔離內連線的介電質塊體絕緣材料之厚度具有次微米尺寸時,在金屬內連線之間電容耦合之可能性較高。相鄰金屬內連線之間的電容耦合可產生串擾(cross talk)及/或電阻-電容(resistance-capacitance,RC)延遲,該串擾及/或電阻-電容延遲使積體電路之整體效能降級且可使電路無法操作。為了盡量減少相鄰金屬內連線之間的電容耦合,需要低介電常數塊體絕緣材料(例如,小於約4.0之介電常數)。低介電常數塊體絕緣材料之實例包括二氧化矽(SiO2)、矽酸鹽玻璃、氟矽酸鹽玻璃(fluorosilicate glass;FSG)及摻碳氧化矽(SiOC)等等。
另外,通常利用介電阻障層來將金屬內連線與介電質塊體絕緣材料分開。介電阻障層最小化金屬自內連線材料至介電質塊體絕緣材料中之擴散。金屬至介電質塊體絕緣材料中之擴散為不理想的,因為此擴散可影響積體電路之電氣效能,或使電路無法操作。介電阻障層需要具有低介電常數,以便維持導電線之間的介電質堆疊之低介電常數特性。介電阻障層亦充當用於介電質塊體絕緣層蝕刻製程之蝕刻終止層,以使下層金屬將不暴露於蝕刻環境。介電阻障層典型具 有約5.5或更小之介電常數。介電阻障層之實例為碳化矽(SiC)及含氮碳化矽(SiCN)等材料。
在介電阻障層蝕刻製程之後,下方之傳導層的上表面被暴露於空氣。然而,下方傳導層的早期暴露可導致傳導層在後續蝕刻製程期間遭遇氧化情況,或過度暴露於周遭環境,如此可能在後續金屬化製程之前於金屬表面上不利地堆積原生氧化物或汙染物。過量的原生氧化物累積或污染物可不利地影響用以在金屬化製程期間黏附至基板表面的金屬元素之成核能力。此外,界面處之不良黏附可亦導致非所要的高接觸電阻,藉此導致元件之非所要的不良的電氣性質。另外,在後端互連中之金屬元素之不良成核可不僅影響元件之電氣效能,而且亦影響隨後形成於該等元件上的導電接觸材料之整合。
因此,需要改良的方法來排除雙鑲嵌結構中之傳導層的早期暴露,並以可使基板氧化及汙染最小化之良好介面品質控制來蝕刻介電阻障層。
茲提供可排除雙鑲嵌結構中之傳導層的早期暴露,並可蝕刻雙鑲嵌結構中之介電阻障層的方法。在一個實施例中,用於蝕刻設置在基板上的介電阻障層之方法包括下列步驟:使用硬遮罩層作為蝕刻遮罩來圖案化基板,該基板具有設置於介電阻障層上之介電塊體絕緣層,而硬遮罩層設置於介電塊體絕緣層上;在移除未由硬遮罩層所覆蓋之介電塊體絕緣層之後,暴露介電阻障層的一部分;從基板移除 硬遮罩層;以及後續蝕刻由介電塊體絕緣層所暴露之介電阻障層。
在另一實施例中,用於蝕刻設置在基板上的介電阻障層之方法包括下列步驟:使用硬遮罩層作為蝕刻遮罩來圖案化基板,基板具有設置於介電阻障層上之介電塊體絕緣層,硬遮罩層設置於介電塊體絕緣層上;在移除未由硬遮罩層所覆蓋之介電塊體絕緣層之後,暴露介電阻障層的一部分;從基板移除硬遮罩層;藉由在蝕刻氣體混合物中產生電漿,以蝕刻設置於基板上之介電阻障層,來後續蝕刻由介電塊體絕緣層所暴露之介電阻障層,其中蝕刻氣體混合物包括氨氣及三氟化氮;以及電漿退火基板,以從基板移除介電阻障層。
在又一實施例中,用於蝕刻設置在基板上的介電阻障層之方法包括下列步驟:使用硬遮罩層作為蝕刻遮罩來圖案化基板,基板具有設置於介電阻障層上之介電塊體絕緣層,硬遮罩層設置於介電塊體絕緣層上;在移除未由硬遮罩層所覆蓋之介電塊體絕緣層之後,暴露介電阻障層的一部分;從基板移除硬遮罩層;以及後續蝕刻由介電塊體絕緣層所暴露之介電阻障層,介電阻障層之蝕刻進一步包含下列步驟:於介電阻障層上進行處理製程;於供應進入蝕刻製程腔室之蝕刻氣體混合物中進行遠端電漿製程,以蝕刻設置於基板上之經處理的介電阻障層;以及進行電漿退火製程,以退火介電阻障層,以自基板移除介電阻障層。
100‧‧‧腔室
112‧‧‧腔室本體
114‧‧‧狹縫閥開口
115‧‧‧通道
120‧‧‧襯墊
125‧‧‧孔
129‧‧‧泵送通道
130‧‧‧真空泵
131‧‧‧真空埠
132‧‧‧節流閥
140‧‧‧蓋組件
143‧‧‧第一電極
145‧‧‧第二電極
150‧‧‧空腔
152‧‧‧功率源
154‧‧‧氣體入口
155A‧‧‧上方部分
155B‧‧‧下方部分
155‧‧‧擴展區段
156‧‧‧上方區段
157‧‧‧內表面
160‧‧‧隔離環
165‧‧‧通孔
170‧‧‧配送板
172‧‧‧通孔
174‧‧‧通道
175‧‧‧阻斷板
176‧‧‧通孔
178‧‧‧蓋體凸緣
179‧‧‧通道
180‧‧‧支撐組件
181‧‧‧電極
183‧‧‧舉升機構
184‧‧‧RF偏壓功率源
185‧‧‧支撐構件
186‧‧‧RF偏壓功率源
187‧‧‧軸桿
188‧‧‧伸縮管
189‧‧‧匹配電路
190‧‧‧可移動的頂板
192‧‧‧穿孔
193‧‧‧舉升銷
195‧‧‧舉升環
196‧‧‧邊緣環
197‧‧‧導管
198‧‧‧流體通道
199‧‧‧導管
200‧‧‧系統
202、204‧‧‧負載鎖定腔室
210‧‧‧第一機械手臂
212、214、216‧‧‧製程腔室
222、224‧‧‧傳遞腔室
232、234、236、238‧‧‧製程腔室
230‧‧‧第二機械手臂
300‧‧‧製程序列
302~310‧‧‧方塊
400‧‧‧堆疊
402‧‧‧基板
404‧‧‧絕緣堆疊
406‧‧‧傳導層
408‧‧‧介電阻障層
410‧‧‧介電塊體絕緣層
412‧‧‧第二覆蓋層
414‧‧‧第一覆蓋層
416‧‧‧硬遮罩層
418‧‧‧平坦化層
420、422‧‧‧雙層抗反射塗佈(ARC)層
424‧‧‧經圖案化光阻層
426‧‧‧開口
428‧‧‧雙層抗反射塗佈(ARC)層的部分
430‧‧‧溝槽
432‧‧‧溝槽
434‧‧‧介層孔
436‧‧‧介電阻障層的表面
438‧‧‧介層孔的底部表面
444‧‧‧暫時性底表面
450‧‧‧邊角
為能詳細瞭解本發明以上所載特徵,可參閱多個實施例閱讀以上扼要整理之本發明的更具體說明內容,且部分實施例圖示於附圖中。然而應注意,該等附圖僅示出本發明的代表性實施例,故而不應視為本發明範圍之限制,因為本發明容許做出其他等效實施例。
第1圖為說明性製程腔室的剖面圖,本發明的實施例可實施於該說明性製程腔室中;第2圖為說明性多腔室處理系統的概要俯視圖;第3圖描繪根據本發明的一個實施例,用於形成雙鑲嵌結構製程流之流程圖;以及第4A至4E圖描繪根據本發明的一個實施例,設置於雙鑲嵌結構中的介電阻障層和導電材料經過一系列用以形成雙鑲嵌結構的蝕刻製程之剖面視圖。
為有助於理解,盡可能地使用相同元件符號代表該等圖式中共有的相同元件。無需進一步詳述,便能思及可將一個實施例的元件和特徵有利地併入其他實施例中。
然而,應注意的是,該等附圖僅圖示本發明的示範性實施例,故而不應將該等附圖視為本發明範圍之限制,本發明容許做出其他等效實施例。
本文揭示了用以形成雙鑲嵌結構而不會早期暴露形成於雙鑲嵌結構中之下方傳導層,並用以蝕刻雙鑲嵌結構中之介電阻障層的方法,本文所揭示之方法提供了具有高蝕刻選擇性的蝕刻製程,及雙鑲嵌製造製程後之介面高品質。在 一個實施例中,介電阻障層蝕刻製程可包括循環蝕刻製程,以重複而漸進地蝕刻介電阻障層,直到暴露下方傳導層為止。進一步,也以一方式安排用以蝕刻形成於雙鑲嵌結構中之膜材料的順序(被稱為「阻障最後打開(Barrier Open Last)」製程),以削減傳導層在介電阻障層蝕刻製程後之暴露時間。藉由利用具高蝕刻選擇性的蝕刻製程加上「阻障最後打開(Barrier Open Last)」製程序列,可獲得良好的介面控制。有鑑於此,可有效地控制雙鑲嵌結構中之傳導層在蝕刻製程後的暴露時間,而最小化氧化物或污染物的產生,從而增加製程彈性,並增強元件的電子效能。
第1圖為說明性製程腔室100的剖面圖,製程腔室100適於進行如進一步於下文中描述的用以蝕刻介電阻障層之蝕刻製程。腔室100經配置以從基板表面移除材料。適於實行本發明的一種製程腔室100為可自加州勝大克勞拉市的應用材料公司獲得的SiconiTM製程腔室。請注意,由其它製造商獲得的其它真空製程腔室可能也適用來實行本發明。
製程腔室100可加熱基板表面也可冷卻基板表面而不會破壞真空。在一個實施例中,製程腔室100包括腔室本體112、蓋組件140及支撐組件180。蓋組件140設置在腔室本體112的上端處,且支撐組件180至少部份設置在腔室本體112內。
腔室本體112可包括狹縫閥開口114形成於腔室本體112的側壁中,以提供進入製程腔室100內部的通路。可選擇性地開啟或關閉狹縫閥開口114,以容許藉由晶圓操作機 械手臂(未繪示)來進入腔室本體112的內部。
在一或多個實施例中,腔室本體112可包括通道115形成於腔室本體112中,用於供熱傳流體流過通道115。熱傳流體可為加熱流體或冷卻劑,並可用以在處理期間控制腔室本體112的溫度。對於防止在腔室本體112的內部上之非期望的氣體或副產物凝結而言,控制腔室本體112的溫度是重要的。範例熱傳流體可包括水、乙二醇或前述流體的混合物。範例熱傳流體也可包括氮氣。
腔室本體112可進一步包括襯墊120,襯墊120圍繞支撐組件180。為了保養及清潔,襯墊120是可移除的。可用金屬(如鋁)、陶瓷材料或任何其它製程相容性材料來製造襯墊120。襯墊120可受到珠粒轟擊,以增加表面粗糙度及/或表面積,而表面積的增加可增加沉積於襯墊上的任何材料之附著力,從而防止材料剝落,材料剝落會導致製程腔室100的汙染。在一或多個實施例中,襯墊120可包括形成於襯墊120中的一或多個孔125及泵送通道129,泵送通道129與真空埠131流體連通。孔125可為進入泵送通道129的氣體提供流動路徑,泵送通道129則為製程腔室100內的氣體提供通往真空埠131的出口。
真空系統耦接真空埠131。真空系統可包括真空泵130及節流閥132,以調控通過製程腔室100的氣體流。真空泵130耦接設置於腔室本體112中的真空埠131,且因此,真空泵130可與形成在襯墊120內的泵送通道129流體連通。除非另有說明,術語「氣體」及「多種氣體」可互換使用, 並指稱一或多種前驅物、反應物、催化劑、載體、淨化劑、清潔劑、前述物質之組合,也可指稱導入腔室本體112的任何其它流體。
蓋組件140可包括至少兩個堆疊的部件,經配置而在所述至少兩個堆疊的部件之間形成電漿容積或空腔。在一或多個實施例中,蓋組件140可包括第一電極143(「上方電極」)垂直設置於第二電極145(「下方電極」)之上,以在第一電極與第二電極之間約束電漿容積或空腔150。第一電極143連接功率源152,如RF功率供應器,且第二電極145接地,而在這兩個電極143、145之間形成電容。
在一或多個實施例中,蓋組件140可包括一或多個氣體入口154(圖中僅示出一個),所述氣體入口154至少部份形成於第一電極143的上方區段156內。一或多種製程氣體可透過一或多個氣體入口154進入蓋組件140。一或多個氣體入口154的第一端與電漿空腔150流體連通,且氣體入口154的第二端耦接一或多個上游氣體源及/或其它氣體遞送部件,如氣體混合器。
在一或多個實施例中,第一電極143具有擴展區段155,擴展區段155可作為電漿空腔150的邊界。在一或多個實施例中,擴展區段155為具有內表面或內徑157的環狀元件,內表面或內徑157可自擴展區段155的上方部分155A至擴展區段155的下方部分155B逐漸增加。就此而言,介於第一電極143與第二電極145之間的距離會在擴展區段155的各處改變。變化的距離有助於控制在電漿空腔150內產生之 電漿的形成及穩定性。
在一或多個實施例中,擴展區段155像是一個倒置的截錐(truncated cone)或「漏斗(funnel)」。在一或多個實施例中,擴展區段155的內表面157可自擴展區段155的上方部分155A至擴展區段155的下方部分155B逐漸傾斜。可基於製程需求及/或製程限制來改變內徑157的斜率或角度。也可基於特定製程需求及/或限制來改變擴展區段155的長度或高度。
如上文所提及,因為第一電極143的逐漸增加之內表面157的緣故,第一電極143的擴展區段155可改變介於第一電極143與第二電極145之間的垂直距離。變化的距離可直接影響電漿空腔150內的功率位準。不欲受限於理論,介於兩個電極143、145之間的距離的變化可容許電漿找到需要的功率位準,以在電漿空腔150的某部分(若不是在整個電漿空腔150各處的話)內支持電漿本身。所以電漿空腔150內的電漿較不依賴壓力,而容許在較寬的操作視窗(operating window)內產生並支持電漿。就此而言,可在蓋組件140內形成更具重複性且更可靠的電漿。由於電漿空腔150中所產生的電漿在進入支撐組件180上方的處理區141(基板在處理區中進行處理)之前被界定於蓋組件140中,因為電漿是在處理區141的遠端產生,而可將蓋組件140視為遠端電漿源。
如上所述,擴展區段155流體連通氣體入口154。一或多個氣體入口154的第一端可在擴展區段155的內徑之最頂點處開口進入電漿空腔150。類似地,一或多個氣體入口 154的第一端可在沿著擴展區段155的內徑157之任何高度間隔(height interval)處開口進入電漿空腔150。儘管未繪示,但可在擴展區段155的相對側處設置兩個氣體入口154,以生成渦流圖案或「漩渦(vortex)」流進入擴展區段155,而有助於在電漿空腔150內混合氣體。
蓋組件140可進一步包括隔離環160,隔離環160可電性隔離第一電極143與第二電極145。隔離環160可由氧化鋁或任何其它絕緣、製成相容性材料所製成。隔離環160至少圍繞或實質上至少圍繞擴展區段155。
蓋組件140可進一步包括與第二電極145相鄰的配送板170及阻斷板175。第二電極145、配送板170及阻斷板175可被堆疊並設置於蓋體凸緣178上,而蓋體凸緣178連接腔室本體112。可使用鉸鏈組件(未繪示)將蓋體凸緣178耦接至腔室本體112。蓋體凸緣178可包括埋設的通道或通路179用於循環熱傳遞介質。基於製程需求,熱傳遞介質可用來加熱、冷卻或二者皆進行。
在一或多個實施例中,第二電極或頂板145可包括在電漿空腔150下方形成的複數個氣體通道或通孔165,以容許來自電漿空腔150的氣體流過通孔165。配送板170為實質上碟型並且也包括複數個通孔172或通道,以配送氣體流過通孔172。可制定通孔172的尺寸並將通孔172定位在配送板170周圍,以對腔室本體112的處理區141提供受控制且均勻的流分佈,其中待處理基板位在處理區內。進而,通孔172可藉由減緩並重新導引流動氣體的速度曲線(velocity profile) 來防止(多種)氣體直接碰撞於基板表面上,也可均勻地配送氣流,以提供遍及基板表面各處之氣體的均勻分佈。
在一或多個實施例中,配送板170可包括一或多個埋設的通道或通道174用於容置加熱器或加熱流體,以對蓋組件140提供溫度控制。可將電阻式加熱元件(未繪示)插設於通道174內來加熱配送板170。可將熱耦連接至配送板170,以調控配送板170的溫度。可將熱耦用於反饋回路,以控制施加到加熱元件的電流,如上所述。
或者,熱傳遞介質可經過通道174。若有需要的話,一或多個通道174可含有冷卻介質,以基於腔室本體112內的製程需求,更好的控制配送板170的溫度。可使用任何適當的熱傳遞介質,舉例而言,如氮、水、乙二醇或上述介質的混合物。
在一或多個實施例中,可使用一或多個加熱燈(未繪示)來加熱蓋組件140。典型地,加熱燈排列在配送板170的上表面周圍,以藉由輻射加熱蓋組件140的部件,包括配送板170。
可視情況將阻斷板175設置於第二電極145與配送板170之間。以可移除的方式將阻斷板175安裝在第二電極145的下表面。阻斷板175與第二電極145可有良好的熱接觸及電接觸。在一或多個實施例中,可使用螺栓或類似的固定件將阻斷板175耦接至第二電極145。也可藉由螺紋或螺絲將阻斷板175耦接至第二電極145的外徑上。
阻斷板175可包括複數個通孔176,以提供自第二 電極145至配送板170的複數個氣體通道。可制定通孔176的尺寸並將通孔176定位在阻斷板175周圍,以對配送板170提供受控制且均勻的流分佈。
支撐組件180可包括支撐部件185以在腔室本體112內支撐供處理的基板(未繪示於第1圖)。支撐部件185可經由軸桿187耦接舉升機構183,軸桿187延伸穿過置中定位的開口114,開口114形成於腔室本體112的底表面中。舉升機構183可藉由伸縮管(bellows)188而彈性地密封於腔室本體112,伸縮管188可防止發生於軸桿187附近的真空洩漏。舉升機構183可允許在腔室本體112內於處理位置及較低的傳遞位置之間垂直移動支撐部件185。傳遞位置稍低於腔室本體112的側壁中所形成的狹縫閥開口114,使能以機械手臂的方式從基板支撐部件185移出基板。
在一或多個實施例中,支撐部件185具有平坦的圓形表面或實質上平坦的圓形表面,以在支撐部件185上支撐待處理基板。可用鋁來建造支撐部件185。支撐部件185可包括由其它某些材料(如,矽或陶瓷材料)製成的可移去的頂板190,舉例而言,以減少基板的背側汙染。
在一或多個實施例中,可使用真空夾盤將基板(未繪示)固定至支撐部件185。在一或多個實施例中,可使用靜電夾盤將基板(未繪示)固定至支撐部件185。靜電夾盤典型包括至少一種介電材料圍繞電極181,電極181可位於支撐部件185上或如支撐部件185的整合部分般形成。夾盤的介電質部分可將夾盤電極181與基板電性絕緣,並將夾盤電極181與 支撐組件180的其它部分電性絕緣。
在一個實施例中,電極181可耦接複數個RF功率偏壓源184、186。RF偏壓功率源184、186可提供RF功率至電極181,電極181可激發並支持由設置在腔室本體112的處理區141中之氣體所形成的電漿放電。
在第1圖所描繪的實施例中,雙重RF偏壓功率源184、186經由匹配電路189耦接至設置於支撐部件185中的電極181。RF偏壓功率源184、186所產生的訊號可經由匹配電路189傳送至支撐部件185,透過單饋入(single feed)將電漿製程腔室100中提供的氣體混合物離子化,從而提供必需的離子能量用於進行沉積、蝕刻或其它電漿增強製程。RF偏壓功率源184、186大致能產生具有自約50kHz至約200MHz的頻率及介於約0瓦與約5000瓦之間的功率之RF訊號。可將額外的偏壓功率源耦接至電極181,以視需求控制電漿的特徵。
支撐部件185可包括穿過支撐部件185所形成的穿孔192,以容納舉升銷193,第1圖顯示其中一個穿孔192。各舉升銷193可由陶瓷材料或含陶瓷材料所建構,且可用於基板搬運及運輸。當舉升銷193與設置在腔室本體112內的環狀舉升環195銜接時,舉升銷193可在其相應的穿孔192內移動。舉升環195是可移動的,使得當舉升環195處於上方位置時,舉升銷193的上表面可延伸至支撐部件185的基板支撐表面以上。反之,當舉升環195處於下方位置時,舉升銷193的上表面位於支撐部件185的基板支撐表面下方。 藉此,當舉升環195從下方位置移動至上方位置或從上方位置移動至下方位置時,各舉升銷193可在支撐部件185中的其相應穿孔192中移動。
支撐組件180可進一步包括邊緣環196,邊緣環196可經設置以圍繞支撐部件185。在一或多個實施例中,邊緣環196為適於覆蓋支撐部件185的外周界並保護支撐部件185不受沉積之環狀部件。邊緣環196可位在支撐部件185上或鄰近支撐部件185,以在支撐部件185的外徑與邊緣環196的內徑之間形成環狀淨化氣體通道。環狀淨化氣體通道可與經過支撐部件185及軸桿187形成的淨化氣體導管197流體連通。淨化氣體導管197可與淨化氣體供應器(未繪示)流體連通,以將淨化氣體提供至淨化氣體通道。可單獨或組合使用任何合適的淨化氣體(如氮、氬或氦)。在操作中,淨化氣體流經導管197,進入淨化氣體通道,並圍繞設置於支撐部件185上之基板的邊緣。因此,淨化氣體與邊緣環196的協同運作可防止沉積發生於基板的邊緣及/或背側處。
可藉由通過流體通道198循環的流體控制支撐組件180的溫度,流體通道198埋設於支撐部件185的主體中。在一或多個實施例中,流體通道198可與穿過支撐組件180的軸桿187所設置之熱傳遞導管199流體連通。流體通道198可位於支撐部件185周圍,以對支撐部件185的基板接收表面提供均勻的熱傳遞。流體通道198及熱傳遞導管199可流入熱傳流體,以加熱或冷卻支撐部件185和設置於支撐部件185上的基板。可使用任何合適的熱傳流體,如水、氮、乙二 醇或前述流體之混合物。支撐部件185可進一步包括埋設的熱耦(未繪示),用以監測支撐部件185的支撐表面之溫度,支撐表面之溫度可表示設置於支撐表面上之基板的溫度。舉例而言,可在反饋回路中使用來自熱耦的訊號,以控制經流體通道198循環之流體的溫度或流速。
可在腔室本體112內垂直移動支撐部件185,使得支撐部件185與蓋組件140之間的距離可受到控制。感測器(未繪示)可提供與支撐部件185在腔室100內之位置有關的訊息。
在操作中,可升高支撐部件185至極為接近蓋組件140處,以控制處理中基板的溫度。就此而言,可透過從配送板170所發出的輻射來加熱基板。或者,可使用由舉升環195啟動的舉升銷193,以舉升基板離開支撐部件185至極為接近經加熱的蓋組件140處。
可使用系統控制器(未繪示)來調控製程腔室100的操作。可在儲存於電腦的記憶體上之電腦程式的控制下操作系統控制器。電腦程式可包括多個指令,所述指令可使以下描述的製程在製程腔室100中進行。舉例而言,電腦程式可支配特定製程的製程順序及時點(timing)、氣體的混合、腔室壓力、RF功率水平、承座(susceptor)定位、狹縫閥開啟和關閉、基板冷卻及其它參數。
第2圖為可適於進行本文所揭示的製程之說明性多腔室處理系統200的概要俯視圖,其中製程腔室100耦接系統200。系統200可包括用於傳遞基板進出系統200的一或多個負載鎖定腔室202、204。典型地,既然系統200處在真空 下,負載鎖定腔室202、204可對導入系統200的基板「抽氣(pump down)」。第一機械手臂210可在負載鎖定腔室202、204與第一組一或多個基板製程腔室212、215、216、100(圖中顯示四個)之間傳遞基板。各製程腔室212、215、216、100經配置以進行至少一個基板處理操作,如蝕刻製程、循環層沉積(cyclical layer deposition,CLD)、原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、脫氣(degas)、定位及其它基板處理。被利用來進行蝕刻製程之製程腔室100相對於其它腔室212、215、216的位置僅供示意,且若期望的話,可視情況將製程腔室100的位置與製程腔室212、215、216中任一者的位置調換。
第一機械手臂210也可傳遞基板進/出一或多個傳遞腔室222、224。可用傳遞腔室222、224以在容許基板於系統200內傳遞的同時維持超高真空環境。第二機械手臂230可在傳遞腔室222、224與第二組一或多個製程腔室232、234、236、238之間傳遞基板。類似於製程腔室212、215、216、100,可裝備製程腔室232、234、236、238來進行各種基板處理操作,包括本文所述的乾式蝕刻製程及任何其它合適的製程,包括如,沉積、預清潔、脫氣及定位。若對於系統200所進行的特定製程而言非必需的話,可自系統200移去基板製程腔室212、215、216、100、232、234、236、238中的任一者。
第3圖繪示用來以「阻障最後打開(Barrier Open Last)」製程製造雙鑲嵌結構的製程序列300,一旦設置於雙 鑲嵌結構中之下方傳導層在介電阻障被蝕刻打開後暴露於大氣,「阻障最後打開」製程可削減該下方傳導層的暴露時間。第3圖所描繪的序列對應第4A至4E圖中所描繪的製造階段,第4A至4E圖繪示基板402(基板402上形成有膜堆疊400)的概要剖面視圖,在往後於蝕刻介電阻障層408的不同階段期間,膜堆疊400可被用來形成雙鑲嵌結構。
製程序300始於方塊302,傳遞基板(如第4A圖中描繪之基板402)進入製程腔室(如第1圖中所描繪之製程腔室100,或其它合適的製程腔室)。基板402可具有實質上平坦的表面、不平的表面或實質上平坦的表面(所述實質上平坦的表面上有結構形成)。第4A圖中所顯示的基板402包括形成於基板402上的膜堆疊400,之後可利用膜堆疊400來形成雙鑲嵌結構。在一個實施例中,基板402可為諸如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜或無摻雜之多晶矽、經摻雜或無摻雜之矽晶圓及經圖案化或未經圖案化之晶圓、絕緣體上矽(SOI)、摻雜碳之氧化矽、氮化矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石等材料。基板402可具有各種維度(諸如,200mm、300mm或450mm直徑的晶圓),也可為矩形或正方形片。除非另有註解,本文所描述的實施例及實例是在具有300mm直徑或450mm直徑的基板上進行。
在一個實施例中,膜堆疊400可具有多重膜層,可利用所述多重膜層來形成背端半導體製程中所用的內連線結構(如雙鑲嵌結構)。膜堆疊400可包括設置於基板402上並位 於絕緣堆疊404上方的介電阻障層408。如第4A圖所示,絕緣堆疊404可設置於基板402上,基板402可具有傳導層406,如銅線,傳導層406形成於絕緣堆疊404中並由絕緣堆疊404定界。介電阻障層408可設置於絕緣堆疊404上,以防止下方傳導層406的導電材料被暴露於大氣。
介電塊體絕緣層410可設置於介電阻障層408上,具有硬遮罩層416設置於可選的多重覆蓋層上,多重覆蓋層如第一覆蓋層414位於第二覆蓋層412上。可將旋塗式有機平坦化層418與可選的雙層抗反射塗佈(ARC)層422、420一起設置於硬遮罩層416上,以增加光刻顯影準確度。接著可將經圖案化光阻層424設置於可選的雙層抗反射塗佈(ARC)層422、420的頂表面上,經圖案化光阻層424具有開口426形成於其中,以暴露可選的雙層抗反射塗佈(ARC)層422、420的部分428而用於蝕刻。蝕刻製程可依需求在介電塊體絕緣層410中形成介層孔(via)及/或溝槽。
在一個實施例中,經圖案化光阻層424可為光阻材料,如正型光阻劑(positive tone photoresist)、負型光阻劑(negative tone photoresist)、UV微影光阻劑、I-線光阻劑(I-line photoresist)、G-線光阻劑(G-line photoresist)、電子束光阻(e-beam resist)(例如,化學性放大光阻(chemically amplified resist,CAR))或其它合適的光阻劑。可選的雙層抗反射塗佈(ARC)層422、420可包括上方抗反射塗佈(ARC)層422設置於氧化矽層420上。上方抗反射塗佈(ARC)層422的合適實例包括非晶碳層、經摻雜的非晶碳層、氧化矽層、氮化矽層、氧 氮化矽層或由其它合適材料形成之層。
設置於硬遮罩層416上之旋塗式有機平坦化層418可為旋塗式塗佈於硬遮罩層416上的有機聚合物材料。旋塗式有機平坦化層418可被旋塗式塗佈於不平坦的基板表面(即,硬遮罩層416的上表面)上,可旋塗足夠厚度以產生旋塗式有機平坦化層418之實質上平坦的頂表面。在一個實施例中,適於形成旋塗式有機平坦化層418的有機聚合物材料包括含烴材料。烴材料的合適實例包括:光阻材料、旋塗玻璃(spin-on-glass,SOG)材料等。在一個實例中,光阻材料可為正型光阻劑、負型光阻劑、UV微影光阻劑、I-線光阻劑、G-線光阻劑、電子束光阻(例如,化學性放大光阻(CAR))或其它合適的光阻劑。
在一個實施例中,平坦化層418可被塗佈至硬遮罩層416上而具有介於約20nm與約800nm之間的厚度,例如介於約100nm與約400nm之間。咸信,針對平坦化層418所提供的有機聚合物材料可具有自我水平(self-leveling)平坦化特性,以便被塗佈於硬遮罩層416上而均勻地覆蓋基板402上所形成之結構的不平的表面形貌。藉此,來自基板402之不平的表面形貌的表面可被平坦化的或平整化的頂表面覆蓋,以協助後續光微影製程以正確而精準的維度控制將特徵轉移進入基板402上的結構內。
在一個實施例中,平坦化層418可被旋塗至基板表面上。在另一實施例中,也可使用注射、噴灑沉積系統、氣溶膠沉積(aerosol deposition,AD)製程、空氣噴射(aerojet)、自溶液噴灑奈米顆粒、噴灑CVD、噴墨、彎曲 式塗佈(meniscus coating)、浸塗、電鍍、噴灑塗佈、電噴灑(electrospraying)、網版印刷,或視需求藉由其它合適的技術,將平坦化層418塗佈至基板402上。
在平坦化層418下方,形成於基板402上的硬遮罩層416可作為後續蝕刻/圖案化製程期間的遮罩層,以便有效地將特徵轉移進入膜堆疊400。在一個實施例中,本文所利用的硬遮罩層416可為金屬介電層,如TiN、TaN、TiON、TaON、Al2O3、AlON、AlN等。在一個特定實施例中,硬遮罩層416為TiN層或TaN層。可視需求藉由化學氣相沉積(CVD)、原子層沉積(ALD)、循環層沉積(CLD)、物理氣相沉積(PVD)等製程形成硬遮罩層416。
可選的多重覆蓋層可包括第一覆蓋層414位在第二覆蓋層412上。第一覆蓋層414可為TEOS層、氧化矽層或非晶碳層,且第二覆蓋層412可為由含碳氧化矽(SiOC)形成之低k覆蓋層,類似可從應用材料股份有限公司獲得的BLACK DIAMOND®介電材料。
介電塊體絕緣層410及絕緣堆疊404為具有小於4.0之介電常數的介電材料(如,低k材料)。合適材料的實例包括含碳氧化矽(SiOC),如BLACK DIAMOND®介電材料及其它低k聚合物,如聚醯胺。介電阻障層408可具有約5.5或更小的介電常數。在一個實施例中,介電阻障層408可為含碳矽層(SiC)、氮摻雜的含碳矽層(SiCN)、氮化矽層、金屬氮化物或金屬氧化物(如AlN或AlON)、具多種材料的複合層,或前述各種層的組合等。在第4A圖所描繪的實施例中,介電阻障層 為SiCN膜或SiN膜。介電阻障層材料的一個實例為可從應用材料股份有限公司獲得的BLOK®介電材料。介電阻障層408的另一個實例也可為同樣可從應用材料股份有限公司獲得的PVD AlN及/或AlON。介電阻障層408的又一個實例也可為來自上文所列之材料的整合性雙層或多層,上文所列之材料包括可從應用材料股份有限公司獲得的BLOK®介電材料及PVD AlN及/或AlON。傳導層406,如銅材料,可存在於絕緣堆疊404中。
在方塊304,可進行圖案化製程,使用經圖案化光阻層424作為蝕刻遮罩來蝕刻介電塊體絕緣層410,如第4B圖所示。在第4B圖所描繪的實施例中,可透過經圖案化光阻層424中界定之開口426來蝕刻/圖案化介電堆疊400,以便在介電塊體絕緣層410中界定溝槽430的一部分。可移除介電塊體絕緣層410的一部分,以暴露介電塊體絕緣層410的暫時性底表面444。在一個實施例中,可使用從氟及碳形成之電漿來蝕刻介電塊體絕緣層410。可在製程腔室100或其它合適的反應器中蝕刻介電塊體絕緣層410。
在介電塊體絕緣層410的蝕刻/圖案化製程期間,當介電塊體絕緣層410達到介電塊體絕緣層410中的預訂深度時,光阻層424與下方可選的雙層抗反射塗佈(ARC)層422、420可一起被消耗或蝕刻去除。或者,在介電塊體絕緣層410達到預定深度而暴露暫時性底表面444之後(如第4B圖所示),殘留的光阻層424可與下方可選的雙層抗反射塗佈(ARC)層422、420在另一個獨立的製程步驟中一起被移除或灰化去 除。
在方塊306,可進行介電塊體絕緣層蝕刻製程,以使用硬遮罩層416作為蝕刻遮罩來進一步蝕刻介電塊體絕緣層410,如第4C圖所示。現在,可透過暫時性底表面444進一步蝕刻方塊304所界定之溝槽430的該部分(參照第4B圖),直到介電阻障層408的下方表面436被暴露為止,而界定形成於介電塊體絕緣層410中之期望的溝槽432還有介層孔(via)434。介電塊體絕緣層410的一部分殘留在基板402上,以在基板402上界定介層孔434的底部表面438。在一個實施例中,可使用從氟及碳形成之電漿來蝕刻介電塊體絕緣層410。或者,可在製程腔室100或其他合適的反應器中蝕刻介電塊體絕緣層410。
在方塊308,在介電塊體絕緣層410中形成介層孔434及溝槽432而暴露介電阻障層408的表面436之後,接著可在蝕刻介電阻障層408之前,從基板402移除殘留在基板402上的硬遮罩層416,如第4D圖所示。這與在介電阻障層408的蝕刻製程之後進行硬遮罩層移除製程的傳統慣例不同,在介電阻障層408的蝕刻製程之後進行硬遮罩層移除製程而早期暴露下方傳導層406通常會導致汙染物附著在傳導層406的介面上,從而不利地使元件電氣效能惡化。藉由切換硬遮罩層移除製程和介電阻障蝕刻製程(或稱為阻障最後打開製程)的順序而進行的「阻障最後打開」製程,可保持下方傳導層406的膜性質,從而削減下方傳導層406對鄰近大氣的暴露時間,並有效地降低汙染傳導層406的可能性。
在一個實施例中,可藉由將基板402浸泡(soak)、浸入(dip)、淹沒(flood)或浸沒(immerse)於溶液內來進行硬遮罩移除製程,所述溶液包含在有機溶劑中的過氧化物。在另一個實施例中,可藉由乾式蝕刻製程進行硬遮罩移除製程,乾式蝕刻製程可利用含氯氣體作為蝕刻劑來源。
在方塊310,在從基板402移除硬遮罩層416之後,可接著進行介電阻障層蝕刻製程(或稱為「阻障打開製程(Barrier Open Process)」),以從被介電塊體絕緣層410中界定的溝槽432所暴露之表面436蝕刻介電阻障層408,如第4E圖所示。介電阻障層蝕刻製程可包括多個步驟,以漸進且逐步地蝕刻介電阻障層408,而不會損壞下方傳導層406。在一個實施例中,介電阻障層蝕刻製程(或稱為「阻障打開製程」)可包括至少三個步驟(或更多步驟),以漸進地蝕刻介電阻障層408。
在方塊310的介電阻障層蝕刻製程期間之第一子步驟310a中,可進行處理製程以處理介電阻障層408的暴露表面436(如第4D圖所示),以轉變表面特性來促進在第二子步驟310b中所述的後續蝕刻製程中移除介電阻障層408。在第一子步驟310a進行的處理製程可包括:供應處理氣體混合物進入製程腔室,如第1圖描繪之腔室100。接著,自處理氣體混合物形成電漿,以電漿處理被介電塊體絕緣層410的溝槽432所暴露之介電阻障層408的表面436。處理製程可活化介電阻障層408進入激發態,而在未受介電塊體絕緣層410保護的區域中形成經處理的介電阻障層408。在處理後,介電阻 障層408可接著在第二子步驟310b輕易地與後續供應進入製程腔室100的蝕刻氣體反應,而形成可輕易地被抽離製程腔室100的揮發氣體副產物。
在一個實施例中,處理氣體混合物可包括含氫氣體、含氮氣體或惰性氣體中之至少一種。咸信在處理氣體混合物中供應的含氫氣體、含氮氣體或惰性氣體可協助增加由處理氣體混合物所形成之電漿中的離子的壽命。增加離子的壽命可有助於與基板402上的介電阻障層408反應並更徹底地活化介電阻障層408,從而在後續蝕刻製程期間加強從基板402移除被活化之介電阻障層408。在於處理氣體混合物中利用含氫氣體的實施例中,來自含氫氣體的氫原子可與介電阻障層408中所含之矽原子反應,從而在介電阻障層408上形成Si-H之弱而懸空的鍵結或Si-OH鍵結。在處理後,具有Si-H或Si-OH鍵結末端的介電阻障層408可輕易被後續供應至製程腔室100的其它蝕刻劑吸收,從而有助於輕易自基板表面移除介電阻障層408。
在一個實施例中,供應進入製程腔室100的含氫氣體可包括H2、H2O、NH3及類似氣體中之至少一種。供應進入製程腔室100的含氮氣體可包括N2、N2O、NO2、NH3及類似氣體中之至少一種。供應進入製程腔室100的惰性氣體可包括Ar、He、Kr及類似氣體中之至少一種。在示範實施例中,供應進入製程腔室100以進行處理製程的含氫氣體為H2氣體,且供應進入製程腔室100以進行處理製程的含氮氣體為NH3或N2氣體,且惰性氣體為He或Ar。
在電漿處理製程期間,可調整若干製程參數,以控制處理製程。在一個示範實施例中,製程腔室100中的製程壓力可被調整而介於約10mTorr至約5000mTorr之間,如介於約10mTorr與約400mTorr之間,例如約200mTorr。可施加處在約13MHz的頻率下之RF偏壓功率,以維持處理氣體混合物中的電漿。舉例而言,可施加約小於100瓦(如約5瓦至約50瓦)的RF偏壓功率,以維持製程腔室100內的電漿。可在介於約100sccm至約800sccm之間的流速下,將處理氣體混合物流入腔室。可將基板溫度維持在介於約攝氏25度至約攝氏300度之間,如介於約攝氏50度與約攝氏140度之間,例如介於約攝氏50度與約攝氏130度之間,如約攝氏110度。
在一個實施例中,取決於氣體之操作溫度、壓力及流動速率,使基板402經受處理製程達約5秒至約5分鐘之間。例如,可使基板暴露於預處理製程達約30秒至約90秒。在一示範實施例中,使基板暴露於處理製程達約90秒或更少。
在第二子步驟310b,可在基板402上進行遠端電漿蝕刻製程,以在基板402上進行處理製程後蝕刻介電阻障層408。遠端電漿蝕刻製程是溫和的蝕刻製程,進行遠端電漿蝕刻製程可緩慢地移除由基板402上的介電塊體絕緣層410暴露之介電阻障層408。可藉由將蝕刻氣體混合物供應進入製程腔室100的電漿空腔150,以在流入處理氣體進行處理製程後的介電阻障層408蝕刻之前,在電漿空腔150中從處理氣體混合物形成遠端電漿,來進行遠端電漿蝕刻製程。
在一個實施例中,用來移除介電阻障層408的蝕刻 氣體混合物為氨(NH3)氣體與三氟化氮(NF3)氣體的混合物。可視需求以N2氣體取代蝕刻氣體混合物中所用的氨(NH3)氣體。可改變並調整導入製程腔室之各氣體的量,以適應,例如待移除之介電阻障層408的厚度、待處理之基板的表面形貌、電漿空腔的體積容量、腔室本體的體積容量,還有耦接腔室本體之真空系統的能力。
由於是在電漿空腔150中遠端產生電漿,來自遠端電漿從蝕刻氣體混合物解離的蝕刻劑相對較適度而溫和,以便緩慢、溫和且逐步地與介電阻障層408進行化學反應,直到如第4E圖所示般暴露下方傳導層406為止。咸信,在遠端電漿源中,氨(NH3)氣體及三氟化氮(NF3)氣體在遠端電漿空腔150中解離,形成氟化銨(NH4F)及/或帶有 HF 的氟化銨(NH4F.HF)。一旦將氟化銨(NH4F)及帶有 HF 的氟化銨(NH4F.HF)之蝕刻劑導入製程腔室100的處理區141,氟化銨(NH4F)及帶有 HF 的氟化銨(NH4F.HF)之蝕刻劑可在到達基板後與介電阻障層408的介電材料反應,形成主要為固態之(NH4)2SiF6。氟化銨(NH4F)及帶有 HF 的氟化銨(NH4F.HF)之蝕刻劑與介電阻障層408產生化學反應,形成固態的(NH4)2SiF6,稍後將藉由使用低溫昇華製程從基板表面移除固態的(NH4)2SiF6,將在方塊310c的第三子步驟進一步論述低溫昇華製程的細節。
在一或多個實施例中,被加入來提供蝕刻氣體混合物的氣體所具有的氨(NH3)對三氟化氮(NF3)之莫耳比例為至少1:1。在一或多個實施例中,蝕刻氣體混合物的莫耳比例為 至少約3:1(氨對三氟化氮)。可將莫耳比例約5:1(氨對三氟化氮)至約20:1的氣體導入腔室100。在又另一實施例中,蝕刻氣體混合物的莫耳比例為約5:1(氨對三氟化氮)至約10:1。
在一個實施例中,在蝕刻氣體混合物中可亦供應其它類型之氣體,諸如惰性氣體或載氣,以有助於將蝕刻氣體混合物載運至真空製程腔室100之處理區141中。惰性氣體或載氣之適合的實例包括Ar、He、N2、O2、N2O、NO2、NO等中之至少一者。在一個實施例中,可供應至真空製程腔室100中之惰性氣體或載氣為體積流動速率在介於約200sccm與約1500sccm之間的Ar或He。
在供應蝕刻氣體混合物以進行遠端電漿源蝕刻製程的同時,可將基板溫度維持在介於約攝氏40度與約攝氏150度之間的範圍,如約攝氏110度。在將蝕刻氣體混合物供應進入製程腔室後,可接著蝕刻介電阻障層408,於基板表面上形成固態蝕刻副產物,如氟矽酸銨(NH4)2SiF6。殘留在基板402上的蝕刻副產物,(NH4)2SiF6,具有相對低的熔點,如約攝氏100度,此相對低的熔點允許藉由在第三子步驟310c進行的昇華製程自基板移除副產物(NH4)2SiF6,以下將進一步論述該昇華製程。可持續執行蝕刻製程,直至設置於基板402上之介電阻障層408已全部反應且轉換成蝕刻副產物為止。
在蝕刻製程期間,可調整若干製程參數以控制蝕刻製程。在一個示範實施例中,將製程腔室100中之製程壓力調整為介於約10mTorr至約5000mTorr之間,諸如介於約800 mTorr與約5000mTorr之間,如介於約100mTorr與約1000mTorr之間。可施加頻率為約80KHz之RF源功率以維持蝕刻氣體混合物中之電漿。舉例而言,可對蝕刻氣體混合物施加約20瓦至約800瓦(如約300瓦至約800瓦,例如約600瓦)的RF源功率。此處所稱之RF源功率可為自電源152供應至電極143、145之RF功率。在一個實施例中,RF源功率可提供約80KHz之頻率的功率。
在第三子步驟310c,在完成蝕刻製程且介電阻障層408已實質上被反應並轉變成蝕刻副產物之後,可進行昇華製程將蝕刻副產物昇華為揮發態,而可被抽離製程腔室100。如第4E圖所示,昇華製程可從基板402移除蝕刻副產物,而暴露下方傳導層406。可在與第二子步驟310b進行之遠端電漿蝕刻製程相同的腔室(如前文所述之製程腔室100)中進行昇華製程。或者,可視需求在系統200的獨立製程腔室進行昇華製程。
昇華製程可為利用電漿能量自基板402昇華蝕刻副產物的電漿退火製程。藉著蝕刻副產物,如氟矽酸銨(NH4)2SiF6,的低熔化(昇華)點本質,來自電漿的熱能可有效地移除蝕刻副產物。
在一個實施例中,昇華製程可利用低RF偏壓功率電漿處理製程,以溫和且適度地處理基板,而不會損壞基板表面。在一個實施例中,低溫電漿製程可使用如小於約30瓦的低RF偏壓功率,加上將基板溫度控制在介於約攝氏20度與約攝氏150度之間,如約攝氏110度,以自基板表面昇華 蝕刻副產物。
可藉由將昇華氣體混合物供應進入腔室100,來進行昇華製程。接著從昇華氣體混合物形成電漿,以電漿退火基板402,形成可輕易被抽離製程腔室100的揮發氣體副產物。
在一個實施例中,昇華氣體混合物可包括含氫氣體、含氮氣體或惰性氣體中之至少一者。咸信電漿退火氣體混合物中所供應的含氫氣體、含氮氣體或惰性氣體可有助於增加從昇華氣體混合物形成之電漿中的離子之壽命,從而有效地從基板402移除蝕刻副產物。增加離子的壽命可有助於與基板402上的蝕刻副產物反應並更徹底地活化蝕刻副產物,從而加強自基板402移除蝕刻副產物。
在選擇於昇華氣體混合物中供應含氫氣體的一個實施例中,供應進入製程腔室100的含氫氣體可包括H2、H2O等含氫氣體中之至少一者。在選擇於昇華氣體混合物中供應該含氮氣體的一個實施例中,供應進入製程腔室100的含氮氣體可包括N2、N2O、NO2、NH3等含氮氣體中之至少一者。在選擇於昇華氣體混合物中供應惰性氣體的一個實施例中,供應進入製程腔室100的惰性氣體可包括Ar、He、Kr等惰性氣體中之至少一者。在示範實施例中,在製程腔室100中供應來進行昇華製程的含氫氣體為H2氣體,且在製程腔室100中供應來進行昇華製程的含氮氣體為N2氣體,且惰性氣體為He或Ar。
在昇華製程期間,可調整若干製程參數,以控制昇 華製程。可施加處於約13MHz之頻率下的RF偏壓功率,以維持處理氣體混合物中的電漿。舉例而言,可施加小於30瓦的RF偏壓功率,以在製程腔室100內維持電漿。可在介於約100sccm至約2000sccm之間(如約1000sccm)的流速下,將昇華製程混合物流入腔室。可將基板溫度維持在介於約攝氏20度與約攝氏150度之間,如約攝氏110度。在某些實施例中,沒有功率被施加至電極143、145。
在昇華製程後,可選擇性地進行第四子步驟310d的可選退火製程。在第三子步驟310c的昇華製程無法有效地移除大部分蝕刻副產物的實施例中,可進行可選的退火製程,以協助從基板402蒸發殘留的蝕刻副產物。第四子步驟310d的退火製程可為利用熱能來進一步從基板402蒸發蝕刻副產物的熱退火製程。熱能可有效地從基板402移除蝕刻副產物。在一個實施例中,可選的退火製程可利用低RF偏壓功率電漿退火製程或熱處理製程,以溫和且適度地處理基板,而不會損壞基板表面。在一個實施例中,低RF偏壓功率電漿退火製程可使用如小於約50瓦的低RF偏壓功率,加上將基板溫度控制在介於約攝氏100度與約攝氏400度之間,如介於約攝氏150度與約攝氏300度之間。請注意,第四子步驟310d之可選的退火製程可為任何合適的熱退火製程,包括爐退火(furnace annealing)、熱退火、烘烤或任何合適的加熱製程。
在一個實施例中,退火氣體混合物可包括含氫氣體、含氮氣體或惰性氣體中之至少一者。咸信在退火氣體混合物中供應的含氫氣體、含氮氣體或惰性氣體可有助於增加 從退火氣體混合物形成之電漿中的離子的壽命,從而有效地自基板402移除蝕刻副產物。在一個實施例中,可在退火氣體混合物中供應如Ar、He、Kr等惰性氣體,以進行退火製程。
請注意,可重複地(即,循環地)進行第一子步驟310a、第二子步驟310b、第三子步驟310c及第四子步驟310d中的子步驟,直到移除介電阻障層408以暴露下方傳導層406為止,如第4E圖所示。在不進行第四子步驟310d之可選的退火製程之實施例中,可重複地進行第一子步驟310a、第二子步驟310b及第三子步驟310c中的子步驟,而不進行第四子步驟310d。重複的製程可循環並漸進地蝕刻介電阻障層408,而不會過度侵略地攻擊下方傳導層406,從而可提供良好的介面蝕刻控制及合適的蝕刻停止終點。以重複處理、蝕刻製程、昇華製程及/或可選的退火製程所進行之漸進的蝕刻可改良特徵垂直度並增進介電阻障層408之間的蝕刻選擇性,而不會損壞介電塊體絕緣層410的邊角(如第4E圖的圓圈450所指出),從而增進遮罩將特徵臨界維度(CD)轉移至介電阻障層408的準確度。
因此,本文提供了用以形成雙鑲嵌結構而不會過早暴露下方傳導層,並用以蝕刻雙鑲嵌結構中之介電阻障層的方法及設備。藉由利用「阻障最後打開(Barrier Open Last)」製程(即,在介電阻障層打開製程之前進行硬遮罩層移除製程)與重複並漸進的介電阻障層蝕刻製程一起,可削減下方傳導層在介電阻障層蝕刻製程後的暴露時間,從而有效地控制氧化物或汙染物產生在下方傳導層上,並因此增加製造彈性及 元件的電氣效能,而不會使元件效能退化。
儘管以上內容導向本發明的實施例,然而在不偏離本發明基本範圍下,當可做出本發明的其它和進一步實施例,且本發明範圍係由後附申請專利範圍所界定。

Claims (18)

  1. 一種用於蝕刻一介電阻障層之方法,該介電阻障層設置於一基板上,該方法包含下列步驟:使用一硬遮罩層作為一蝕刻遮罩來圖案化一基板,該基板具有設置於一介電阻障層上之一介電塊體絕緣層,該硬遮罩層設置於該介電塊體絕緣層上;在移除未由該硬遮罩層所覆蓋之該介電塊體絕緣層之後,暴露該介電阻障層的一部分;從該基板移除該硬遮罩層;以及後續蝕刻由該介電塊體絕緣層所暴露之該介電阻障層,其中後續蝕刻該介電阻障層之步驟進一步包含下列步驟:於該介電阻障層上進行一處理製程;於供應進入一蝕刻製程腔室之一蝕刻氣體混合物中進行一遠端電漿製程,以蝕刻設置於該基板上之經處理的介電阻障層;以及進行一電漿退火製程,以退火該介電阻障層,以自該基板移除該介電阻障層。
  2. 如請求項1所述之方法,其中移除該硬遮罩層之步驟進一步包含下列步驟:將該基板浸入一溶液中,該溶液包含有機溶劑中之過氧化物。
  3. 如請求項1所述之方法,其中該硬遮罩層係TiN、TaN、 TiON、TaON、Al2O3、AlON或AlN中之至少一者。
  4. 如請求項1所述之方法,其中於該蝕刻氣體混合物中進行該遠端電漿製程進一步包含下列步驟:以約5:1至約20:1之一莫耳比例將氨氣及三氟化氮供應至該蝕刻氣體混合物中。
  5. 如請求項1所述之方法,其中於該蝕刻氣體混合物中進行該遠端電漿製程之步驟進一步包含下列步驟:將一基板溫度維持在約攝氏40度與約攝氏150度之間。
  6. 如請求項1所述之方法,其中進行該電漿退火製程之步驟進一步包含下列步驟:從該基板昇華一蝕刻副產物。
  7. 如請求項1所述之方法,其中該介電阻障層係一碳化矽層或一氮化矽層。
  8. 如請求項1所述之方法,其中於該蝕刻氣體混合物中進行該遠端電漿製程之步驟進一步包含下列步驟:施加一RF源功率,以從該蝕刻氣體混合物以遠端方式產生該電漿。
  9. 如請求項1所述之方法,進一步包含下列步驟: 重複地進行該處理製程、該遠端電漿製程及該電漿退火製程,直到從該基板移除該介電阻障層為止。
  10. 如請求項1所述之方法,進一步包含下列步驟:在該電漿退火製程之後進行一額外退火製程。
  11. 如請求項10所述之方法,其中該額外退火製程進一步包含下列步驟:供應頻率約13MHz之一RF偏壓功率。
  12. 如請求項1所述之方法,其中該電漿退火該介電阻障層以移除該基板上之該介電阻障層之步驟進一步包含下列步驟:在移除該介電阻障層之後,暴露一傳導層,該傳導層設置於該基板中。
  13. 如請求項10所述之方法,其中該額外退火製程進一步包含下列步驟:將一基板溫度維持在介於約攝氏150度與約攝氏400度之間。
  14. 一種用於蝕刻一介電阻障層之方法,該介電阻障層設置於一基板上,該方法包含下列步驟:使用一硬遮罩層作為一蝕刻遮罩來圖案化一基板,該基 板具有設置於一介電阻障層上之一介電塊體絕緣層,該硬遮罩層設置於該介電塊體絕緣層上;在移除未由該硬遮罩層所覆蓋之該介電塊體絕緣層之後,暴露該介電阻障層的一部分;從該基板移除該硬遮罩層;藉由在一蝕刻氣體混合物中產生一電漿,以蝕刻設置於該基板上之該介電阻障層,來後續蝕刻由該介電塊體絕緣層所暴露之該介電阻障層,其中該蝕刻氣體混合物包括氨氣及三氟化氮;以及電漿退火該基板,以從該基板移除該介電阻障層。
  15. 如請求項14所述之方法,其中蝕刻該介電阻障層之步驟進一步包含下列步驟:在將該蝕刻氣體混合物供應進入一製程腔室之前,處理該介電阻障層。
  16. 如請求項14所述之方法,其中藉由在該蝕刻氣體混合物中產生該電漿,以後續蝕刻由該介電塊體絕緣層所暴露之該介電阻障層之步驟進一步包含下列步驟:以遠離一蝕刻製程腔室的方式在該蝕刻氣體混合物中產生該電漿,其中藉由在該蝕刻氣體混合物中施加一RF源功率而以遠端方式產生該電漿,該RF源功率具有約80KHz之一頻率。
  17. 如請求項14所述之方法,其中該介電阻障層係一碳化矽層或一氮化矽層。
  18. 如請求項14所述之方法,其中該硬遮罩層係TiN、TaN、TiON、TaON、Al2O3、AlON或AlN中之至少一者。
TW103144829A 2014-01-24 2014-12-22 蝕刻雙鑲嵌結構中的介電阻隔層之方法 TWI654683B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461931445P 2014-01-24 2014-01-24
US61/931,445 2014-01-24
US14/540,577 2014-11-13
US14/540,577 US9299577B2 (en) 2014-01-24 2014-11-13 Methods for etching a dielectric barrier layer in a dual damascene structure

Publications (2)

Publication Number Publication Date
TW201532143A TW201532143A (zh) 2015-08-16
TWI654683B true TWI654683B (zh) 2019-03-21

Family

ID=53679707

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103144829A TWI654683B (zh) 2014-01-24 2014-12-22 蝕刻雙鑲嵌結構中的介電阻隔層之方法

Country Status (5)

Country Link
US (1) US9299577B2 (zh)
KR (1) KR102360220B1 (zh)
CN (1) CN105917440B (zh)
TW (1) TWI654683B (zh)
WO (1) WO2015112289A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9613909B2 (en) * 2015-08-12 2017-04-04 Globalfoundries Inc. Methods and devices for metal filling processes
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6597296B2 (ja) * 2015-12-25 2019-10-30 東京エレクトロン株式会社 基板処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US11313034B2 (en) * 2016-11-18 2022-04-26 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7063543B2 (ja) * 2017-04-17 2022-05-09 浜松ホトニクス株式会社 加工対象物切断方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11222794B2 (en) 2018-03-30 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor fabrication system embedded with effective baking module
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) * 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
TWI751819B (zh) * 2020-12-02 2022-01-01 華邦電子股份有限公司 半導體裝置之製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
JP2003017465A (ja) * 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置
KR100555512B1 (ko) * 2003-07-31 2006-03-03 삼성전자주식회사 폴리실리콘 식각 마스크를 이용한 반도체 소자의 제조방법
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US7618889B2 (en) * 2006-07-18 2009-11-17 Applied Materials, Inc. Dual damascene fabrication with low k materials
US8623236B2 (en) * 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US9054109B2 (en) * 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications

Also Published As

Publication number Publication date
CN105917440B (zh) 2019-11-12
WO2015112289A1 (en) 2015-07-30
CN105917440A (zh) 2016-08-31
TW201532143A (zh) 2015-08-16
US20150214101A1 (en) 2015-07-30
US9299577B2 (en) 2016-03-29
KR20160111508A (ko) 2016-09-26
KR102360220B1 (ko) 2022-02-07

Similar Documents

Publication Publication Date Title
TWI654683B (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TWI605503B (zh) 利用主要蝕刻及循環蝕刻製程之組合在材料層中形成特徵之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
KR102308047B1 (ko) 프로세싱 시스템을 사용하는 에어 갭 구조 통합
TWI492298B (zh) 雙重圖案化蝕刻製程
KR102488490B1 (ko) 가스 분배 플레이트 열을 이용한 온도 램핑
WO2007126461A2 (en) Method for removing damaged dielectric material
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW201517122A (zh) 將用於離子植入製程之硬光罩層圖案化的方法
US7288483B1 (en) Method and system for patterning a dielectric film
US9269563B2 (en) Methods for forming interconnect structure utilizing selective protection process for hardmask removal process