CN105917440A - 用于在双镶嵌结构中蚀刻电介质阻挡层的方法 - Google Patents

用于在双镶嵌结构中蚀刻电介质阻挡层的方法 Download PDF

Info

Publication number
CN105917440A
CN105917440A CN201480073342.4A CN201480073342A CN105917440A CN 105917440 A CN105917440 A CN 105917440A CN 201480073342 A CN201480073342 A CN 201480073342A CN 105917440 A CN105917440 A CN 105917440A
Authority
CN
China
Prior art keywords
dielectric barrier
substrate
layer
barrier
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201480073342.4A
Other languages
English (en)
Other versions
CN105917440B (zh
Inventor
任河
C-L·高
S·S·康
J·T·彭德
S·D·耐马尼
M·B·奈克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105917440A publication Critical patent/CN105917440A/zh
Application granted granted Critical
Publication of CN105917440B publication Critical patent/CN105917440B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了用于消除双镶嵌结构中的传导层的早期暴露且用于蚀刻双镶嵌结构中的电介质阻挡层的方法。在一个实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括下列步骤:将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在电介质块状绝缘层上;在去除所述电介质块状绝缘层之后,暴露电介质阻挡层的未由所述电介质块状绝缘层的部分;从所述基板中去除所述硬掩模层;以及后续蚀刻由所述电介质块状绝缘层暴露的电介质阻挡层。

Description

用于在双镶嵌结构中蚀刻电介质阻挡层的方法
发明的背景
技术领域
本发明的实施例总体上与用于形成半导体器件的方法有关。具体而言,本发明的实施例总体上与蚀刻电介质阻挡层的方法有关,所述蚀刻电介质阻挡层的方法不损坏用于制造半导体器件的下方导电结构。
背景技术
可靠地制造亚半微米(sub-half micron)以及更小的特征结构是对于半导体器件的下一代超大规模集成电路(very large scale integration,VLSI)以及极大规模集成电路(ultra large-scale integration,ULSI)的关键技术挑战之一。然而,随着追求电路技术的极限,VLSI和ULSI互连技术的收缩的尺度(shrinking dimension)已对处理能力提出附加的要求。栅极结构在基板上的可靠形成对于VLSI和ULSI的成功以及对于增加电路密度和单个基板与管芯的质量的持续努力是重要的。
经图案化的掩模(诸如,光阻层或硬掩模层)通常用于蚀刻基板上的结构(诸如,栅极结构、浅沟槽隔离(shallow trench isolation,STI)、位线等或背端双镶嵌结构(backend dual damascene structure)。常规意义上通过使用光刻工艺以便以光学方式将具有期望临界尺度(critical dimension)的图案转移至光阻层来制造经图案化的掩模。接着显影光阻层来去除光阻的非期望部分,从而在其余的光阻中产生开口。
当集成电路部件的尺度减小(例如,减小至亚微米尺度)时,必须小心地选择用于制造此类部件的材料以获得满意的电气性能等级。例如,当相邻金属内连线之间的距离和/或隔离互连的电介质块状绝缘材料的厚度具有亚微米尺度时,金属互连之间电容性耦合的可能性较高。相邻的金属互连之间的电容性耦合可能产生串扰(cross talk)和/或电阻-电容(resistance-capacitance,RC)延迟,所述串扰和/或电阻-电容延迟使集成电路的整体性能降级且可能使电路无法操作。为了使相邻金属互连之间的电容性耦合最小化,需要低介电常数的块状绝缘材料(例如,小于约4.0的介电常数)。低介电常数的块状绝缘材料的示例包括二氧化硅(SiO2)、硅酸盐玻璃、氟硅酸盐玻璃(fluorosilicate glass;FSG)和掺碳氧化硅(SiOC),等等。
此外,经常利用电介质阻挡层来将金属互连从电介质块状绝缘材料分开。电介质阻挡层使金属从互连材料至电介质块状绝缘材料中的扩散最小化。金属至电介质块状绝缘材料中的扩散是不期望的,因为此类扩散可能影响集成电路的电气性能,或使电路无法操作。电介质阻挡层需要具有低介电常数,以便维持导电线之间的电介质叠层的低k特性。电介质阻挡层也充当用于电介质块状绝缘层蚀刻工艺的蚀刻终止层,使得下层金属将不暴露于蚀刻环境。电介质阻挡层典型地具有约5.5或更小的介电常数。电介质阻挡层的示例为碳化硅(SiC)和含氮碳化硅(SiCN)等材料。
在电介质阻挡层蚀刻工艺之后,下方的传导层的上表面暴露于空气。然而,下方传导层的早期暴露可能导致传导层在后续的蚀刻工艺期间经受氧化条件或过度暴露于周围环境,这可能在后续的金属化工艺之前不利地在金属表面上累积原生氧化物或污染物。过量的原生氧化物累积或污染物可能不利地影响金属元素在金属化工艺期间粘附至基板表面的成核能力。此外,界面处的不良的粘附可也导致不期望的高接触电阻,由此导致不期望的不良的器件电气性质。此外,金属元素在后端互连中的不良的成核可能不仅影响器件的电气性能,而且影响随后形成在所述器件上的导电接触材料的集成。
因此,需要用于消除传导层在双镶嵌结构中的早期暴露并用于以最小的基板氧化和污染以好的界面控制来蚀刻电介质阻挡层的改进的方法。
发明内容
提供了用于消除双镶嵌结构中的传导层的早期暴露以及用于蚀刻双镶嵌结构中的电介质阻挡层的方法。在一个实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括下列步骤:将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在所述电介质块状绝缘层上;在去除所述电介质块状绝缘层之后,暴露电介质阻挡层的未由所述电介质块状绝缘层的部分;从所述基板去除所述硬掩模层;以及后续蚀刻由所述电介质块状绝缘层暴露的电介质阻挡层。
在另一实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括下列步骤:将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在所述电介质块状绝缘层上;在去除所述电介质块状绝缘层之后,暴露电介质阻挡层的未由所述电介质块状绝缘层覆盖的部分;从所述基板去除所述硬掩模层;后续通过在蚀刻气体混合物中生成等离子体来蚀刻由电介质块状绝缘层暴露的电介质阻挡层以蚀刻设置在所述基板上的所述电介质阻挡层,其中所述蚀刻气体混合物包括氨气和三氟化氮;以及对所述基板进行等离子体退火以从所述基板中去除所述电介质阻挡层。
在又一实施例中,用于蚀刻设置在基板上的电介质阻挡层的方法包括下列步骤:将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在所述电介质块状绝缘层上;在去除所述电介质块状绝缘层之后,暴露电介质阻挡层的未由所述电介质块状绝缘层覆盖的部分;从所述基板去除所述硬掩模层;以及后续蚀刻由所述电介质块状绝缘层暴露的所述电介质阻挡层,对所述电介质阻挡层的蚀刻进一步包含下列步骤:在所述电介质阻挡层上执行处理工艺;在供应到蚀刻处理腔室中的蚀刻气体混合物中执行远程等离子体工艺以蚀刻设置在所述基板上的经处理的电介质阻挡层;以及进行等离子体退火工艺以对所述电介质阻挡层退火,从而从所述基板去除所述电介质阻挡层。
附图说明
因此,为了能构详细地理解上文陈述的本发明的方式,可参考多个实施例进行对上文简要概述的本发明的更特定的描述,在所附附图中示出实施例中的一些。然而应注意,所附附图仅示出本发明的典型实施例,且因此不应视为对本发明范围的限制,因为本发明允许其他等效实施例。
图1是说明性处理腔室的剖面图,可在此说明性处理腔室中实践本发明的实施例;
图2是说明性多腔室处理系统的示意性俯视图;
图3描绘根据本发明的一个实施例的、用于形成双镶嵌结构工艺流的流程图;以及
图4A-4E描绘根据本发明的一个实施例的、在用于形成双镶嵌结构的蚀刻工艺的序列过程中的设置在双镶嵌结构中的电介质阻挡层和传导材料的剖面视图。
为了有助于理解,在可能的情况下,已使用相同元件符号指定各图所共有的相同的元件。构想了一个实施例的元件和特征可有益地合并在其他实施例中而无需进一步陈述。
然而,应注意的是,所附附图仅示出本发明的示例性实施例,因此不应视为对本发明范围的限制,因为本发明允许其他等效实施例。
具体实施方式
本文公开了用于形成双镶嵌结构而不会早期暴露形成在双镶嵌结构中的下方传导层以及用于蚀刻双镶嵌结构中的电介质阻挡层的方法,所述方法在双镶嵌制造工艺之后提供具有高蚀刻选择性和界面高质量的蚀刻工艺。在一个实施例中,电介质阻挡层蚀刻工艺包括循环蚀刻工艺,以重复且递增地蚀刻电介质阻挡层,直到暴露下方传导层为止。此外,也以在电介质阻挡层蚀刻工艺之后消除传导层的暴露时间的方式(被称为“阻挡最后打开(Barrier Open Last)”工艺)来布置用于蚀刻形成在双镶嵌结构中的膜材料的序列。通过利用具有高蚀刻选择性的蚀刻工艺以及“阻挡最后打开”工艺序列,可获得良好的界面控制。由此,可高效地控制蚀刻工艺之后双镶嵌结构中的传导层的暴露时间且伴随最小的氧化物或污染生成,从而增加制造灵活性并有利于器件的电气性能。
图1是说明性处理腔室100的剖面图,此说明性处理腔室100适于进行如下文中进一步描述的用于蚀刻电介质阻挡层的蚀刻工艺。腔室100经配置以从基板表面去除材料。适于实践本发明的一种处理腔室100使可从加州圣克拉拉市的应用材料公司获得的SiconiTM处理腔室。请注意,从其他制造商获得的其他真空处理腔室也可适用于实践本发明。
处理腔室100可在不破真空的情况下提供对基板表面的加热和冷却两者。在一个实施例中,处理腔室100包括腔室体112、盖组件140和支撑组件180。盖组件140设置在腔室体112的上端处,而支撑组件180至少部分地设置在腔室体112内。
腔室体112包括形成在腔室体112的侧壁中的狭缝阀开口114以提供对处理腔室100内部的接取。选择性地开启或关闭狭缝阀开口114以允许由晶片搬运机械手臂(未示出)来接取腔室体112的内部。
在一个或多个实施例中,腔室体112包括形成在此腔室体112中的通道115,用于供热传递流体流过此通道115。热传递流体可以是加热流体或冷却剂,并可用于在处理期间控制腔室体112的温度。对于防止气体或副产物在腔室体112的内部上的不期望的凝结而言,控制腔室体112的温度是重要的。示例性热传递流体可包括水、乙二醇或前述流体的混合物。示例性热传递流体也可包括氮气。
腔室体112可进一步包括衬垫120,此衬垫120围绕支撑组件180。衬垫120是可移除的,以便进行保养和清洁。衬垫120可由金属(诸如,铝)、陶瓷材料或任何其他工艺兼容的材料制成。衬垫120可受到珠粒轰击,以增加表面粗糙度和/或表面积,这增加沉积在衬垫上的任何材料的附着,从而防止材料剥落,材料剥落会导致处理腔室100的污染。在一个或多个实施例中,衬垫120包括形成在衬垫120中的一个或多个孔125和泵送通道129,泵送通道129与真空端口131流体地连通。孔125可为气体提供进入泵送通道129的流动路径,泵送通道129为处理腔室100内的气体提供通往真空端口131的出口。
真空系统耦接至真空端口131。真空系统可包括真空泵130和节流阀132,以调整通过处理腔室100的气体流。真空泵130耦接至设置在腔室体112中的真空端口131,并且因此与形成在衬垫120内的泵送通道129流体地连通。除非另有说明,否则术语“气体”和“多种气体”可互换地使用,并且指一种或多种前体、反应物、催化剂、载体、净化剂、清洁剂、前述物质的组合以及引入到腔室体112的任何其他流体。
盖组件140可包括至少两个堆叠的部件,所述至少两个堆叠的部件经配置以在所述至少两个叠层的部件之间形成等离子体容积或空腔。在一个或多个实施例中,盖组件140可包括第一电极143(“上电极”)竖直地设置在第二电极145(“下电极”)上方,从而在第一电极143与第二电极145之间约束等离子体容积或空腔150。第一电极143连接至功率源152(诸如,RF功率供应器),并且第二电极145接地,从而在这两个电极143、145之间形成电容。
在一个或多个实施例中,盖组件140可包括一个或多个气体入口154(仅示出一个),所述气体入口154至少部分地形成在第一电极143的上区段156内。一种或多种工艺气体可经由一个或多个气体入口154进入盖组件140。一个或多个气体入口154在这一个或多个气体入口的第一端处与等离子体空腔150流体地连通,并且这一个或多个气体入口154的第二端处耦接至一个或多个上游气体源和/或其他气体递送部件(诸如,气体混合器)。
在一个或多个实施例中,第一电极143具有对等离子体空腔150定界的扩展区段155。在一个或多个实施例中,扩展区段155是具有内表面或内径157的环状构件,所述内表面或内径157从扩展区段155的上部155A至扩展区段155的下部155B逐渐增加。由此,第一电极143与第二电极145之间的距离跨扩展区段155是可变的。变化的距离有助于控制在等离子体空腔150内生成的等离子体的形成和稳定性。
在一个或多个实施例中,扩展区段155像倒置的截锥(truncated cone)或“漏斗(funnel)”。在一个或多个实施例中,扩展区段155的内表面157从扩展区段155的上部155A至扩展区段155的下部155B逐渐倾斜。可取决于工艺要求和/或工艺限制而改变内径157的斜率或角度。也可取决于特定的工艺要求和/或限制而改变扩展区段155的长度或高度。
如上文所提及,因为第一电极143的逐渐增加之内表面157的缘故,第一电极143的扩展区段155可改变此第一电极143与第二电极145之间的竖直距离。变化的距离直接影响等离子体空腔150内的功率等级。不旨在受限于理论,两个电极143、145之间的距离的变化可允许等离子体找到必须的功率等级,从而如果不是将等离子体自身维持为贯穿整个等离子体空腔150也将等离子体自身维持在等离子体空腔150的某个部分内。因此等离子体空腔150内的等离子体较不依赖于压力,从而允许在较宽的操作窗口(operating window)内生成并维持等离子体。由此,可在盖组件140内形成更具重复性且更可靠的等离子体。由于等离子体空腔150中生成的等离子体在进入支撑组件180上方的处理区141(基板在处理区中141进行处理)之前被界定在盖组件140中,因为等离子体在处理区域141的远程生成,因此可将盖组件140视为远程等离子体源,。
如上所述,扩展区段155与气体入口154流体地连通。一个或多个气体入口154的第一端可在扩展区段155的内径的最上方顶点处开口进入等离子体空腔150。类似地,一个或多个气体入口154的第一端可在沿扩展区段155的内径157的任何高度间隔(heightinterval)处开口进入等离子体空腔150。尽管未示出,但是可在扩展区段155的相对侧处设置两个气体入口154以形成进入扩展区段155的涡流图案或“漩涡(vortex)”,这有助于在等离子体空腔150内混合气体。
盖组件140可进一步包括隔离体环160,此隔离体环160将第一电极143与第二电极145电隔离。隔离体环160可由氧化铝或任何其他绝缘的工艺兼容材料制成。隔离体环160至少围绕或基本上围绕扩展区段155。
盖组件140可进一步包括与第二电极145相邻的分配板170和阻断器板175。第二电极145、分配板170和阻断器板175可堆叠并设置在盖缘(lid rim)178上,此盖缘178连接至腔室体112。可使用铰链组件(未示出)将盖缘178耦接至腔室体112。盖缘178可包括嵌入式的通道或通路179用于使热传递介质循环。取决于于工艺要求,热传递介质可用于加热、冷却或加热和冷却两者。
在一个或多个实施例中,第二电极或顶板145可包括在等离子体空腔150下方形成的多个气体通道或孔165,以允许来自等离子体空腔150的气体流过气体通道或孔165。分配板170基本上是盘形的,并且也包括多个孔172或通道以分配穿过孔172的气体流动。可设定孔172的尺寸,并且绕分配板170定位孔172,以便将受控且均匀的流分配提供给腔室体112的处理区域141,待处理的基板位于此处理区域141中。此外,孔172通过减缓并重新引导流动气体的速度曲线(velocity profile),来防止(多种)气体直接碰撞在基板表面上,也可均匀地配送气流,从而跨基板表面提供均匀的气体分布。
在一个或多个实施例中,分配板170包括用于容置加热器或加热流体的一个或多个嵌入式通道或通路174以提供对盖组件140的温度控制。可将电阻式加热元件(未示出)插入在通路174内以加热分配板170。可将热电耦连接至分配板170以调整分配板170的温度。如上文所述,可将热电耦用于反馈回路以控制施加到加热元件的电流。
或者,可使热传递介质经过通路174。如果需要,一个或多个通路174可含有冷却介质,以便取决于腔室体112内的工艺要求来更好地控制分配板170的温度。可使用任何适当的热传递介质,例如,氮、水、乙二醇或上述热传递介质的混合物。
在一个或多个实施例中,可使用一个或多个热灯(未示出)来加热盖组件140。典型地,加热灯绕分配板170的上表面而布置,以便通过辐射加热盖组件140的部件(包括分配板170)。
阻断器板175可任选地设置在第二电极145与分配板170之间。阻断器板175可移除地安装到第二电极145的下表面。阻断器板175与第二电极145可有良好的热接触和电接触。在一个或多个实施例中,可使用螺栓或类似的紧固件将阻断器板175耦接至第二电极145。也可通过螺纹或螺丝将阻断器板175耦接至第二电极145的外径上。
阻断器板175可包括多个孔176以提供从第二电极145至分配板170的多个气体通路。可设定通孔176的尺寸并绕阻断器板175定位孔176,以便将受控制且均匀的流分布提供至分配板170。
支撑组件180可包括支撑构件185以在腔室体112内支撑供处理的基板(图1中未示出)。支撑构件185可通过轴杆187而耦接至举升机构183,轴杆187延伸穿过在腔室体112的底表面中形成的居中定位的开口114。举升机构183可通过伸缩管(bellow)188而柔性地密封至腔室体112,伸缩管188防止围绕轴杆187的真空泄漏。举升机构183允许在腔室体112内在处理位置与较低的传递位置之间竖直地移动支撑构件185。传递位置略低于在腔室体112的侧壁中形成的狭缝阀开口114,使得能以机械手臂方式从基板支撑构件185移除基板。
在一个或多个实施例中,支撑构件185具有平坦的圆形表面或基本上平坦的圆形表面,以便在此支撑构件185上支撑待处理基板。支撑构件185可由铝构建。支撑构件185可包括由某种其他材料(诸如,硅或陶瓷材料)制成的可移除顶板190,例如以便减少基板的背侧污染。
在一个或多个实施例中,可使用真空夹盘将基板(未示出)固定至支撑构件185。在一个或多个实施例中,可使用静电夹盘将基板(未示出)固定至支撑构件185。静电夹盘典型地包括至少一种围绕电极181的电介质材料,所述电极181可位于支撑构件185上或形成为支撑构件185的一体式部分。夹盘的电介质部分将夹盘电极181与基板电绝缘,并将夹盘电极181与支撑组件180的其余部分电绝缘。
在一个实施例中,电极181耦接至多个RF功率偏置源184、186。RF偏置功率源184、186将RF功率提供给电极181,所述电极181激发并维持从设置在腔室体112的处理区域141中的气体中形成的等离子体放电。
在图1中描绘的实施例中,双RF偏置功率源184、186通过匹配电路189而耦接至设置在支撑构件185中的电极181。由RF偏置功率源184、186生成的信号可通过匹配电路189、通过单馈送件(single feed)递送至支撑构件185以电离在等离子体处理腔室100中提供的气体混合物,从而提供用于执行沉积、蚀刻或其他等离子体增强型工艺所必需的离子能量。RF偏置功率源184、186总体上能够产生具有从约50kHz至约200MHz的频率以及约0瓦与约5000瓦之间的功率的RF信号。附加的偏置功率源可耦接至电极181,以按需控制等离子体的特征。
支撑构件185可包括穿过此支撑构件185而形成的钻孔192以容纳举升销193,在图1示出钻孔192中的一个。每一个举升销193由陶瓷材料或含陶瓷材料构件,并且可用于基板搬运和运输。当举升销193啮合设置在腔室体112内的环状举升环195时,举升销193在其相应的钻孔192内是可移动的。举升环195是可移动的,使得当举升环195处于上方位置时,举升销193的上表面可在支撑构件185的基板支撑表面上方延伸。相反,当举升环195处于下方位置时,举升销193的上表面位于支撑构件185的基板支撑表面下方。由此,当举升环195在下方位置与上方位置之间移动时,可在支撑构件185中的举升销193的相应的钻孔192中移动每一个举升销193。
支撑组件180可进一步包括边缘环196,此边缘环196绕支撑构件185设置。在一个或多个实施例中,边缘环196是适于覆盖支撑构件185的外周界并保护支撑构件185免受沉积的环状构件。边缘环196可定位在支撑构件185上或邻近支撑构件185而定位,以便在支撑构件185的外径与边缘环196的内径之间形成环状净化气体通道。环状净化气体通道可与净化气体导管197流体地连通,所述净化气体导管197穿过支撑构件185和轴杆187而形成。净化气体导管197与净化气体供应器(未示出)流体地连通以将净化气体提供至净化气体通道。可单独或组合地使用任何合适的净化气体(诸如,氮、氩或氦)。在操作中,净化气体流经导管197而进入净化气体通道,并且围绕设置在支撑构件185上的基板的边缘。因此,净化气体与边缘环196的协同运作可防止在基板的边缘和/或背侧处的沉积。
可由流体控制支撑组件180的温度,所述流体通过嵌入在支撑构件185的主体中的流体通道198而循环。在一个或多个实施例中,流体通道198与热传递导管199流体地连通,所述热传递导管199穿过支撑组件180的轴杆187而设置。流体通道198绕支撑构件185定位以将均匀的热传递提供至支撑构件185的基板接收表面。流体通道198和热传递导管199可使热传递流体流动,以便加热或冷却支撑构件185以及设置在此支撑构件185上的基板。可使用任何合适的热传递流体,诸如,水、氮、乙二醇或前述热传递流体的混合物。支撑构件185可进一步包括嵌入式热电耦(未示出)以监测支撑构件185的支撑表面的温度,支撑表面的温度指示设置在此支撑表面上的基板的温度。例如,可在反馈回路中使用来自热电耦的信号以控制循环通过流体通道198的流体的温度或流率。
可在腔室体112内竖直地移动支撑构件185,使得可控制支撑构件185与盖组件140之间的距离。传感器(未示出)可提供与支撑构件185在腔室100内的位置有关的信息。
在操作中,可将支撑部件185升高至非常接近盖组件140以控制正在被处理的基板的温度。由此,可经由从分配板170发射的辐射来加热基板。或者,可使用由举升环195致动的举升销193来将基板提离支撑构件185而至非常接近经加热的盖组件140。
可使用系统控制器(未示出)来调整处理腔室100的操作。可在存储在计算机的存储器上的计算机程序的控制下操作系统控制器。计算机程序可包括多条指令,所述指令可使下文描述的工艺在处理腔室100中执行。例如,计算机程序可指定特定工艺的工艺顺序(sequencing)和时点(timing)、气体的混合、腔室压力、RF功率等级、支承座(susceptor)定位、狭缝阀开启和关闭、基板冷却和其他参数。
图2是说明性多腔室处理系统200的示意性俯视图,所述说明性多腔室处理系统200可适于执行本文所公开的工艺,其中处理腔室100耦合至处理系统200。系统200可包括用于传递基板进出系统200的一个或多个负载锁定腔室202、204。典型地,由于系统200处于真空下,因此负载锁定腔室202、204可对引入到系统200中的基板“抽气(pump down)”。第一机械手臂210可在负载锁定腔室202、204与第一组一个或多个基板处理腔室212、215、216、100(示出四个)之间传递基板。每一个处理腔室212、215、216、100经配置以执行基板处理操作中的至少一个,所述基板处理操作诸如,蚀刻工艺、循环层沉积(cyclical layerdeposition,CLD)、原子层沉积(atomic layer deposition,ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、脱气(degas)、定向和其他基板工艺。用于执行蚀刻工艺的处理腔室100相对于其他腔室212、215、216的位置仅是说明性的,如果需要,处理腔室100的位置可任选地与处理腔室212、215、216中的任一者的位置交换。
第一机械手臂210也可往/返于一个或多个传递腔室222、224传递基板。可使用传递腔室222、224以在允许在系统200内传递基板的同时维持超高真空环境。第二机械手臂230可在传递腔室222、224与第二组一个或多个处理腔室232、234、236、238之间传递基板。与处理腔室212、215、216、100类似,可配备处理腔室232、234、236、238以执行各种基板处理操作,所述基板处理操作包括本文所述的干式蚀刻工艺以及任何其他合适的工艺(包括例如,沉积、预清洁、脱气和定向)。如果对于将由系统200执行的特定工艺不是必需的,则可从系统200中移除基板处理腔室212、215、216、100、232、234、236、238中的任一者。
图3示出用于使用“阻挡最后打开”工艺制造双镶嵌结构的工艺序列300,所述“阻挡最后打开”工艺可在一旦当电介质阻挡被蚀刻打开后暴露于大气时消除设置在双镶嵌结构中的下方传导层的暴露时间。图3中描述的序列对应于图4A-4E中描述的制造阶段,图4A-4E示出在蚀刻电介质阻挡层408的不同阶段期间基板402的示意性剖面视图,所述基板402具有在此基板402上形成的膜叠层400,所述膜叠层400稍后可用于形成双镶嵌结构。
工艺序300开始于框302处:将基板(诸如,图4A中描绘的基板402)传递到处理腔室(诸如,图1中描绘的处理腔室100或其他合适的处理腔室)中。基板402可具有基本上平坦的表面、不均匀的表面或基本上平坦的表面(所述基本上平坦的表面具有形成在此基本上平坦的表面上的结构)。图4A中所示的基板402包括形成在基板402上的膜叠层400,稍后可利用此膜叠层400来形成双镶嵌结构。在一个实施例中,基板402可以是诸如以下材料:结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、经掺杂或无掺杂的多晶硅、经掺杂或无掺杂的硅晶片和经图案化或未经图案化的晶片、绝缘体上硅(SOI)、掺杂碳的氧化硅、氮化硅、经掺杂的硅、锗、砷化镓、玻璃、蓝宝石。基板402可具有各种尺度,诸如,200mm、300mm或450mm直径的晶片,也可以是矩形或正方形面板。除非另有注解,否则本文中所描述的实施例和示例是对具有300mm直径或450mm直径的基板进行的。
在一个实施例中,膜叠层400可具有可用于形成互连结构(诸如,双镶嵌结构)的多个膜层,所述互连结构在背端半导体工艺中利用。膜叠层400包括电介质阻挡层408,所述电介质阻挡层408设置在基板402上并位于绝缘叠层404上方的电介质阻挡层408。如图4A中所示,绝缘叠层404可设置在具有传导层406的基板402上,所述传导层406诸如铜线,所述传导层406形成在绝缘叠层404中并由绝缘叠层404定界。电介质阻挡层408设置在绝缘叠层404上,从而防止下方传导层406的导电材料被暴露于大气。
电介质块状绝缘层410设置在电介质阻挡层408上,所述电介质块状绝缘层410具有设置在任选的多重覆盖层(诸如,在第二覆盖层412上的第一覆盖层)上的硬掩模层416。可将旋涂式有机平面化层418与任选的双层抗反射涂覆(ARC)层422、420一起设置在硬掩模层416上以增加光刻显影准确度。随后,可将经图案化的光阻层424设置在任选的双层抗反射涂覆(ARC)层422、420的顶表面上,所述经图案化的光阻层424具有形成在其中的开口426以暴露任选的双层抗反射涂覆(ARC)层422、420的部分428供蚀刻。蚀刻工艺可按需在电介质块状绝缘层410中形成通孔(via)和/或沟槽。
在一个实施例中,经图案化的光阻层424可以是光阻剂材料,诸如,正型光阻剂(positive tone photoresist)、负型光阻剂(negative tone photoresist)、UV光刻光阻剂、I-线光阻剂(I-line photoresist)、G-线光阻剂(G-line photoresist)、电子束抗蚀剂(e-beam resist)(例如,化学放大式抗蚀剂(chemically amplified resist,CAR))或其他合适的光阻剂。任选的双层抗反射涂覆(ARC)层422、420可包括设置在氧化硅层420上的上抗反射涂覆(ARC)层422。上抗反射涂覆(ARC)层422的合适示例包括非晶碳层、经掺杂的非晶碳层、氧化硅层、氮化硅层、氧氮化硅层或由其他合适的材料形成的层。
设置在硬掩模层416上的旋涂式有机平面化层418可以是旋涂式涂覆到硬掩模层416上的有机聚合物材料。旋涂式有机平面化层418可旋涂式涂覆到不平坦的基板表面(即,硬掩模层416的上表面)上,且具有足够的厚度以产生旋涂式有机平面化层418的基本上平坦的顶表面。在一个实施例中,适于形成旋涂式有机平面化层418的有机聚合物材料包括含烃材料。烃材料的合适示例包括:光阻剂材料、旋涂玻璃(spin-on-glass,SOG)材料等。在一个示例中,光阻剂材料可以是正型光阻剂、负型光阻剂、UV光刻光阻剂、I-线光阻剂、G-线光阻剂、电子束抗蚀剂(例如,化学放大式抗蚀剂(CAR))或其他合适的光阻剂。
在一个实施例中,平面化层418可涂覆至硬掩模层416上且具有约20nm与约800nm之间的厚度,例如,约100nm与约400nm之间的厚度。人们相信,为平面化层418提供的有机聚合物材料可具有自调平(self-leveling)平面化性质,以便被涂覆到硬掩模层416上,从而均匀地覆盖基板402上形成的结构的不均匀的表面形貌。通过这样做,可由平面化或平坦化的顶表面覆盖来自基板402的不均匀的形貌表面以便于后续的光刻工艺,从而以正确且精准的尺度控制将特征转移道基板402上的结构中。
在一个实施例中,平面化层418可被旋涂到基板表面上。在另一实施例中,也可使用以下各项将平面化层418涂覆到基板402上:注射、喷洒沉积系统、喷洒沉积系统、气溶胶沉积(aerosol deposition,AD)工艺、空气喷射(aerojet)、来自溶液的纳米颗粒喷洒、喷洒CVD、喷墨、弯月面涂覆(meniscus coating)、浸涂、电镀、喷洒涂覆、电喷洒(electrospraying)、丝网印刷,或按需通过其他合适的技术。
在平面化层418下方,形成在基板402上的硬掩模层416可充当后续的蚀刻/图案化工艺期间的掩模层,以便高效地将特征转移到膜叠层400中。在一个实施例中,此处所利用的硬掩模层416可以是金属电介质层,诸如,TiN、TaN、TiON、TaON、Al2O3、AlON、AlN等。在一个特定实施例中,硬掩模层416是TiN层或TaN层。可按需通过化学气相沉积(CVD)、原子层沉积(ALD)、循环层沉积(CLD)、物理气相沉积(PVD)等工艺形成硬掩模层416。
任选的多重覆盖层可包括位于第二覆盖层412上的第一覆盖层414。第一覆盖层414可以是TEOS层、氧化硅层或非晶碳层,而第二覆盖层412可以是由含碳氧化硅(SiOC)形成的低k覆盖层,所述含碳氧化硅(SiOC)类似于可从应用材料公司获得的BLACK电介质材料。
电介质块状绝缘层410及绝缘叠层404是具有小于4.0的介电常数的电介质材料(如,低k材料)。合适材料的示例包括含碳氧化硅(SiOC)(诸如,BLACK电介质材料)和其他低k聚合物(诸如,聚酰胺)。电介质阻挡层408可具有约5.5或更小的介电常数。在一个实施例中,电介质阻挡层408是含碳硅层(SiC)、氮掺杂的含碳硅层(SiCN)、氮化硅层、金属氮化物或金属氧化物(诸如,AlN或AlON)、具有多种材料的复合层,或前述各种层的组合等。在图4A中描绘的实施例中,电介质阻挡层是SiCN膜或SiN膜。电介质阻挡层材料的示例是可从应用材料公司获得的电介质材料。电介质阻挡层408的另一示例也可以是同样可从应用材料公司获得的PVD AlN和/或AlON。电介质阻挡层408的又一示例也可以是来自上文列举的材料的整合的双层或多层,上文列举的材料包括可从应用材料公司获得的电介质材料和PVD AlN和/或AlON。传导层406(诸如,铜材料)可存在于绝缘叠层404中。
在框304处,可执行图案化工艺,以便如图4B中所示将经图案化的光阻层424用作蚀刻掩模来蚀刻电介质块状绝缘层410。在图4B中所描绘的实施例中,可通过经图案化的光阻层424中所界定的开口426来蚀刻/图案化电介质叠层400,以便在电介质块状绝缘层410中界定沟槽430的部分。可去除电介质块状绝缘层410的部分以暴露电介质块状绝缘层410的暂时性(tentative)底表面444。在一个实施例中,使用从氟和碳中形成的等离子体来蚀刻电介质块状绝缘层410。可在处理腔室100或其他合适的反应器中蚀刻电介质块状绝缘层410。
在对电介质块状绝缘层410的蚀刻/图案化工艺期间,当电介质块状绝缘层410达到此电介质块状绝缘层410中的预定的深度时,光阻层424与下方任选的双层抗反射涂覆(ARC)层422、420可一起被消耗或蚀刻掉。或者,如图4B中所示,在电介质块状绝缘层410达到使暂时性底表面444暴露的预定的深度之后,可在另一分开的工艺步骤中去除或灰化去除其余的光阻层424与下方任选的双层抗反射涂覆(ARC)层422、420。
在框306处,如图4C中所示,可执行电介质块状绝缘层蚀刻工艺,以便将硬掩模层416用作蚀刻掩模来进一步蚀刻电介质块状绝缘层410,如图4C所示。现在,可进一步穿过蚀刻参照图4B在框304中界定的沟槽的部分以穿过暂时性底表面444,直到电介质阻挡层408的下方表面434 436被暴露为止,从而界定形成在电介质块状绝缘层410中的期望的沟槽432和通孔434。电介质块状绝缘层410的部分保留在基板402上,从而在基板402上界定通孔434的底表面438。在一个实施例中,使用从氟和碳中形成的等离子体来蚀刻电介质块状绝缘层410。或者,可在处理腔室100或其他合适的反应器中蚀刻电介质块状绝缘层410。
在框308处,当在电介质块状绝缘层410中形成通孔434和沟槽432从而暴露电介质阻挡层408的表面436之后,随后,如图4D中所示,可在蚀刻电介质阻挡层408之前,从基板402去除基板402上保留的硬掩模层416。与在对电介质阻挡层408的蚀刻工艺之后执行硬掩模层去除工艺的常规惯例不同,下方传导层406的早期暴露经常导致附着在传导层406的界面上的污染,从而不利地使器件电气性能恶化。通过交换硬掩模层去除工艺和电介质阻挡蚀刻工艺(或称为阻挡最后打开工艺)的顺序而进行的“阻挡最后打开”工艺,可保持下方传导层406的膜性质,从而消除下方传导层406对邻近大气的暴露时间,并且高效地降低污染传导层406的可能性。
在一个实施例中,可通过将基板402浸泡(soak)、浸入(dip)、淹没(flood)或浸没(immerse)到溶液中来执行硬掩模去除工艺,所述溶液包含有机溶剂中的过氧化物。在另一实施例中,可通过将含氯气体用作蚀刻剂源的干式蚀刻工艺执行硬掩模去除工艺。
在框310处,在从基板402去除硬掩模层416之后,随后,如图4E中所示,执行电介质阻挡层蚀刻工艺(或称为“阻挡打开工艺(Barrier Open Process)”),以便从由在电介质块状绝缘层410中界定的沟槽432暴露的表面436蚀刻电介质阻挡层408。电介质阻挡层蚀刻工艺可包括多个步骤,以便递增地且逐步地蚀刻电介质阻挡层408,而不损坏下方传导层406。在一个实施例中,电介质阻挡层蚀刻工艺(或称为“阻挡打开工艺”)可包括至少三个(或更多个)步骤以递增地蚀刻电介质阻挡层408。
在框310处的电介质阻挡层蚀刻工艺期间的第一子步骤310a中,可执行处理工艺以处理电介质阻挡层408的被暴露的表面436(如图4D中所示)以更改表面性质,从而促进在第二子步骤310b中所述的后续的蚀刻工艺中对电介质阻挡层408的去除。在第一子步骤310a处执行的处理工艺包括:将处理气体混合物供应到处理腔室(诸如,图1中描绘的腔室100)中。随后,从处理气体混合物中形成等离子体,以便对由电介质块状绝缘层410的沟槽432暴露的电介质阻挡层408的表面436进行等离子体处理。处理工艺可将电介质阻挡层408活化到激发态,从而在不受电介质块状绝缘层410保护的区域中形成经处理的电介质阻挡层408。在处理之后,随后在第二子步骤310b中,电介质阻挡层408可容易地与后续供应到处理腔室100中的蚀刻气体反应,从而形成挥发性气体副产物,所述挥发性气体副产物可容易地被抽离处理腔室100。
在一个实施例中,处理气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在处理气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加从处理气体混合物中形成的等离子体中的离子的寿命。增加的离子寿命可辅助更彻底地与基板400上的电介质阻挡层408反应并更彻底地活化所述电介质阻挡层408,从而在后续的蚀刻工艺期间增强从基板400中去除经活化的电介质阻挡层408。当处理气体混合物中利用含氢气体的实施例中,来自含氢气体的氢原子可与电介质阻挡层408中所含的硅原子反应,从而在电介质阻挡层408上形成弱而悬空的Si-H键或Si-OH键。在处理之后,具有Si-H或Si-OH键末端的电介质阻挡层408可轻易被后续供应至处理腔室100的其他蚀刻剂吸收,从而辅助容易地从基板表面去除电介质阻挡层408。
在一个实施例中,供应到处理腔室100中的含氢气体包括H2、H2O、NH3等中的至少一种。供应到处理腔室100中的含氮气体包括N2、N2O、NO2、NH3等中的至少一种。供应到处理腔室100中的惰性气体包括Ar、He、Kr等中的至少一种。在示例性实施例中,在处理腔室100中供应以执行处理工艺的含氢气体是H2气,在处理腔室100中供应以执行处理工艺的含氮气体是NH3或N2气体,而惰性气体是He或Ar。
在等离子体处理工艺期间,可调整若干工艺参数以控制处理工艺。在一个示例性实施例中,处理腔室100中的工艺压力调整为约10mTorr至约5000mTorr之间,诸如,约10mTorr与约400mTorr之间,例如,约200mTorr。可施加处于约13MHz的频率下的RF偏置功率以维持处理气体混合物中的等离子体。例如,可施加约小于100瓦(诸如,约5瓦至约50瓦)的RF偏置功率以维持处理腔室100内的等离子体。可使处理气体混合物以约100sccm至约800sccm之间的流率流入腔室。可将基板温度维持在约25摄氏度至约300摄氏度之间,诸如,约50摄氏度与约140摄氏度之间,例如,约50摄氏度与约130摄氏度之间,诸如,约110摄氏度。
在一个实施例中,取决于气体的操作温度、压力和流动速率,基板400经受处理工艺达约5秒至约5分钟之间。例如,可使基板暴露于预处理工艺达约30秒至约90秒。在示例性实施例中,使基板暴露于处理工艺达约90秒或更少。
在第二子步骤310b处,当在基板402上执行了处理工艺之后,在基板402上执行远程等离子体蚀刻工艺以蚀刻电介质阻挡层408。远程等离子体蚀刻工艺是经执行以缓慢地去除由基板400上的电介质块状绝缘层410暴露的电介质阻挡层408的温和的蚀刻工艺。通过以下步骤来执行远程等离子体蚀刻工艺:将蚀刻气体混合物供应到等离子体空腔150中且供应到处理腔室100中,以便以在使用于蚀刻电介质阻挡层408蚀刻的处理气体流动之前,在处理工艺之后,在等离子体空腔150中从处理气体混合物中形成远程等离子体。
在一个实施例中,用于去除电介质阻挡层408的蚀刻气体混合物是氨(NH3)气与三氟化氮(NF3)气体的混合物。可按需以N2气取代在蚀刻气体混合物中使用的氨(NH3)气。可改变并调整引入到处理腔室中的每一种气体的量以适应例如以下各项:待去除的电介质阻挡层408的厚度、正在处理的基板的几何形状、等离子体空腔的体积容量、腔室体的体积容量以及耦接至腔室体的真空系统的能力。
由于是在等离子体空腔150中远程地生成等离子体,因此从来自远程等离子体的蚀刻气体混合物中离解的蚀刻剂相对适度且温和,从而缓慢、温和且逐步地与电介质阻挡层408进行化学反应,直到如图4E中所示暴露下方传导层406为止。人民相信,在远程等离子体源中,氨(NH3)气和三氟化氮(NF3)气体在远程等离子体空腔150中离解,从形成氟化铵(NH4F)和/或具有HF的氟化铵(NH4F.HF)。一旦氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)蚀刻剂被引入到处理腔室100的处理区域141中,氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)蚀刻剂可在到达基板之后与电介质阻挡层408的电介质材料反应,从而形成主要为固态的(NH4)2SiF6。氟化铵(NH4F)以及具有HF的氟化铵(NH4F.HF)蚀刻剂与电介质阻挡层408化学反应,从而形成固态的(NH4)2SiF6,稍后将通过使用低温升华工艺从基板表面去除所述固态的(NH4)2SiF6,将在框310c处的第三子步骤处进一步详细地讨论所述低温升华工艺。
在一个或多个实施例中,被添加以提供蚀刻气体混合物的气体具有至少1:1的氨(NH3)比三氟化氮(NF3)的摩尔比。在一个或多个实施例中,蚀刻气体混合物的摩尔比为至少约3:1(氨比三氟化氮)。能以约5:1(氨比三氟化氮)至约20:1的摩尔比将气体导入腔室100。在又一实施例中,蚀刻气体混合物的摩尔比为约5:1(氨比三氟化氮)至约10:1。
在一个实施例中,也可在蚀刻气体混合物中供应其他类型的气体(诸如,惰性气体或载气)以辅助将蚀刻气体混合物载运至真空处理腔室100的处理区域141中。惰性气体或载气的合适的示例包括Ar、He、N2、O2、N2O、NO2、NO等中的至少一种。在一个实施例中,可供应到真空处理腔室100中的惰性气体或载气是体积流率在约200sccm与约1500sccm之间的Ar或He。
在供应蚀刻气体混合物以执行远程等离子体源蚀刻工艺的同时,可将基板温度维持在约40摄氏度与约150摄氏度之间的范围,诸如,维持在约110摄氏度。在将蚀刻气体混合物供应到处理腔室之后,可接着蚀刻电介质阻挡层408,从而在基板表面上形成固体蚀刻副产物(诸如,氟硅酸铵(NH4)2SiF6)。保留在基板402上的蚀刻副产物(NH4)2SiF6具有相对低的熔点(诸如,约100摄氏度),此相对低的熔点允许通过在第三子步骤310c处执行的升华工艺来从基板中去除副产物(NH4)2SiF6),下文中将进一步讨论所述升华工艺。可连续地执行蚀刻工艺,直到设置在基板400上的电介质阻挡层408已全部反应且转换成蚀刻副产物为止。
在蚀刻工艺期间,可调整若干工艺参数以控制蚀刻工艺。在一个示例性实施例中,处理腔室100中的工艺压力调整为约10mTorr至约5000mTorr之间,诸如,约800mTorr与约5000mTorr之间,例如,约100mTorr与约1000mTorr之间。可施加频率为约80KHz的RF源功率以维持蚀刻气体混合物中的等离子体。例如,可对蚀刻气体混合物施加约20瓦至约800瓦(诸如,约300瓦至约800瓦,例如,约600瓦)的RF源功率。本文中所称的RF源功率可以是从功率源152供应至电极143、145的RF功率。在一个实施例中,RF源功率可提供约80KHz的频率的功率。
在第三子步骤310c处,在完成蚀刻工艺且电介质阻挡层408已基本上被反应并转变成蚀刻副产物之后,执行升华工艺以将蚀刻副产物升华为挥发态,此挥发态可被抽离处理腔室100。如图4E中所示,升华工艺从基板402中去除蚀刻副产物,从而暴露下方传导层406。可在与执行第二子步骤310b处的远程等离子体蚀刻工艺相同的腔室(如上文所述的处理腔室100)中执行升华工艺。或者,可按需在系统200的分开的处理腔室处执行升华工艺。
升华工艺可以是利用等离子体能量以从基板402升华蚀刻副产物的等离子体退火工艺。凭借蚀刻副产物(诸如,氟硅酸铵(NH4)2SiF6)的低熔点(升华点)的性质,来自等离子体的热能可高效地去除蚀刻副产物。
在一个实施例中,升华工艺可利用低RF偏置功率等离子体处理工艺,以便温和且适度地处理基板而不损坏基板表面。在一个实施例中,低温等离子体工艺可使用低RF偏置功率(诸如,小于约30瓦)并且控制受控于约20摄氏度与约150摄氏度之间(诸如,约110摄氏度)的基板温度,以便从基板表面升华蚀刻副产物。
可通过将升华气体混合物供应到腔室100中来执行升华工艺。随后,从升华气体混合物中的等离子体中形成等离子体以对基板400进行等离子体退火,从而形成可容易被抽离处理腔室100的挥发气体副产物。
在一个实施例中,升华气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在等离子体退火气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加从升华气体混合物中形成的等离子体中的离子的寿命,从而高效地从基板400中去除蚀刻副产物。增加的离子寿命可辅助更彻底地与基板402上的蚀刻副产物反应并更彻底地活化所述蚀刻副产物,从而增强从基板402中去除蚀刻副产物。
在选择含氢气体以在升华气体混合物中供应的一个实施例中,供应到处理腔室100中的含氢气体包括H2、H2O等中的至少一种。在选择含氮气体以在升华气体混合物中供应的一个实施例中,供应到处理腔室100的含氮气体包括N2、N2O、NO2、NH3等中的至少一种。在选择惰性气体以在升华气体混合物中供应的一个实施例中,供应到处理腔室100中的惰性气体包括Ar、He、Kr等中的至少一种。在示例性实施例中,在处理腔室100中供应以执行升华工艺的含氢气体是H2气,在处理腔室100中供应以执行升华工艺的含氮气体是N2气,而惰性气体是He或Ar。
在升华工艺期间,可调整若干工艺参数以控制升华工艺。可施加处于约13MHz的频率下的RF偏置功率以维持处理气体混合物中的等离子体。例如,可施加小于30瓦的RF偏置功率以在处理腔室100内维持等离子体。能以约100sccm至约2000sccm之间(诸如,约1000sccm)的流率使升华工艺混合物流入腔室。可将基板温度维持在约20摄氏度与约150摄氏度之间,诸如,维持在约110摄氏度。在一些实施例中,没有功率被施加至电极143、145。
在升华工艺后,可选择性地执行第四子步骤310d处的任选的退火工艺。在第三子步骤310c处的升华工艺无法高效地去除蚀刻副产物中的大部分的实施例中,可执行任选的退火工艺以辅助从基板402中蒸发其余的蚀刻副产物。第四子步骤310d处的退火工艺可以是利用热能来进一步从基板402中蒸发蚀刻副产物的热退火工艺。热能可高效地从基板402中去除蚀刻副产物。在一个实施例中,任选的退火工艺可利用低RF偏置功率等离子体退火工艺或热处理工艺来温和且适度地处理基板而不损坏基板表面。在一个实施例中,低RF偏置功率等离子体退火工艺可使用低RF偏置功率(诸如,小于约50瓦),并且将基板温度控制在约100摄氏度与约400摄氏度之间,诸如,约150摄氏度与约300摄氏度之间。请注意,第四子步骤310d处的任选的退火工艺可以是任何合适的热退火工艺,包括炉退火(furnaceannealing)、热退火、烘烤或任何合适的加热工艺。
在一个实施例中,退火气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在退火气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加从退火气体混合物中形成的等离子体中的离子的寿命,从而高效地从基板402中去除蚀刻副产物。在一个实施例中,可在退火气体混合物中供应如Ar、He、Kr等的惰性气体以执行退火工艺。
请注意,可重复地(即,循环地)执行第一子步骤310a、第二子步骤310b、第三子步骤310c和第四子步骤310d中的多个子步骤,直到如图4E中所示去除了电介质阻挡层408以暴露下方传导层406为止。在不执行第四子步骤310d处的任选的退火工艺的实施例中,则可重复地执行第一子步骤310a、第二子步骤310b和第三子步骤310c中的多个子步骤,而不执行第四子步骤310d。重复的工艺可循环且递增地蚀刻电介质阻挡层408而不过于激进地侵蚀下方传导层406,从而提供良好的界面蚀刻控制和适当的蚀刻停止终点。以重复的处理、蚀刻工艺、升华工艺和/或任选的退火工艺进行的递增式蚀刻改善了特征竖直度并促进电介质阻挡层408之间的蚀刻选择性,而不损坏电介质块状绝缘层410的角落(如图4E处的圆圈450中所指示),从而增强用于将特征临界尺度(CD)转移至电介质阻挡层408的掩模的准确度。
因此,提供了用于形成双镶嵌结构而不会早期暴露下方传导层以及用于蚀刻双镶嵌结构中的电介质阻挡层的方法和设备。通过利用“阻挡最后打开”工艺(即,在电介质阻挡层打开工艺之前执行硬掩模层去除工艺)以及对电介质阻挡层的重复且递增的蚀刻工艺,可消除下方传导层在电介质阻挡层蚀刻工艺之后的暴露时间,从而高效地控制下方传导层上的氧化物或污染生成,并由此增加制造灵活性和器件的电气性能,而不会使器件性能降级。
尽管以上内容涉及本发明的实施例,但是可设计本发明的其他和进一步的实施例而不背离本发明的基本范围,并且本发明的范围由所附权利要求书来确定。

Claims (15)

1.一种用于蚀刻电介质阻挡层的方法,所述电介质阻挡层设置在基板上,所述方法包含下列步骤:
将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在所述电介质块状绝缘层上;
在去除所述电介质块状绝缘层之后,暴露所述电介质阻挡层的未由所述电介质块状绝缘层覆盖的部分;
从所述基板去除所述硬掩模层;以及
后续蚀刻由所述电介质块状绝缘层暴露的所述电介质阻挡层。
2.如权利要求1所述的方法,其中去除所述硬掩模层的步骤进一步包含下列步骤:
将所述基板浸在溶液中,所述溶液包含有机溶剂中的过氧化物。
3.如权利要求1所述的方法,其中所述硬掩模层是TiN、TaN、TiON、TaON、Al2O3、AlON或AlN中的至少一者。
4.如权利要求1所述的方法,其中后续蚀刻所述电介质阻挡层的步骤进一步包含下列步骤:
对所述电介质阻挡层执行处理工艺;
在供应到所述蚀刻工艺腔室中的蚀刻气体混合物中执行远程等离子体工艺,以蚀刻设置在所述基板上的经处理的电介质阻挡层;以及
执行等离子体退火工艺以对所述电介质阻挡层退火,从而从所述基板去除所述电介质阻挡层。
5.如权利要求4所述的方法,其中在所述蚀刻气体混合物中执行所述远程等离子体工艺的步骤进一步包含下列步骤:
以约5:1至约20:1的摩尔比在所述蚀刻气体混合物中供应氨气和三氟化氮。
6.如权利要求4所述的方法,其中在所述蚀刻气体混合物中执行所述远程等离子体工艺的步骤进一步包含下列步骤:
将基板温度维持在约40度摄氏度与约150摄氏度之间。
7.如权利要求4所述的方法,其中执行所述等离子体退火工艺的步骤进一步包含下列步骤:
从所述基板升华蚀刻副产物。
8.如权利要求1所述的方法,其中所述电介质阻挡层是碳化硅层或氮化硅层。
9.如权利要求4所述的方法,其中在所述蚀刻气体混合物中执行所述远程等离子体工艺的步骤进一步包含下列步骤:
施加RF源功率以从所述蚀刻气体混合物远程地生成所述等离子体。
10.如权利要求4所述的方法,进一步包含下列步骤:
重复地执行所述处理工艺、所述远程等离子体工艺和所述等离子体退火工艺,直到从所述基板去除所述电介质阻挡层为止。
11.如权利要求4所述的方法,进一步包含下列步骤:
在所述等离子体退火工艺之后执行附加的退火工艺。
12.如权利要求11所述的方法,其中所述附加的退火工艺进一步包含下列步骤:
供应频率约13MHz的RF偏置功率。
13.如权利要求4所述的方法,其中对所述电介质阻挡层进行等离子体退火以去除所述基板上的所述电介质阻挡层的步骤进一步包含下列步骤:
在去除所述电介质阻挡层之后,暴露设置在所述基板中的传导层。
14.如权利要求11所述的方法,其中所述附加的退火工艺进一步包含下列步骤:
将基板温度维持在约150摄氏度与约400摄氏度之间。
15.一种用于蚀刻电介质阻挡层的方法,所述电介质阻挡层设置在基板上,所述方法包含下列步骤:
将硬掩模层用作蚀刻掩模来图案化基板,所述基板具有设置在电介质阻挡层上的电介质块状绝缘层,所述硬掩模层设置在所述电介质块状绝缘层上;
在去除所述电介质块状绝缘层之后,暴露所述电介质阻挡层的未由所述电介质块状绝缘层覆盖的部分;
从所述基板去除所述硬掩模层;以及
后续蚀刻由所述电介质块状绝缘层暴露的所述电介质阻挡层,对所述电介质阻挡层的蚀刻进一步包含下列步骤:
在所述电介质阻挡层上执行处理工艺;
在供应到所述蚀刻处理腔室中的蚀刻气体混合物中执行远程等离子体工艺,以蚀刻设置在所述基板上的经处理的电介质阻挡层;以及
执行等离子体退火工艺以对所述电介质阻挡层退火,从而从所述基板去除所述电介质阻挡层。
CN201480073342.4A 2014-01-24 2014-12-18 用于在双镶嵌结构中蚀刻电介质阻挡层的方法 Active CN105917440B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461931445P 2014-01-24 2014-01-24
US61/931,445 2014-01-24
US14/540,577 2014-11-13
US14/540,577 US9299577B2 (en) 2014-01-24 2014-11-13 Methods for etching a dielectric barrier layer in a dual damascene structure
PCT/US2014/071283 WO2015112289A1 (en) 2014-01-24 2014-12-18 Methods for etching a dielectric barrier layer in a dual damascene structure

Publications (2)

Publication Number Publication Date
CN105917440A true CN105917440A (zh) 2016-08-31
CN105917440B CN105917440B (zh) 2019-11-12

Family

ID=53679707

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480073342.4A Active CN105917440B (zh) 2014-01-24 2014-12-18 用于在双镶嵌结构中蚀刻电介质阻挡层的方法

Country Status (5)

Country Link
US (1) US9299577B2 (zh)
KR (1) KR102360220B1 (zh)
CN (1) CN105917440B (zh)
TW (1) TWI654683B (zh)
WO (1) WO2015112289A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110323151A (zh) * 2018-03-30 2019-10-11 台湾积体电路制造股份有限公司 半导体制造设备及半导体制造方法

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9508561B2 (en) * 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
JP6435667B2 (ja) * 2014-07-01 2018-12-12 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9576894B2 (en) * 2015-06-03 2017-02-21 GlobalFoundries, Inc. Integrated circuits including organic interlayer dielectric layers and methods for fabricating the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9613909B2 (en) * 2015-08-12 2017-04-04 Globalfoundries Inc. Methods and devices for metal filling processes
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP6597296B2 (ja) * 2015-12-25 2019-10-30 東京エレクトロン株式会社 基板処理方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
WO2018094000A1 (en) * 2016-11-18 2018-05-24 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP7063543B2 (ja) * 2017-04-17 2022-05-09 浜松ホトニクス株式会社 加工対象物切断方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102554014B1 (ko) * 2018-06-15 2023-07-11 삼성전자주식회사 저온 식각 방법 및 플라즈마 식각 장치
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) * 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10971357B2 (en) * 2018-10-04 2021-04-06 Applied Materials, Inc. Thin film treatment process
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11101175B2 (en) * 2018-11-21 2021-08-24 International Business Machines Corporation Tall trenches for via chamferless and self forming barrier
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
TWI751819B (zh) * 2020-12-02 2022-01-01 華邦電子股份有限公司 半導體裝置之製造方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030003754A1 (en) * 2001-06-29 2003-01-02 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device and semiconductor device
US20050026420A1 (en) * 2003-07-31 2005-02-03 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a polysilicon etching mask
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
CN101231951A (zh) * 2007-01-11 2008-07-30 应用材料股份有限公司 利用nh3-nf3化学物质的氧化蚀刻
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US20070224827A1 (en) * 2006-03-22 2007-09-27 Ying Xiao Methods for etching a bottom anti-reflective coating layer in dual damascene application
US8623236B2 (en) * 2007-07-13 2014-01-07 Tokyo Ohka Kogyo Co., Ltd. Titanium nitride-stripping liquid, and method for stripping titanium nitride coating film
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US9054109B2 (en) * 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030003754A1 (en) * 2001-06-29 2003-01-02 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device and semiconductor device
US20050026420A1 (en) * 2003-07-31 2005-02-03 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device using a polysilicon etching mask
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
CN101231951A (zh) * 2007-01-11 2008-07-30 应用材料股份有限公司 利用nh3-nf3化学物质的氧化蚀刻
CN102792426A (zh) * 2010-03-10 2012-11-21 应用材料公司 循环氧化与蚀刻的设备及方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110323151A (zh) * 2018-03-30 2019-10-11 台湾积体电路制造股份有限公司 半导体制造设备及半导体制造方法
CN110323151B (zh) * 2018-03-30 2021-12-17 台湾积体电路制造股份有限公司 半导体制造设备及半导体制造方法

Also Published As

Publication number Publication date
WO2015112289A1 (en) 2015-07-30
TW201532143A (zh) 2015-08-16
TWI654683B (zh) 2019-03-21
US9299577B2 (en) 2016-03-29
KR20160111508A (ko) 2016-09-26
CN105917440B (zh) 2019-11-12
US20150214101A1 (en) 2015-07-30
KR102360220B1 (ko) 2022-02-07

Similar Documents

Publication Publication Date Title
CN105917440B (zh) 用于在双镶嵌结构中蚀刻电介质阻挡层的方法
US7132369B2 (en) Method of forming a low-K dual damascene interconnect structure
US7795148B2 (en) Method for removing damaged dielectric material
TWI640040B (zh) 用於穩定蝕刻後界面以減少下一處理步驟前佇列時間問題的方法
US8202803B2 (en) Method to remove capping layer of insulation dielectric in interconnect structures
US8383519B2 (en) Etching method and recording medium
TWI492298B (zh) 雙重圖案化蝕刻製程
TWI414040B (zh) 氮化硼與硼-氮化物衍生材料的沉積方法
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
WO2018109552A1 (en) Semiconductor processing apparatus
US20090269934A1 (en) Plasma treatment method for preventing defects in doped silicon oxide surfaces during exposure to atmosphere
CN108987300B (zh) 半导体器件制造工具及其制造方法
US7288483B1 (en) Method and system for patterning a dielectric film
TW201535513A (zh) 介電常數減少且機械性質強化的低k介電層
US20100043821A1 (en) method of photoresist removal in the presence of a low-k dielectric layer
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
Park et al. Superior ArF PR etching selectivity and via CD control using fluorocarbon polymer deposition technique for 45nm-node

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant