DE102020126161B4 - Reduzierung der Elektromigration - Google Patents

Reduzierung der Elektromigration Download PDF

Info

Publication number
DE102020126161B4
DE102020126161B4 DE102020126161.6A DE102020126161A DE102020126161B4 DE 102020126161 B4 DE102020126161 B4 DE 102020126161B4 DE 102020126161 A DE102020126161 A DE 102020126161A DE 102020126161 B4 DE102020126161 B4 DE 102020126161B4
Authority
DE
Germany
Prior art keywords
layer
nitride
adhesion
adhesion layer
conductive
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102020126161.6A
Other languages
English (en)
Other versions
DE102020126161A1 (de
Inventor
Yi-Chen Ho
Chien LIN
Cheng-Yeh Yu
Hsin-Hsing Chen
Ju Ru HSIEH
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/017,356 external-priority patent/US11450609B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020126161A1 publication Critical patent/DE102020126161A1/de
Application granted granted Critical
Publication of DE102020126161B4 publication Critical patent/DE102020126161B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Interconnect-Struktur, die aufweist:ein leitfähiges Merkmal (204), das in eine dielektrische Schicht (202) eingebettet ist;eine Abdeck-Sperrschicht (212), die über dem leitfähigen Merkmal (204) und der dielektrischen Schicht (202) angeordnet ist; undeine Adhäsionsschicht (210, 2100), die zwischen der Abdeck-Sperrschicht (212) und der dielektrischen Schicht (202) aufgenommen ist,wobei die Adhäsionsschicht (210, 2100) einen Kristallinitätsgrad zwischen etwa 40 % und etwa 70 % aufweist.

Description

  • HINTERGRUND
  • Die Branche der integrierten Halbleiterschaltkreise (ICs) hat ein exponentielles Wachstum erfahren. Technische Fortschritte bei den IC-Materialien und dem IC-Design haben IC-Generationen hervorgebracht, wo jede Generation kleinere und komplexere Schaltkreise aufweist als die vorherige Generation. Im Zuge der IC-Entwicklung hat die Funktionsdichte (das heißt die Anzahl der miteinander verbundenen Bauelemente pro Chipfläche) allgemein zugenommen, während die Geometriegröße (das heißt die kleinste Komponente (oder Leitung), die mittels eines Herstellungsprozesses gebildet werden kann) kleiner geworden ist. Dieser Prozess der Abwärtsskalierung realisiert allgemein Vorteile, indem er die Produktionseffizienz steigert und die mit der Produktion verbundenen Kosten senkt. Eine solche Abwärtsskalierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Um zum Beispiel die Verschlechterung eines leitfähigen Merkmals aufgrund eines Kontakts mit einer benachbarten dielektrischen Schicht zu verhindern, kann das Kontaktmerkmal mit einer Sperrschicht verkleidet und mit einer Abdeck-Sperrschicht bedeckt werden. Die existierenden Interconnect-Strukturen sind zwar im Allgemeinen für ihre vorgesehen Zwecke ausreichend, doch sie sind nicht in jeder Hinsicht vollständig zufriedenstellend.
  • US 2008/0122103 A1 offenbart eine Verbindung, die einen Kupferleiter mit sowohl einer oberen als auch einer unteren Oberfläche umfasst, wobei auf der oberen Oberfläche des metallischen Leiters Kappen gebildet sind. Die Kappe besteht aus doppelten oder mehrfachen Folienlaminierungen, wobei die laminierten Folien eine Ultraviolett (UV)-blockierende Folie und eine Diffusionssperrfolie umfassen. Der Diffusionssperrfilm und der UVblockierende Film können durch einen Zwischenfilm getrennt sein. Die Erfindung ist in den Ansprüchen definiert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verstanden, wenn sie in Verbindung mit den beiliegenden Figuren gelesen wird. Es ist anzumerken, dass gemäß der gängigen Praxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Merkmale können vielmehr im Interesse der Übersichtlichkeit der Besprechung nach Bedarf vergrößert oder verkleinert werden.
    • 1 veranschaulicht ein Flussdiagramm eines Verfahrens zur Bildung einer Interconnect-Struktur oder eines Abschnitts davon gemäß einem oder mehreren Aspekten der Offenbarung.
    • 2A, 2B, 3, 4A-7A und 4B-7B veranschaulichen fragmentarische Querschnittsansichten eines Werkstücks, das verschiedene Bearbeitungsstufen in dem Verfahren von 1 durchläuft, gemäß einem oder mehreren Aspekten der Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des hier besprochenen Gegenstandes bereit. Im Folgenden werden konkrete Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal nicht unbedingt in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Räumlich relative Begriffe, wie zum Beispiel „unterhalb“, „unter“, „unterer“, „oberhalb“, „oberer“ und dergleichen können im vorliegenden Text zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Elementen oder Merkmalen, wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen auch andere Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb neben der in den Figuren gezeigten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht, oder sonstige Ausrichtungen), und die im vorliegenden Text verwendeten räumlich relativen Deskriptoren können gleichermaßen entsprechend interpretiert werden.
  • Des Weiteren soll, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff auch Zahlen umfassen, die innerhalb eines sinnvollen Bereichs liegen, der Variationen berücksichtigt, die jedem Herstellungsprozess inhärent sind, so wie der Durchschnittsfachmann sie verstehen würde. Zum Beispiel umfasst die Zahl oder der Bereich von Zahlen einen sinnvollen Bereich, der die angegebene Zahl sowie eine Spanne von zum Beispiel ± 10 % der angegebenen Zahl - auf der Grundlage bekannter Fertigungstoleranzen im Zusammenhang mit der Herstellung eines Merkmals, das eine mit der Zahl verknüpfte Eigenschaft aufweist - enthält. Zum Beispiel kann eine Materialschicht, die eine Dicke von „etwa 5 nm“ aufweist, einen Abmessungsbereich von 4,25 nm bis 5,75 nm umfassen, wenn der Durchschnittsfachmann weiß, dass die mit der Abscheidung der Materialschicht verknüpften Fertigungstoleranzen ± 15 % betragen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schafft nicht automatisch eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen.
  • Die vorliegende Offenbarung betrifft allgemein die Reduzierung oder Beseitigung von Elektromigration, und betrifft insbesondere die Abscheidung einer Adhäsionsschicht zur Verbesserung der Adhäsion einer Abdeck-Sperrschicht.
  • Die IC-Fertigungsindustrie hat verschiedene leitfähige Materialien ausprobiert, um die Leistung von Interconnect-Strukturen zu verbessern. Zum Beispiel war Aluminium einst ein beliebtes leitfähiges Material für die Bildung von Interconnect-Strukturen, weil es sich leicht in IC-Fertigungsprozesse integrieren lässt und im Vergleich zu dotiertem Polysilizium eine bessere Leitfähigkeit besitzt. Aufgrund seiner Anfälligkeit für Elektromigration und seiner geringeren Leitfähigkeit im Vergleich zu Kupfer büßte Aluminium seine Favoritenrolle ein und wurde allmählich durch Kupfer ersetzt - trotz der verschiedenen Schwierigkeiten bei der Integration von Kupfer in IC-Fertigungsprozesse. Obwohl Kupfer weniger anfällig für Elektromigration ist, ist es jedoch nicht völlig dagegen gefeit. Elektromigration bezieht sich auf Materialmigration aufgrund des Vorhandenseins von Stromflüssen. Die sich bewegenden Elektronen im Stromfluss werden durch Metallionen im Kristallgitter gestreut, und ihr Moment kann zu den Metallionen übertragen werden. Diese Momentübertragung von den Elektronen zu den Metallionen erzeugt eine Momentübertragungskraft. Wenn diese Momentübertragungskraft größer als eine Aktivierungsenergie des Leiters ist, so kann es zu einem Diffusionsprozess der Metallionen entlang der Richtung der sich bewegenden Elektronen und damit zu Elektromigration kommen.
  • Die Elektromigration ist aus verschiedenen Gründen inhomogen, wie zum Beispiel unterschiedliche Aktivierungsenergieniveaus, unterschiedliche Verspannungsverteilungen, Materialänderungen, Formänderungen und unterschiedliche Temperaturgradienten. Zum Beispiel kann ein leitfähiges Material in verschiedenen Regionen eine unterschiedliche Aktivierungsenergie aufweisen, was zu unterschiedlicher Elektromigration führt. In dieser Hinsicht hat Aluminium niedrige Aktivierungsenergien entlang der Korngrenzen, und die Elektromigration in Aluminium erfolgt vorwiegend über Korngrenzen hinweg. Kupfer hat niedrige Aktivierungsenergien auf Oberflächen, und Elektromigration in Kupfer findet hauptsächlich auf oder entlang von Oberflächen statt. In Bezug auf ein leitfähiges Merkmal kann die Migration von Metallatomen entlang verschiedener Diffusionspfade zu einer Verarmung oder Ansammlung von Metall führen. Verarmung manifestiert sich in Form von Hohlräumen oder Leerstellen, und Ansammlung manifestiert sich in Form sogenannter „Whiskers“ oder „Hillocks“. Das Wachstum von Hohlräumen kann das Einsetzen einer positiven Rückkopplungsschleife verursachen, die die Bildung von Defekten beschleunigt. In der positiven Rückkopplungsschleife verursachen Hohlräume eine Stromüberfüllung und erhöhen die lokale Stromdichte in der Nähe der Hohlräume; die lokale Stromdichteerhöhung führt zu einer Joule-Erwärmung; die Joule-Erwärmung bewirkt eine Reduzierung der Aktivierungsenergien; und die verringerten Aktivierungsenergien wiederum beschleunigen die Materialdiffusion.
  • Leitfähige Merkmale aus Kupfer können zum Beispiel unter Verwendung von Damaszen- oder Dual-Damaszen-Verfahren hergestellt werden. In einem beispielhaften Damaszen-Prozess wird eine Öffnung (eine Durchkontaktierungsöffnung oder ein Graben) in einer dielektrischen Schicht mit Hilfe von Lithographie- und Ätzprozessen gebildet. Anschließend wird Kupfer in der Öffnung abgeschieden, und ein Planarisierungsprozess wird durchgeführt, um überschüssiges Kupfer zu entfernen, so dass in der Öffnung ein kupfernes leitfähiges Merkmal zurückbleibt. In einem beispielhaften Dual-Damaszen-Prozess wird ein Graben in einer dielektrischen Schicht gebildet, und eine Durchkontaktierungsöffnung wird in einer anderen dielektrischen Schicht unterhalb der dielektrischen Schicht gebildet, und sowohl der Graben als auch die Durchkontaktierungsöffnung werden mit Kupfer gefüllt. Wenn man Kupfer mit Silizium oder Siliziumoxid in Kontakt kommen lässt, so hat dies negative Auswirkungen. Zum Beispiel kann Kupfer in Silizium hineindiffundieren, wodurch die Halbleitereigenschaften von Silizium verschlechtert werden und Kupfersilicid, das eine schlechtere Leitfähigkeit besitzt, entstehen kann. Sauerstoff in Siliziumoxid und Kupfer in der leitfähigen Kupferschicht können interdiffundieren und die Leitfähigkeit der leitfähigen Kupferschicht verschlechtern. Um den Kontakt von Kupfer mit Silizium und Siliziumoxid zu verhindern, können Sperrschichten oder Verkleidungen implementiert werden, um das leitfähige Kupfermerkmal zu verkapseln. Weil, wie oben beschrieben, die Aktivierungsenergien für Kupfer an Oberflächen am niedrigsten sind, können, wenn eine Sperrschicht oder Verkleidung nicht gut auf Kupfer haftet, Oberflächen erzeugt werden, um einen beschleunigten Prozess der Elektromigration zu initiieren, was sich auf die Leistung und Lebensdauer der Vorrichtung auswirkt. Nimmt man zum Beispiel ein kupfernes leitfähiges Merkmal in einer dielektrischen Schicht, so können sich die Oberflächen auf dem kupfernen leitfähigen Merkmal vergrößern, wenn eine Abdeck-Sperrschicht über dem kupfernen leitfähigen Merkmal gebildet wird und die dielektrische Schicht nicht gut auf dem kupfernen leitfähigen Merkmal und der dielektrischen Schicht haftet. Hohlräume (Voids) und Hillocks können um solche Oberflächen herum schneller wachsen, und die positive Rückkopplungsschleife beschleunigt die Bildung von Hohlräumen und Hillocks, was zu Durchkontaktierungsbonddefekten (Via Bond Defects, VBDs), zeitabhängigen dielektrischen Durchschlägen (Time-Dependent Dielectric Breakdown, TDDB) und einer resistiv-konduktiven (resistive-conductive, RC) Verzögerung führt. VBDs beziehen sich hier auf Defekte an der Grenzfläche zwischen einer Kontakt-Durchkontaktierung und einem Kontaktmerkmal. TDDB bezieht sich auf die Degradation von Dielektrika aufgrund von Kupferdiffusion. RC-Verzögerung bezieht sich auf eine Erhöhung des Widerstandes und/oder der Leitfähigkeit aufgrund der Bildung von Hohlräumen oder Hillocks.
  • Im Zuge der Entwicklung der Technologie der integrierten Schaltkreise (ICs) in Richtung kleinerer Technologieknoten wurden beispielsweise Multi-Gate-Vorrichtungen eingeführt, um die Gate-Steuerung zu verbessern, indem die Gate-Kanal-Kopplung erhöht, der Strom im Aus-Zustand reduziert und die Kurzkanaleffekte (Short-Channel Effects, SCEs) verringert wurden. Eine Multi-Gate-Vorrichtung bezieht sich allgemein auf eine Vorrichtung, die eine Gate-Struktur aufweist, oder einen Abschnitt davon, die über mehr als einer Seite einer Kanalregion angeordnet ist. Finnen-artige Feldeffekttransistoren (FinFETs) und Multi-Bridge-Channel-Transistoren (MBC-Transistoren) sind Beispiele für Multi-Gate-Vorrichtungen, die zu populären und vielversprechenden Kandidaten für Anwendungen mit hoher Leistung und geringem Leckstrom geworden sind. Ein FinFET hat einen erhöhten Kanal, der auf mehr als einer Seite von einem Gate umhüllt ist (das Gate umhüllt zum Beispiel die Oberseite und die Seitenwände einer „Finne“ aus Halbleitermaterial, die sich von einem Substrat aus erstreckt). Ein MBC-Transistor hat eine Gate-Struktur, die sich - teilweise oder vollständig - um eine Kanalregion herum erstrecken kann, um Zugang zu der Kanalregion auf zwei oder mehr Seiten zu ermöglichen. Da seine Gate-Struktur die Kanalregionen umgibt, kann ein MBC-Transistor auch als „Surrounding-Gate-Transistor“ (SGT) oder „Gate-All-Around-Transistor“ (GAA) bezeichnet werden. Die Kanalregion eines MBC-Transistors kann aus Nanodrähten, Nanolagen oder anderen Nanostrukturen gebildet werden, und aus diesem Grund kann ein MBC-Transistor auch als Nanodraht- oder Nanolagen-Transistor bezeichnet werden. Durch die Einführung von Multi-Gate-Vorrichtungen werden die Packungsdichten von Source/Drain-Kontakten, Gate-Kontakten und damit verbundenen Interconnect-Elementen weiter erhöht. Solche erhöhten Packungsdichten erfordern kleinere Interconnect-Merkmale, und kleinere Interconnect-Merkmale führen zu einer erhöhten Stromdichte. Weil die erhöhte Stromdichte die Rate der Elektromigration erhöht, wird es immer wichtiger, Prozesse und Vorrichtungsstrukturen zu implementieren, um Elektromigration zu verhindern.
  • Die vorliegende Offenbarung stellt eine Struktur und ein Verfahren zur Verbesserung der Adhäsion zwischen einer Abdeck-Sperrschicht und einer amorphen dielektrischen Schicht, die ein leitfähiges Merkmal umgibt, bereit. Prozesse gemäß der vorliegenden Offenbarung scheiden selektiv eine Adhäsionsschicht auf der dielektrischen Schicht ab und können einen Temperungsprozess zur Erhöhung der Kristallinität der Adhäsionsschicht umfassen. Aufgrund ähnlicher Zusammensetzungen und/oder einer kleineren Gitterfehlanpassung zwischen der Adhäsionsschicht und der Abdeck-Sperrschicht wird die Adhäsion zwischen der Abdeck-Sperrschicht und der dielektrischen Schicht verbessert. Durch die Verbesserung der Adhäsion zwischen der Abdeck-Sperrschicht und der dielektrischen Schicht wird die Adhäsion zwischen der Abdeck-Sperrschicht und dem leitfähigen Merkmal verbessert, und VBDs, TDDB und RC-Verzögerung aufgrund von Elektromigration können reduziert werden.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun unter Bezug auf die Figuren ausführlicher beschrieben. 1 veranschaulicht ein Flussdiagramm, das ein Verfahren 100 zur Bildung einer Interconnect-Struktur oder eines Abschnitts davon aus einem Werkstück gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung veranschaulicht. Das Verfahren 100 ist lediglich ein Beispiel und soll die vorliegende Offenbarung nicht auf das beschränken, was ausdrücklich in dem Verfahren 100 veranschaulicht ist. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 ausgeführt werden, und einige beschriebene Schritte können ersetzt, weggelassen oder verschoben werden, um zusätzliche Ausführungsformen des Verfahrens zu erhalten. Der Einfachheit halber werden hier nicht alle Schritte im Detail beschrieben. Das Verfahren 100 wird im Folgenden in Verbindung mit fragmentarischen Querschnittsansichten eines Werkstücks 200 (in den 2A, 2B, 3,4A-7A und 4B-7B gezeigt) auf verschiedenen Fertigungsstufen gemäß Ausführungsformen des Verfahrens 100 beschrieben. Zur Vermeidung von Zweifelsfällen verläuft in allen Figuren die X-Richtung senkrecht zur Y-Richtung, und die Z-Richtung verläuft senkrecht sowohl zur X-Richtung als auch zur Y-Richtung. Es ist anzumerken, dass, weil das Werkstück 200 zu einer Halbleitervorrichtung verarbeitet werden kann, das Werkstück 200 als die Halbleitervorrichtung 200 bezeichnet werden kann, so wie der Kontext es verlangt.
  • Wie in den 1, 2A und 2B gezeigt, enthält das Verfahren 100 einen Block 102, in dem ein Werkstück 200 empfangen wird. Das Werkstück 200 enthält ein Vorrichtungssubstrat 10, eine dielektrische Schicht 202 über dem Vorrichtungssubstrat 10, und ein leitfähiges Merkmal 204, das in der dielektrischen Schicht 202 angeordnet ist. Obgleich nicht explizit gezeigt, kann das Vorrichtungssubstrat 10 ein Halbleitersubstrat, auf dem Halbleitersubstrat hergestellte Front-End-of-Line-Strukturen (FEOL-Strukturen) und mit den FEOL-Strukturen gekoppelte Middle-End-of-Line-Strukturen (MEOL-Strukturen) enthalten. Das Halbleitersubstrat kann ein Silizium-Substrat (Si-Substrat) sein. In einigen anderen Ausführungsformen kann das Halbleitersubstrat andere Halbleiter wie zum Beispiel Germanium (Ge), Silizium-Germanium (SiGe) oder ein III-V-Halbleitermaterial enthalten. Zu Beispielen für III-V-Halbleitermaterialien können gehören: Galliumarsenid (GaAs), Indiumphosphid (InP), Galliumphosphid (GaP), Galliumnitrid (GaN), Galliumarsenidphosphid (GaAsP), Aluminium-Indium-Arsenid (AlInAs), Aluminium-Gallium-Arsenid (AlGaAs), Gallium-Indium-Phosphid (GaInP) und Indium-Gallium-Arsenid (InGaAs). Das Halbleitersubstrat kann außerdem eine isolierende Schicht, wie zum Beispiel eine Siliziumoxidschicht, enthalten, um eine Silizium-auf-Isolator-Struktur (SOI-Struktur) zu erhalten.
  • Der Prozessfluss bei der IC-Fertigung ist in der Regel in drei Ebenen unterteilt: Front-End-of-Line (FEOL), Middle-End-of-Line (MEOL) und Back-End-of-Line (BEOL). Die FEOL-Ebene umfasst allgemein Prozesse im Zusammenhang mit der Herstellung von IC-Vorrichtungen, wie zum Beispiel Transistoren. Zum Beispiel können FEOL-Prozesse die Bildung von aktiven Regionen, Isolationsmerkmalen, Gate-Strukturen und Source/Drain-Merkmalen umfassen. Die MEOL-Ebene umfasst allgemein Prozesse im Zusammenhang mit der Herstellung von Kontakten zu leitfähigen Merkmalen (oder leitfähigen Regionen) der IC-Vorrichtungen, wie zum Beispiel Kontakte zu den Gate-Strukturen und/oder den Source/Drain-Merkmalen. Die BEOL-Ebene umfasst allgemein Prozesse im Zusammenhang mit der Herstellung einer Interconnect-Struktur (auch als Multilayer-Interconnect-Struktur (MLI-Struktur) bekannt), die IC-Merkmale miteinander verbindet, die in FEOL- und MEOL-Prozessen hergestellt wurden. FEOL-Strukturen können hier sowohl Transistoren als auch Dioden und andere passive Vorrichtungen aufweisen, die zusammen mit den Transistoren hergestellt werden. Transistoren können Multi-Gate-Transistoren enthalten. MEOL-Strukturen können Source/Drain-Kontakte aufweisen, die mit Source/Drain-Merkmalen von FEOL-Strukturen gekoppelt sind, oder Gate-Kontakte, die mit Gate-Strukturen von FEOL-Strukturen gekoppelt sind. Die in 2A oder 2B gezeigte Interconnect-Struktur 20 wird auf der BEOL-Ebene hergestellt und ist eine BEOL-Struktur.
  • In einem beispielhaften Prozess zur Bildung des Vorrichtungssubstrats 10 wird das Halbleitersubstrat empfangen. In Fällen, in denen die FEOL-Strukturen MBC-Transistoren aufweisen, wird ein Stapel aus ersten Halbleiterschichten und zweiten Halbleiterschichten epitaxial auf dem Halbleitersubstrat abgeschieden. Die ersten Halbleiterschichten und die zweiten Halbleiterschichten können unterschiedliche Zusammensetzungen aufweisen. Zum Beispiel können die ersten Halbleiterschichten Silizium enthalten, und die zweiten Halbleiterschichten können Silizium-Germanium enthalten. Die ersten Halbleiterschichten sind mit den zweiten Halbleiterschichten verschachtelt. Eine finnenförmige Struktur wird aus dem Halbleitersubstrat oder sowohl aus dem Halbleitersubstrat als auch aus dem Stapel strukturiert. In Fällen, in denen die FEOL-Strukturen FinFETs aufweisen, werden die Finnenstrukturen aus einem Halbleitersubstrat strukturiert. In einigen Ausführungsformen kann ein Gate-Last- oder Gate-Ersatz-Prozess angewendet werden, und ein Dummy-Gate-Stapel wird über einer Kanalregion der finnenförmigen Struktur gebildet. Der Dummy-Gate-Stapel kann eine dielektrische Dummy-Schicht, die aus Siliziumoxid gebildet ist, und eine Elektroden-Dummy-Schicht, die aus Polysilizium gebildet ist, aufweisen. Nach der Bildung des Dummy-Gate-Stapels werden eine oder mehrere Gate-Abstandshalterschichten über dem Dummy-Gate-Stapel abgeschieden. Während der Dummy-Gate-Stapel die Kanalregion maskiert, werden die Source/Drain-Regionen der finnenförmigen Struktur ausgespart. Wenn die FEOL-Strukturen FinFETs aufweisen, so werden Source/Drain-Merkmale epitaxial über den ausgesparten Source/Drain-Regionen gebildet. Wenn die FEOL-Strukturen MBC-Transistoren aufweisen, so werden die zweiten Halbleiterschichten selektiv und teilweise ausgespart, um innere Abstandshalter-Aussparungen zu bilden, und dielektrische innere Abstandshalter-Merkmale werden in den inneren Abstandshalter-Aussparungen ausgebildet. Nach der Bildung der inneren Abstandshalter-Merkmale werden Source/Drain-Merkmale epitaxial aus dem Halbleitersubstrat und an Seitenwänden der ersten Halbleiterschichten gebildet. In Abhängigkeit vom Leitfähigkeitstyp der FEOL-Struktur können die Source/Drain-Merkmale mit einem n-Dotanden, wie zum Beispiel Phosphor, siliziumdotiert werden oder können mit einem p-Dotanden, wie zum Beispiel Bor, Silizium-Germanium-dotiert werden.
  • Nach der Bildung der Source/Drain-Merkmale werden eine Kontaktätzstoppschicht (Contact Etch Stop Layer, CESL) und eine Zwischenschichtdielektrikumschicht (ILD-Schicht) nacheinander über den Source/Drain-Merkmalen abgeschieden. Nach dem Abscheiden der CESL- und der ILD-Schicht wird ein Planarisierungsprozess durchgeführt, um den Dummy-Gate-Stapel freizulegen. Wenn die FEOL-Strukturen FinFETs aufweisen, so wird der Dummy-Gatestapel durch eine funktionale Gate-Struktur ersetzt, die eine Grenzflächenschicht, eine Gate-Dielektrikumschicht über der Grenzflächenschicht, eine oder mehrere Austrittsarbeitsschichten, und eine Metallfüllschicht aufweist. Wenn die FEOL-Strukturen MBC-Transistoren aufweisen, so wird der Dummy-Gatestapel selektiv entfernt, um die ersten Halbleiterschichten und die zweiten Halbleiterschichten in der Kanalregion freizulegen. Die freigelegten zweiten Halbleiterschichten werden selektiv entfernt, um die ersten Halbleiterschichten als Kanalelemente freizulegen. Die Kanalelemente sind vertikal gestapelt und erstrecken sich zwischen den Source/Drain-Merkmalen. Nach der Bildung der Gate-Struktur werden weitere Ätzstoppschichten (ESL) und ILD-Schichten über der Gate-Struktur und den Source/Drain-Merkmalen abgeschieden. Anschließend werden MEOL-Strukturen, wie zum Beispiel Gate-Kontakte und Source/Drain-Kontakte, gebildet, die sich durch die ESL- und ILD-Schichten erstrecken.
  • Wie in den 2A und 2B gezeigt, kann das leitfähige Merkmal 204 in der dielektrischen Schicht 202 ein leitfähiges Merkmal der Interconnect-Struktur 20 darstellen. Die Interconnect-Struktur 20 umfasst die Schicht des leitfähigen Merkmals 204 sowie zusätzliche leitfähige Schichten über oder unter dem leitfähigen Merkmal 204. Die Interconnect-Struktur 20 verbindet verschiedene Vorrichtungen in den FEOL-Strukturen über die MEOL-Strukturen miteinander und koppelt FEOL-Strukturen an externe Schaltungen. In einigen Ausführungsformen kann die dielektrische Schicht 202 zur Reduzierung der parasitären Kapazität aus einem dielektrischen Material mit niedrigem k-Wert (Low-k, LK) oder einem dielektrischen Material mit extrem niedrigem k-Wert (Extrem-Low-k, ELK) gebildet werden. Ein dielektrisches Material mit niedrigem k-Wert (Low-k, LK) meint hier ein dielektrisches Material mit einer Dielektrizitätskonstante, die maximal so groß ist wie die von Siliziumoxid, die etwa 3,9 beträgt. Ein dielektrisches Material mit extrem niedrigem k-Wert (Extrem-Low-k, ELK) meint ein dielektrisches Material mit einer Dielektrizitätskonstante, die kleiner als die des dielektrischen Materials mit niedrigem k-Wert ist. In einigen Ausführungsformen kann die dielektrische Schicht 202 Materialien wie zum Beispiel Tetraethylorthosilikat-Oxid (TEOS-Oxid), undotiertes Silikatglas, dotiertes Siliziumoxid wie zum Beispiel Borphosphorsilikatglas (BPSG), Quarzglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten. Die dielektrische Schicht 202 kann mittels Aufschleuderbeschichtung oder chemischer Aufdampfung (CVD) abgeschieden werden. Aufgrund ihrer Zusammensetzung und Herstellungsprozesse ist die dielektrische Schicht 202 amorph und weist weder lange noch kurze Kristallinität auf. In einigen Ausführungsformen kann das leitfähige Merkmal 204 Kupfer (Cu) aufweisen und kann mittels physikalischer Aufdampfung (PVD), chemischer Plattierung, Galvanisierung, metallorganischer CVD (MOCVD) oder anderer geeigneter Verfahren abgeschieden werden. In einigen anderen Ausführungsformen enthält das leitfähige Merkmal 204 Kupfer (Cu) und Mangan (Mn). In diesen Ausführungen kann das Mangan in dem leitfähigen Merkmal 204 zur Oberseite des leitfähigen Merkmals 204 diffundieren und eine manganreiche Region bilden, um Elektromigration zu reduzieren. In einer Ausführungsform ist das leitfähige Merkmal 204 über einem Source/Drain-Merkmal eines Transistors in dem Vorrichtungssubstrat 10 angeordnet.
  • In einigen Ausführungen, die in den 2A und 2B dargestellt sind, weist das Werkstück 200 außerdem eine Sperrschicht 206 auf, die zwischen der dielektrischen Schicht 202 und dem leitfähigen Merkmal 204 angeordnet ist. Weil die Sperrschicht 206 die Oberfläche der Öffnung für das leitfähige Merkmal 204 verkleidet, kann die Sperrschicht 206 auch als eine Verkleidung 206 bezeichnet werden. In einigen in 2A dargestellten Ausführungsformen ist die Sperrschicht 206 leitfähig und kann Titan, Titannitrid, Tantal, Tantalnitrid, Molybdän, Ruthenium, Nickelnitrid, Wolframnitrid, Kupfernitrid, Mangannitrid oder Cobaltnitrid aufweisen. In diesen Ausführungen kann die Sperrschicht 206 an der Unterseite vorhanden sein und erhöht den Kontaktwiderstand nur mäßig. In einigen alternativen Ausführungsformen, die in 2B dargestellt sind, wird die Sperrschicht 206 anisotrop ausgespart, um die Sperrschicht 206 an der Unterseite zu entfernen und den Kontaktwiderstand zu verringern. In weiteren Ausführungen kann die Sperrschicht 206 eine Mehrfachschicht sein, die eine äußere Metallnitridschicht als Grenzfläche zur dielektrischen Schicht 202 und eine innere Metallschicht als Grenzfläche zu dem leitfähigen Merkmal 204 aufweist. Weil das leitfähige Merkmal 204 möglicherweise besser an der inneren Metallschicht als an der äußeren Metallnitridschicht haftet und die dielektrische Schicht 202 möglicherweise besser an der äußeren Metallnitridschicht als an der inneren Metallschicht haftet, kann die mehrschichtige Anordnung der Sperrschicht 206 die Adhäsion zwischen dem leitfähigen Merkmal 204 und der dielektrischen Schicht 202 verbessern. Beispielhafte äußere Metallnitridschichten können aus Titannitrid oder Tantalnitrid gebildet werden, und beispielhafte innere Metallschichten können aus Titan oder Tantal gebildet werden. Weil bei diesen Ausführungen die äußeren Metallnitridschichten und die inneren Metallschichten elektrisch leitfähig sind, kann des Weiteren die mehrschichtige Sperrschicht 206 einen ähnlichen Aufbau wie in 2A haben. In einigen Implementierungen, bei denen ein niedriger Widerstand erwünscht ist, kann die abgeschiedene mehrschichtige Sperrschicht 206 anisotrop ausgespart werden, um die mehrschichtige Sperrschicht 206 an den Unterseiten zu entfernen, dergestalt, dass das leitfähige Merkmal 204 direkt in Kontakt mit MEOL-Strukturen in dem Vorrichtungssubstrat 10 oder einem darunter liegenden leitfähigen Merkmal stehen kann, wie in 2B gezeigt.
  • Die Sperrschicht 206 kann durch Atomschichtabscheidung (ALD), CVD, physikalische Aufdampfung (PVD) oder andere geeignete Verfahren abgeschieden werden. Die Sperrschicht 206 dient nicht nur dazu, die Diffusion von Sauerstoff in der dielektrischen Schicht 202 in das leitfähige Merkmal 204 zu verhindern, sondern auch dazu, die Diffusion von Metallatomen aus dem leitfähigen Merkmal 204 in die dielektrische Schicht 202 zu verhindern. Um das in 2A oder 2B gezeigte Werkstück 200 zu bilden, wird das Werkstück 200 planarisiert, um überschüssiges Sperrschichtmaterial und Material von leitfähigen Merkmalen auf der dielektrischen Schicht 202 zu entfernen. In einigen Ausführungen kann die Planarisierung mit Hilfe eines chemisch-mechanischen Polierprozesses (CMP) durchgeführt werden. Es ist anzumerken, dass das Vorrichtungssubstrat 10 in den 2A und 2B nur in Strichlinien gezeigt ist und in den 3, 4A-7A und 4B-7B der Einfachheit halber weggelassen wurde.
  • Wie in den 1 und 3 zu sehen, kann das Verfahren 100 optional einen Block 104 aufweisen, wo eine leitfähige Abdeckschicht 208 selektiv über dem leitfähigen Merkmal 204 abgeschieden wird. Die leitfähige Abdeckschicht 208 kann auch als eine Metallabdeckung 208 oder als eine leitfähige Abdeckschicht 208 bezeichnet werden und wird aus einem Metall gebildet, das sich von dem Metall unterscheidet, das das leitfähige Merkmal 204 bildet. In Ausführungsformen, bei denen das leitfähige Merkmal 204 aus Kupfer gebildet wird, kann die leitfähige Abdeckschicht 208 Titan, Tantal, Molybdän, Nickel, Cobalt, Ruthenium oder andere hochschmelzende Metalle enthalten. In der gezeigten Ausführungsform enthält die leitfähige Abdeckschicht 208 Cobalt. In einigen Implementierungen wird die leitfähige Abdeckschicht 208 selektiv auf dem leitfähigen Merkmal 204 unter Verwendung metallorganischer Vorläufer abgeschieden, die jeweils ein Metallion und koordinierende Liganden aufweisen. Ein beispielhafter metallorganischer Cobaltvorläufer kann Cyclopentadienylcobalt-Dicarbonyl ((C5H5)Co(CO)2 sein. Wie in 3 gezeigt, wird die leitfähige Abdeckschicht 208 aufgrund der Selektivität des Bildens nur auf dem leitfähigen Merkmal 204 abgeschieden und fehlt auf den Oberflächen der dielektrischen Schicht 202. Die leitfähige Abdeckschicht 208 dient nicht nur als eine Diffusionssperre, sondern kann auch Schäden reparieren, die während des Planarisierungsprozesses an dem leitfähigen Merkmal 204 entstanden sind. Der Einfachheit halber können die leitfähige Abdeckschicht 208, das leitfähige Merkmal und die Sperrschicht 206 zusammen als ein Kontaktmerkmal 205 bezeichnet werden.
  • Wie in den 1,4A und 4B zu sehen, umfasst das Verfahren 100 einen Block 106, wo eine erste Adhäsionsschicht 210 über der dielektrischen Schicht 202 abgeschieden wird. In einigen in 4A veranschaulichten Ausführungsformen enthält die erste Adhäsionsschicht 210 Siliziumnitrid und kann durch Behandeln des Werkstücks 200 mit einem stickstoffhaltigen Plasma, wie zum Beispiel einem Ammoniakplasma oder einem Stickstoffplasma, gebildet werden. In diesen Ausführungsformen kann das stickstoffhaltige Plasma ein induktiv gekoppeltes Plasma (ICP) oder ein transformatorgekoppeltes Plasma (TCP) mit einem Energieniveau zwischen etwa 400 Watt (W) und etwa 600 W sein. Das stickstoffhaltige Plasma lässt man mit der Oberfläche des Werkstücks 200 bei einer Prozesstemperatur zwischen etwa 320°C und etwa 500°C reagieren. In den in 4A dargestellten Fällen kann das stickstoffhaltige Plasma aufgrund des Vorhandenseins von Hydroxylgruppen selektiv mit den oberen Oberflächen der dielektrischen Schicht 202 reagieren oder an diesen anhaften, während die Oberflächen der Sperrschicht 206, des leitfähigen Merkmals 204 oder der leitfähigen Abdeckschicht 208 (falls gebildet) aufgrund des Fehlens von Hydroxylgruppen bei dem oben erwähnten Energieniveau und der oben erwähnten Prozesstemperatur gegenüber dem stickstoffhaltigen Plasma vergleichsweise inert sind. Bei einigen Implementierungen kann das stickstoffhaltige Plasma in-situ in der Prozesskammer, in der das Werkstück 200 platziert wird, oder ex-situ in einer räumlich abgesetzten Plasmaquelle erzeugt und der Prozesskammer zugeführt werden. In diesen in 4A dargestellten Ausführungsformen kann die erste Adhäsionsschicht 210 eine Dicke zwischen etwa 0,15 nm und etwa 1 nm haben. Weil Hydroxylgruppen auf den Oberflächen der Sperrschicht 206, des leitfähigen Merkmals 204 oder der optionalen leitfähigen Abdeckschicht 208 (falls gebildet) fehlen, wird die erste Adhäsionsschicht 210 selektiv auf den oberen Oberflächen der dielektrischen Schicht 202 gebildet. Das heißt, die erste Adhäsionsschicht 210 fehlt auf den Oberflächen der Sperrschicht 206, des leitfähigen Merkmals 204 und der optionalen leitfähigen Abdeckschicht 208.
  • In einigen alternativen Ausführungsformen, die in 4B veranschaulicht sind, kann die erste Adhäsionsschicht 210 durch Atomschichtabscheidung (ALD) gebildet werden und Siliziumnitrid, Siliziumoxid, Siliziumcarbid, Silizium, Titanoxid, Chromoxid oder Aluminiumoxid enthalten. In diesen in 4B gezeigten alternativen Ausführungsformen ist die Abscheidung der ersten Adhäsionsschicht 210 nicht selektiv, sondern erfolgt konform über den Oberseiten der dielektrischen Schicht 202, der Sperrschicht 206, des leitfähigen Merkmals 204 und der optionalen leitfähigen Abdeckschicht 208 (falls gebildet). Die ALD-Abscheidung der ersten Adhäsionsschicht 210 kann bei einer Temperatur zwischen etwa 150°C und etwa 350°C und unter einem Prozessdruck zwischen etwa 2500 mTorr und etwa 3500 mTorr durchgeführt werden, wobei 1 Torr 133 Pa entspricht. In diesen alternativen Ausführungsformen kann die erste Adhäsionsschicht 210 eine Dicke zwischen etwa 0,15 nm und etwa 5 nm haben.
  • Wie in den 1,5A und 5B zu sehen, umfasst das Verfahren 100 einen Block 108, wo das Werkstück 200 in einem Temperungsprozess 300 getempert wird, um die erste Adhäsionsschicht 210 mindestens teilweise zu kristallisieren und dadurch eine zweite Adhäsionsschicht 2100 zu bilden. Weil die dielektrische Schicht 202 amorph ist, fehlen der ersten Adhäsionsschicht 210, die im Block 106 gebildet wird, ebenfalls lange oder kurze Ordnungen, und sie ist ebenfalls amorph. Wie oben beschrieben, kann die erste Adhäsionsschicht 210 Siliziumnitrid in den in 4A gezeigten Ausführungsformen oder Siliziumnitrid, Siliziumoxid, Siliziumcarbid, Silizium, Titanoxid, Chromoxid oder Aluminiumoxid in den in Fig. gezeigten Ausführungsformen enthalten. Bei Block 108 dient der Temperungsprozess 300 dazu, den Grad der strukturellen Ordnung (das heißt die Kristallinität) der ersten Adhäsionsschicht 210 auf etwa 40 % bis etwa 70 % zu erhöhen. Bei einigen Implementierungen kann der Temperungsprozess 300 ein schneller thermischer Temperungsprozess (Rapid Thermal Anneal, RTA), ein Laserspitzentemperungsprozess, ein Flash-Temperungsprozess oder ein Ofentemperungsprozess sein und kann eine Temperungstemperatur zwischen etwa 800°C und etwa 1000°C aufweisen. Der Temperungsprozess 300 kristallisiert und erzeugt teilweise kurze Ordnungen in der ersten Adhäsionsschicht 210 in 4A oder 4B. Wenn die erste Adhäsionsschicht 210 selektiv auf der dielektrischen Schicht 202 gebildet wird, wie in Fig. gezeigt, so kann der Temperungsprozess 300 amorphes Siliziumnitrid in der ersten Adhäsionsschicht 210 in lokalisierte Beta-Siliziumnitrid-Regionen (β-Si3N4-Regionen) in der zweiten Adhäsionsschicht 2100 umwandeln, wie in 5B gezeigt. Siliziumnitrid kann in den Formen von alpha-Siliziumnitrid (α-Si3N4) und beta-Siliziumnitrid (β-Si3N4), die beide eine hexagonale Struktur haben, stabil sein. Weil beta-Siliziumnitrid bei hohen Temperaturen während des Temperungsprozesses 300 stabiler ist als das alpha-Siliziumnitrid, dominiert beta-Siliziumnitrid die zweite Adhäsionsschicht 2100. Weil die zweite Adhäsionsschicht 2100 lokalisiertes hexagonales beta-Siliziumnitrid enthält, enthält die zweite Adhäsionsschicht 2100 kurze hexagonale Strukturen. Der Grad der Kristallinität kann mittels Röntgenbeugung oder dynamischer Differenzkalorimetrie (Differential Scanning Calorimetry, DSC) gemessen werden.
  • In ähnlicher Weise kann, wenn die erste Adhäsionsschicht 210 mittels ALD in den in Fig. gezeigten alternativen Ausführungsformen abgeschieden wird, der Temperungsprozess 300 die erste Adhäsionsschicht 210 teilweise kristallisieren und kurze Ordnungen erzeugen. Wenn zum Beispiel die erste Adhäsionsschicht 210 aus Siliziumoxid gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Siliziumoxid in Regionen lokalisierten hexagonalen Siliziumoxids umwandeln. Wenn die erste Adhäsionsschicht 210 aus Siliziumcarbid gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Siliziumcarbid in Regionen lokalisierten hexagonalen Siliziumcarbids umwandeln. Wenn die erste Adhäsionsschicht 210 aus Silizium gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Silizium in Regionen lokalisierten diamantkubischen Siliziums umwandeln. Wenn die erste Adhäsionsschicht 210 aus Titanoxid gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Titanoxid in Regionen lokalisierten tetragonalen Titanoxids umwandeln. Wenn die erste Adhäsionsschicht 210 aus Chromoxid gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Chromoxid in lokalisiertes hexagonales Chromoxid umwandeln. Wenn die erste Adhäsionsschicht 210 aus Aluminiumoxid gebildet wird, so kann der Temperungsprozess 300 weniger-kristallines Aluminiumoxid in lokalisiertes hexagonales Aluminiumoxid umwandeln. Wie in 5B gezeigt, erhöht der Temperungsprozess 300 den Grad der strukturellen Ordnung (das heißt die Kristallinität) der ersten Adhäsionsschicht 210, um die zweite Adhäsionsschicht 2100 zu bilden, die eine Kristallinität zwischen etwa 40 % und etwa 70 % aufweist. Dieser Kristallinitätsbereich ist nicht unbedeutend. Einerseits wird beobachtet, dass bei einer Kristallinität von weniger als 40 % die Verbesserung der Adhäsion aufgrund reduzierter Gitterfehlanpassung mit größerer Wahrscheinlichkeit unbefriedigend ist. Andererseits verbessert ein hoher Kristallinitätsgrad zwar die Adhäsion, doch kann eine Erhöhung der Kristallinität auf über 70 % eine zu lange Temperungszeit oder eine zu hohe Temperungstemperatur erfordern, was die Herstellungskosten erhöhen und/oder Defekte verursachen kann. Zu Beispielen solcher Defekte können Schäden an leitfähigen Merkmalen und Metallgitterstrukturen gehören.
  • Wie in den 1,6A und 6B zu sehen, umfasst das Verfahren 100 einen Block 110, wo eine dielektrische Abdeckschicht 212 über der leitfähigen Abdeckschicht 208 und der zweiten Adhäsionsschicht 2100 abgeschieden wird. In einigen Ausführungsformen enthält die dielektrische Abdeckschicht 212 Aluminiumnitrid und kann mittels Atomschichtabscheidung (ALD) oder plasmaverstärkter ALD (PEALD) abgeschieden werden. Ein beispielhafter ALD-Prozess umfasst die Verwendung eines aluminiumhaltigen Vorläufers, wie zum Beispiel Trimethylaluminium (TMA), und eines stickstoffhaltigen Vorläufers, wie zum Beispiel Ammoniak (NH3). In dem beispielhaften ALD-Prozess wird das Werkstück 200 auf eine Temperatur zwischen etwa 300°C und etwa 400°C erwärmt, und der aluminiumhaltige Vorläufer und der stickstoffhaltige Vorläufer werden abwechselnd dem Werkstück 200 zugeführt. Ein inertes Spülgas, wie zum Beispiel Argon (Ar), Helium (He) oder Stickstoff (N2), kann zum Spülen der Reaktionskammer verwendet werden, nachdem man einen der Vorläufer mit dem Werkstück 200 in Kontakt kommen ließ. In einigen Ausführungsformen kann ein Inertgasplasma durch einen Plasmagenerator erzeugt werden, um den ALD-Prozess zu verbessern oder zu beschleunigen. In diesen Ausführungsformen kann der Prozess als ein plasmaverstärkter Atomschichtabscheidungsprozess (Plasma Enhanced Atomic Layer Deposition, PEALD) bezeichnet werden. In einigen Fällen kann die dielektrische Abdeckschicht 212 auf eine Dicke zwischen etwa 1 nm und etwa 2 nm gebildet werden. Die dielektrische Abdeckschicht 212, die unter Verwendung von ALD oder PEALD gebildet wird, kann mindestens kurze hexagonale Wurtzit-Kristallstrukturen enthalten. In einigen alternativen Ausführungsformen kann die dielektrische Abdeckschicht 212 Bornitrid enthalten und kann mittels ALD oder PEALD abgeschieden werden. Bornitrid kann auch eine hexagonale oder Wurtzit-Kristallstruktur enthalten.
  • Wie in 6A gezeigt, ist, wenn die zweite Adhäsionsschicht selektiv über der dielektrischen Schicht 202 gebildet wird, die dielektrische Abdeckschicht 212 durch die zweite Adhäsionsschicht 2100 von der dielektrischen Schicht beabstandet, aber steht in direktem Kontakt mit der Sperrschicht 206 (wenn die leitfähige Abdeckschicht 208 nicht gebildet wird), dem leitfähigen Merkmal 204 (wenn die leitfähige Abdeckschicht 208 nicht gebildet wird), oder der leitfähigen Abdeckschicht 208 (wenn sie gebildet wird). Wie in 6B gezeigt, ist, wenn die zweite Adhäsionsschicht konform über dem Werkstück 200 gebildet wird, die dielektrische Abdeckschicht 212 durch die zweite Adhäsionsschicht 2100 von der Sperrschicht 206 beabstandet (wenn die leitfähige Abdeckschicht 208 nicht gebildet wird), dem leitfähigen Merkmal 204 beabstandet (wenn die leitfähige Abdeckschicht 208 nicht gebildet wird), oder der leitfähigen Abdeckschicht 208 beabstandet (wenn sie gebildet wird). Die dielektrische Abdeckschicht 212 kann auch als eine Abdeck-Sperrschicht 212 bezeichnet werden.
  • Gemäß der vorliegenden Offenbarung verbessert die zweite Adhäsionsschicht 2100 die Adhäsion zwischen der dielektrischen Abdeckschicht 212 und der dielektrischen Schicht 202. Versuchsergebnisse zeigen, dass die dielektrische Abdeckschicht 212 aufgrund der verringerten Gitterfehlanpassung zwischen der zweiten Adhäsionsschicht 2100 und der dielektrischen Abdeckschicht 212 besser an der zweiten Adhäsionsschicht 2100 haftet als die dielektrische Schicht 202. Es wird festgestellt, dass diese verbesserte Adhäsion die Elektromigration reduziert. In dieser Hinsicht reduzieren die lokalisierten kristallinen Regionen in der zweiten Adhäsionsschicht 2100 die Gitterfehlanpassung mit der dielektrischen Abdeckschicht 212. Wenn zum Beispiel die dielektrische Abdeckschicht 212 aus Aluminiumnitrid gebildet wird, so enthält sie hexagonales Wurtzit-Aluminiumnitrid, das eine Gitterkonstante (a) von 3,110 hat. Wenn die zweite Adhäsionsschicht 2100 aus Siliziumnitrid gebildet wird, so enthält sie hexagonales beta-Siliziumnitrid, das eine Gitterkonstante (a) von 7,608 hat. Während zwischen hexagonalem Wurtzit-Aluminiumnitrid und hexagonalem beta-Siliziumnitrid noch eine Gitterfehlanpassung von etwa 59 % (das heißt (7,608-3,110)/7,608) besteht, stellt es eine Verbesserung von etwa 41 % gegenüber einer 100 %-igen Gitterfehlanpassung zwischen kristallinem Aluminiumnitrid und der amorphen dielektrischen Schicht 202 dar. In ähnlicher Weise verbessern die erste Adhäsionsschicht 210 und die zweite Adhäsionsschicht 2100 die Adhäsion zwischen der dielektrischen Abdeckschicht 212 und der dielektrischen Schicht 202 in Ausführungsformen, bei denen die dielektrische Abdeckschicht 212 aus Bornitrid gebildet wird. Hexagonales Bornitrid hat eine Gitterkonstante (a) von etwa 2,504 und eine Gitterfehlanpassung von etwa 67 % (das heißt (7,608-2,504)/7,608) mit dem beta-Siliziumnitrid, was eine Verbesserung von etwa 33 % gegenüber einer 100 %-igen Gitterfehlanpassung zwischen kristallinem Bornitrid und der amorphen dielektrischen Schicht 202 darstellt.
  • Wenn die erste Adhäsionsschicht 210 in den alternativen Ausführungsformen Siliziumoxid, Siliziumcarbid, Silizium, Titanoxid, Chromoxid oder Aluminiumoxid enthält, so kann die zweite Adhäsionsschicht 2100 ebenfalls die Adhäsion an der dielektrischen Abdeckschicht 212 aufgrund einer verringerten Gitterfehlanpassung verbessern. In diesen alternativen Ausführungsformen kann die zweite Adhäsionsschicht 2100 hexagonales Siliziumoxid, hexagonales Siliziumcarbid, diamantkubisches Silizium, tetragonales Titanoxid, hexagonales Chromoxid oder hexagonales Aluminiumoxid enthalten. Hexagonales Siliziumoxid hat eine Gitterkonstante (a) von 4,991. Hexagonales Siliziumcarbid hat eine Gitterkonstante (a) von 3,073. Diamantkubisches Silizium hat eine Gitterkonstante (a) von 5,431. Tetragonales Titanoxid hat eine Gitterkonstante (a) von 4,58. Hexagonales Chromoxid hat eine Gitterkonstante (a) von 4,961. Sechseckiges Aluminiumoxid hat eine Gitterkonstante (a) von 4,785. Obgleich diese kristallinen Formen Gitterfehlanpassungen mit hexagonalem Wurtzit-Aluminiumnitrid (oder hexagonalem Bornitrid) aufweisen, sind diese Gitterfehlanpassungen kleiner als die 100 %-ige Gitterfehlanpassung zwischen kristallinem Aluminiumnitrid (oder kristallinem Bornitrid) und der amorphen dielektrischen Schicht 202.
  • Wie in den 1,7A und 7B gezeigt, enthält das Verfahren 100 einen Block 112, in dem weitere Prozesse durchgeführt werden. Zu solchen weiteren Prozessen kann die Abscheidung einer Zwischenmetall-Dielektrikumschicht (Intermetal Dielectric, IMD) 214 über dem Werkstück 200 gehören. In einigen Ausführungsformen können die IMD-Schicht 214 und die dielektrische Schicht 202 eine ähnliche Zusammensetzung enthalten und können mit ähnlichen Verfahren gebildet werden. In einigen Ausführungsformen kann die IMD-Schicht 214 Materialien wie zum Beispiel Tetraethylorthosilikat-Oxid (TEOS-Oxid), undotiertes Silikatglas, dotiertes Siliziumoxid wie zum Beispiel Borphosphorsilikatglas (BPSG), Quarzglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten. Die IMD-Schicht 214 kann mittels Aufschleuderbeschichtung oder chemischer Aufdampfung (CVD) abgeschieden werden. Obgleich nicht explizit gezeigt, können weitere Kontaktmerkmale in der IMD-Schicht 214 gebildet und mit dem leitfähigen Merkmal 204 gekoppelt werden. Zum Beispiel kann in den in 7A veranschaulichten Ausführungsformen eine Öffnung durch die IMD-Schicht 214 und die dielektrische Abdeckschicht 212 gebildet werden, um das leitfähige Merkmal 204 oder die leitfähige Abdeckschicht 208 (falls gebildet) freizulegen. Weil sich das Ätzen der Öffnung an der dielektrischen Abdeckschicht 212 verlangsamt, fungiert die dielektrische Abdeckschicht 212 hier als eine Ätzstoppschicht (Etch Stop Layer, ESL). In der Öffnung können eine Sperrschicht und eine Metallfüllschicht, ähnlich den oben beschriebenen, abgeschieden werden. Ein Planarisierungsprozess kann verwendet werden, um überschüssiges Material von der Oberseite der IMD-Schicht 214 zu entfernen, um eine planare Oberseite zu erhalten. In den in 7B veranschaulichten Ausführungsformen kann eine Öffnung durch die IMD-Schicht 214, die dielektrische Abdeckschicht 212 und die zweite Adhäsionsschicht 2100 gebildet werden, um das leitfähige Merkmal 204 oder die leitfähige Abdeckschicht 208 (falls gebildet) freizulegen In diesen in 7B gezeigten Ausführungsformen fungieren sowohl die dielektrische Abdeckschicht 212 als auch die zweite Adhäsionsschicht 2100 als eine Ätzstoppschicht (ESL). Der Rest des Prozesses ist ähnlich und wird hier nicht wiederholt.
  • Wie oben beschrieben, ist die Elektromigration positiv mit der Stromdichte korreliert, und die Stromdichte ist mit den Abmessungen der leitfähigen Merkmale umgekehrt korreliert. Obgleich Prozesse der vorliegenden Offenbarung in allen Schichten der Interconnect-Struktur 20 wiederholt werden können, um die Adhäsion zu verbessern und die Elektromigration zu reduzieren, braucht dies nicht erforderlich zu sein. Leitfähige Merkmale in der Interconnect-Struktur 20 können größere Abmessungen haben, da sie weiter von dem Vorrichtungssubstrat 10 entfernt sind. Wenn die Abmessungen des leitfähigen Merkmals groß genug sind, so kann das Risiko der Elektromigration klein genug sein, damit die erste Adhäsionsschicht 210 (oder die zweite Adhäsionsschicht 2100) nicht mehr benötigt wird. Darum ist es in Abhängigkeit von den Abmessungen der leitfähigen Merkmale in der Interconnect-Struktur 20 möglich, dass die erste Adhäsionsschicht 210 (oder die zweite Adhäsionsschicht 2100) der vorliegenden Offenbarung nicht in der gesamten Interconnect-Struktur 20 implementiert ist.

Claims (18)

  1. Interconnect-Struktur, die aufweist: ein leitfähiges Merkmal (204), das in eine dielektrische Schicht (202) eingebettet ist; eine Abdeck-Sperrschicht (212), die über dem leitfähigen Merkmal (204) und der dielektrischen Schicht (202) angeordnet ist; und eine Adhäsionsschicht (210, 2100), die zwischen der Abdeck-Sperrschicht (212) und der dielektrischen Schicht (202) aufgenommen ist, wobei die Adhäsionsschicht (210, 2100) einen Kristallinitätsgrad zwischen etwa 40 % und etwa 70 % aufweist.
  2. Interconnect-Struktur nach Anspruch 1, wobei die dielektrische Schicht (202) amorphes Siliziumoxid aufweist.
  3. Interconnect-Struktur nach Anspruch 1 oder 2, wobei die Abdeck-Sperrschicht (212) Aluminiumnitrid aufweist.
  4. Interconnect-Struktur nach einem der vorangehenden Ansprüche, wobei die Adhäsionsschicht (210, 2100) über dem leitfähigen Merkmal (204) angeordnet ist.
  5. Interconnect-Struktur nach einem der vorangehenden Ansprüche, wobei die Adhäsionsschicht (210, 2100) beta-Siliziumnitrid aufweist.
  6. Interconnect-Struktur nach einem der Ansprüche 1 bis 4, wobei die Adhäsionsschicht (210, 2100) hexagonales Siliziumoxid, hexagonales Siliziumcarbid, diamantkubisches Silizium, tetragonales Titanoxid, hexagonales Chromoxid oder hexagonales Aluminiumoxid aufweist.
  7. Interconnect-Struktur nach einem der vorangehenden Ansprüche, die des Weiteren aufweist: eine leitfähige Abdeckschicht (208), die zwischen dem leitfähigen Merkmal (204) und der Abdeck-Sperrschicht (212) angeordnet ist.
  8. Halbleiterstruktur, die aufweist: ein Kontaktmerkmal (205) in einer dielektrischen Schicht (202), wobei das Kontaktmerkmal (205) aufweist: eine Metallfüllschicht, eine Sperrschicht (206), die zwischen der Metallfüllschicht und der dielektrischen Schicht (202) angeordnet ist, und eine leitfähige Abdeckschicht (208) über der Metallfüllschicht und der Sperrschicht (206); eine Abdeck-Sperrschicht (212), die über der leitfähigen Abdeckschicht (208) und der dielektrischen Schicht (202) angeordnet ist; und eine Adhäsionsschicht (210, 2100), die zwischen der Abdeck-Sperrschicht (212) und der dielektrischen Schicht (202) aufgenommen ist, wobei die Abdeck-Sperrschicht (212) Aluminiumnitrid oder Bornitrid aufweist, wobei die Adhäsionsschicht (210, 2100) beta-Siliziumnitrid, hexagonales Siliziumoxid, hexagonales Siliziumcarbid, diamantkubisches Silizium, tetragonales Titanoxid, hexagonales Chromoxid oder hexagonales Aluminiumoxid aufweist.
  9. Halbleiterstruktur nach Anspruch 8, wobei die dielektrische Schicht (202) amorphes Siliziumoxid aufweist.
  10. Halbleiterstruktur nach Anspruch 8 oder 9, wobei die leitfähige Abdeckschicht (208) Cobalt aufweist.
  11. Halbleiterstruktur nach einem der Ansprüche 8 bis 10, wobei die Adhäsionsschicht (210, 2100) einen Kristallinitätsgrad zwischen etwa 40 % und etwa 70 % aufweist.
  12. Halbleiterstruktur nach einem der Ansprüche 8 bis 11, wobei die Sperrschicht (206) Titan, Titannitrid, Tantal, Tantalnitrid, Molybdän, Ruthenium, Nickelnitrid, Wolframnitrid, Kupfernitrid, Mangannitrid oder Cobaltnitrid aufweist.
  13. Verfahren, das umfasst: Empfangen eines Werkstücks (200), das eine dielektrische Schicht (202) und ein in die dielektrische Schicht (202) eingebettetes leitfähiges Merkmal (204) aufweist; Bilden einer Adhäsionsschicht (210, 2100) auf einer Oberseite der dielektrischen Schicht (202); und Abscheiden einer Abdeck-Sperrschicht (212) über der Adhäsionsschicht (210, 2100), wobei die Abdeck-Sperrschicht (212) Aluminiumnitrid oder Bornitrid aufweist, das des Weiteren umfasst: nach dem Bilden der Adhäsionsschicht (210, 2100), Tempern des Werkstücks (200), um einen Kristallinitätsgrad der Adhäsionsschicht (210, 2100) zu erhöhen.
  14. Verfahren nach Anspruch 13, wobei die dielektrische Schicht (202) amorphes Siliziumoxid aufweist und das leitfähige Merkmal Kupfer und Mangan aufweist.
  15. Verfahren nach Anspruch 13 oder 14, wobei das Abscheiden der Abdeck-Sperrschicht (212) die Verwendung von Atomschichtabscheidung (Atomic Layer Deposition, ALD) umfasst.
  16. Verfahren nach Anspruch 13 oder 14, wobei die Adhäsionsschicht (210, 2100) Siliziumnitrid, Siliziumoxid, Siliziumcarbid, Silizium, Titanoxid, Chromoxid oder Aluminiumoxid aufweist.
  17. Verfahren nach Anspruch 13 oder 14, das des Weiteren umfasst: vor dem Bilden der Adhäsionsschicht (210, 2100), selektives Abscheiden einer Cobaltschicht (208) über dem leitfähigen Merkmal (204).
  18. Verfahren nach Anspruch 17, wobei nach dem Bilden der Adhäsionsschicht (210, 2100) die Adhäsionsschicht (210, 2100) auf einer Oberseite der Cobaltschicht (208) nicht vorhanden ist.
DE102020126161.6A 2020-05-29 2020-10-07 Reduzierung der Elektromigration Active DE102020126161B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063032467P 2020-05-29 2020-05-29
US63/032,467 2020-05-29
US17/017,356 2020-09-10
US17/017,356 US11450609B2 (en) 2020-05-29 2020-09-10 Electro-migration reduction

Publications (2)

Publication Number Publication Date
DE102020126161A1 DE102020126161A1 (de) 2021-12-02
DE102020126161B4 true DE102020126161B4 (de) 2024-01-25

Family

ID=77569628

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020126161.6A Active DE102020126161B4 (de) 2020-05-29 2020-10-07 Reduzierung der Elektromigration

Country Status (5)

Country Link
US (1) US12014987B2 (de)
KR (2) KR20210148834A (de)
CN (1) CN113380694A (de)
DE (1) DE102020126161B4 (de)
TW (1) TWI785470B (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US20080122103A1 (en) 2006-11-29 2008-05-29 International Business Machines Corporation Embedded nano uv blocking barrier for improved reliability of copper/ultra low k interlevel dielectric electronic devices
US20100003814A1 (en) 2002-12-30 2010-01-07 Samsung Electronics Co., Ltd. Interconnections Having Double Capping Layer and Method for Forming the Same
US20170207120A1 (en) 2011-11-04 2017-07-20 Intel Corporation Methods and apparatuses to form self-aligned caps

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
KR100207470B1 (ko) 1996-03-19 1999-07-15 윤종용 필드절연막의 형성방법
US6660650B1 (en) 1998-12-18 2003-12-09 Texas Instruments Incorporated Selective aluminum plug formation and etchback process
US6258713B1 (en) 1999-12-03 2001-07-10 United Microelectronics Corp. Method for forming dual damascene structure
US6207552B1 (en) 2000-02-01 2001-03-27 Advanced Micro Devices, Inc. Forming and filling a recess in interconnect for encapsulation to minimize electromigration
US6579703B2 (en) 2000-04-14 2003-06-17 University Of Maryland Biotechnology Institute Enhanced protein thermostability and temperature resistance
JP2002110679A (ja) 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
CN104299958B (zh) 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US9299577B2 (en) 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US10886168B2 (en) * 2019-06-04 2021-01-05 International Business Machines Corporation Surface modified dielectric refill structure
US20210159163A1 (en) * 2019-11-26 2021-05-27 Intel Corporation Selective interconnects in back-end-of-line metallization stacks of integrated circuitry

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6518167B1 (en) 2002-04-16 2003-02-11 Advanced Micro Devices, Inc. Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US20100003814A1 (en) 2002-12-30 2010-01-07 Samsung Electronics Co., Ltd. Interconnections Having Double Capping Layer and Method for Forming the Same
US20080122103A1 (en) 2006-11-29 2008-05-29 International Business Machines Corporation Embedded nano uv blocking barrier for improved reliability of copper/ultra low k interlevel dielectric electronic devices
US20170207120A1 (en) 2011-11-04 2017-07-20 Intel Corporation Methods and apparatuses to form self-aligned caps

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
MADI, C. et al.: Microstructural characterization of chromium oxide thin films grown by remote plasma assisted pulsed laser deposition. In: J. Phys.: Conf. Ser., Vol. 59, 2007, S. 600-604. https://iopscience.iop.org/article/10.1088/1742-6596/59/1/128 [abgerufen am 28.03.2023]

Also Published As

Publication number Publication date
CN113380694A (zh) 2021-09-10
DE102020126161A1 (de) 2021-12-02
US20220359411A1 (en) 2022-11-10
TW202145583A (zh) 2021-12-01
TWI785470B (zh) 2022-12-01
US12014987B2 (en) 2024-06-18
KR20240031998A (ko) 2024-03-08
KR20210148834A (ko) 2021-12-08

Similar Documents

Publication Publication Date Title
DE102016106969B4 (de) Halbleitervorrichtung
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102014109562B4 (de) Verfahren zum Ausbilden einer Halbleitervorrichtung und Verfahren zum Ausbilden einer Kontaktstruktur
DE102019200725B4 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017127206A1 (de) Kontaktstruktur für halbleiter-bauelement
DE102018100300B4 (de) Bilden eines leitfähigen merkmals und einer struktur
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE112018003323T5 (de) Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung
DE102014019523A1 (de) Kontaktstruktur und Verfahren zur Bildung
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102020134989A1 (de) Halbleiterstruktur mit speichervorrichtung und verfahren zu deren herstellung
DE102019128703A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102021100270A1 (de) Epitaxialer Rückseitenkontakt
DE102021100965A1 (de) Epitaxiale strukturen für halbleitervorrichtungen
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102018125383B4 (de) Verfahren zur bildung einer finfet-vorrichtung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020130171A1 (de) Abstandselemente für Halbleitervorrichtungen, welche rückseitige Stromschienen aufweisen
DE102017126881A1 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102019129184A1 (de) Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtungen
DE102020126161B4 (de) Reduzierung der Elektromigration
DE102020121101A1 (de) Halbleitervorrichtung und verfahren zu deren herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division