DE102021104817A1 - Halbleitervorrichtung und verfahren - Google Patents

Halbleitervorrichtung und verfahren Download PDF

Info

Publication number
DE102021104817A1
DE102021104817A1 DE102021104817.6A DE102021104817A DE102021104817A1 DE 102021104817 A1 DE102021104817 A1 DE 102021104817A1 DE 102021104817 A DE102021104817 A DE 102021104817A DE 102021104817 A1 DE102021104817 A1 DE 102021104817A1
Authority
DE
Germany
Prior art keywords
layer
work function
workfunction
barrier layer
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102021104817.6A
Other languages
English (en)
Other versions
DE102021104817B4 (de
Inventor
Hsin-Yi Lee
Weng Chang
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021104817A1 publication Critical patent/DE102021104817A1/de
Application granted granted Critical
Publication of DE102021104817B4 publication Critical patent/DE102021104817B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

In einer Ausführungsform umfasst ein Bauelement Folgendes: einen Kanalbereich; eine Gate-Dielektrikum-Schicht auf dem Kanalbereich; eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht ein n-Austrittsarbeitsmetall umfasst; eine Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; eine zweite Austrittsarbeit einstellende Schicht auf der Sperrschicht, wobei die zweite Austrittsarbeit einstellende Schicht ein p-Austrittsarbeitsmetall umfasst, wobei sich das p-Austrittsarbeitsmetall von dem n-Austrittsarbeitsmetall unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeit einstellenden Schicht.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht den Vorteil der vorläufigen US-Anmeldung Nr. 63/137,326 , eingereicht am 14. Januar 2021, die hierin durch Bezugnahme aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen (-bauelemente) werden in einer Vielzahl von elektronischen Anwendungen verwendet, wie zum Beispiel PCs, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden typischerweise durch aufeinanderfolgendes Abscheiden von Schichten aus isolierendem bzw. dielektrischem Material, Schichten aus leitfähigem Material und Halbleiterschichten über einem Halbleitersubstrat und Strukturieren der verschiedenen Schichten unter Verwendung von Lithografie zur Ausbildung von Schaltungskomponenten und Elementen darauf gefertigt.
  • Die Halbleiterindustrie verbessert kontinuierlich die Integrationsdichte von verschiedenen elektronischen Komponenten (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch kontinuierliche Verringerung der minimalen Strukturgröße, wodurch ermöglicht wird, dass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die minimalen Merkmalsgrößen jedoch verringert werden, treten zusätzliche Probleme auf, die gelöst werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung werden am besten anhand der folgenden detaillierten Beschreibung verständlich, wenn diese in Verbindung mit den beigefügten Figuren gelesen wird. Es sei noch angemerkt, dass entsprechend der üblichen Branchenpraxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Diskussion beliebig vergrößert oder verkleinert sein.
    • 1 veranschaulicht in einer dreidimensionalen Ansicht ein Beispiel eines nanostrukturierten Feldeffekttransistors (Nano-FET) gemäß einigen Ausführungsformen.
    • 2 bis 23B sind Ansichten von Zwischenstufen beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen.
    • 24 ist ein Flussdiagramm eines beispielhaften Verfahrens zum Bilden von Ersatz-Gates für Nano-FETs gemäß einigen Ausführungsformen.
    • 25A bis 26B sind Ansichten von FinFETs gemäß einigen Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Ausführungsbeispiele zum Implementieren unterschiedlicher Merkmale der Erfindung bereit. Um die vorliegende Offenbarung zu vereinfachen, werden nachstehend konkrete Beispiele für Komponenten und Anordnungen beschrieben. Diese sind natürlich lediglich Ausführungsbeispiele und sollen nicht einschränkend sein. Zum Beispiel kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet werden, und auch Ausführungsformen umfassen, bei welchen zusätzliche Merkmale derart zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung in den verschiedenen Beispielen Bezugszeichen und/oder Buchstaben wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elementes oder Merkmals zu einem anderen Element (anderen Elementen) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren gezeigten Ausrichtung unterschiedliche Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb umfassen. Der Gegenstand kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die vorliegend verwendeten räumlich relativen Beschreibungen können ebenso entsprechend interpretiert werden.
  • Gemäß verschiedenen Ausführungsformen werden Gate-Elektroden mit mehreren Austrittsarbeit einstellenden Schichten gebildet. Eine Sperrschicht wird zwischen den Austrittsarbeit einstellenden Schichten gebildet und eine obere Austrittsarbeit einstellende Schicht wird behandelt, um ihre Austrittsarbeit einzustellen. Die Sperrschicht hemmt (z. B. verhindert im Wesentlichen oder verringert wenigstens) die Modifikation einer darunterliegenden Austrittsarbeit einstellenden Schicht während der Behandlung. Somit können die Schwellenspannungen der resultierenden Bauelemente genauer eingestellt werden.
  • Die Ausführungsformen sind in einem spezifischen Kontext beschrieben, wobei ein Die Nano-FETs umfasst. Verschiedene Ausführungsformen können jedoch auf Dies angewendet werden, die anstelle der oder in Kombination mit den Nano-FETs andere Typen von Transistoren umfassen (z. B. Fin-Feldeffekttransistoren (FinFETs), planare Transistoren oder dergleichen).
  • 1 veranschaulicht ein Beispiel für Nano-FETs (z. B. Nanodraht-FETs, Nanofolie-FETs oder dergleichen) gemäß einigen Ausführungsformen. 1 ist eine dreidimensionale Ansicht, in der einige Merkmale der Nano-FETs zur Klarheit der Darstellung weggelassen sind. Die Nano-FETs können Nanofolie-Feldeffekttransistoren (NSFETs), Nanodraht-Feldeffekttransistoren (NWFETs), Gate-all-around-Feldeffekttransistoren (GAA-FETs) oder dergleichen sein.
  • Die Nano-FETs umfassen Nanostrukturen 66 (z. B. Nanofolien, Nanodrähte oder dergleichen) über Finnen 62 auf einem Substrat 50 (z. B. einem Halbleitersubstrat), wobei die Nanostrukturen 66 als Kanalbereiche für die Nano-FETs dienen. Die Nanostrukturen 66 können p-Nanostrukturen, n-Nanostrukturen oder eine Kombination davon umfassen. Isolationsbereiche 70, wie flache Grabenisolationsbereiche (STI-Bereiche - Shallow Trench Isolation regions), sind zwischen benachbarten Finnen 62 angeordnet, die über und zwischen benachbarten Isolationsbereichen 70 vorstehen können. Obwohl die Isolationsbereiche 70 als von dem Substrat 50 getrennt beschrieben/veranschaulicht sind, kann sich der Begriff „Substrat“, wie er hierin verwendet wird, auf das Halbleitersubstrat alleine oder eine Kombination aus dem Halbleitersubstrat und den Isolationsbereichen beziehen. Zusätzlich können/kann, obwohl der Bodenabschnitt der Finnen 62 als ein einzelnes zusammenhängendes Material mit dem Substrat 50 veranschaulicht ist, der Bodenabschnitt der Finnen 62 und/oder das Substrat 50 ein einzelnes Material oder eine Vielzahl von Materialien umfassen. In diesem Zusammenhang beziehen sich die Finnen 62 auf den Abschnitt, der sich über und zwischen den benachbarten Isolationsbereichen 70 erstreckt.
  • Gate-Dielektrika 122 befinden sich über oberen Flächen der Finnen 62 und entlang von oberen Flächen, Seitenwänden und unteren Flächen der Nanostrukturen 66. Gate-Elektroden 124 befinden sich über den Gate-Dielektrika 122. Auf den Finnen 62 sind epitaxiale Source-/Drain-Bereiche 98 angeordnet, die sich auf gegenüberliegenden Seiten der Gate-Dielektrika 122 und der Gate-Elektroden 124 befinden. Die epitaxialen Source-/Drain-Bereiche 98 können unter den verschiedenen Finnen 62 gemeinsam benutzt werden. Beispielsweise können benachbarte epitaxiale Source-/Drain-Bereiche 98 elektrisch verbunden sein, wie durch Koaleszieren der epitaxialen Source-/Drain-Bereiche 98 durch epitaxiales Aufwachsen, oder durch Koppeln der epitaxialen Source-/Drain-Bereiche 98 mit demselben Source-/Drain-Kontakt.
  • 1 veranschaulicht ferner Referenzschnittebenen, die in nachfolgenden Figuren verwendet werden. Der Querschnitt A-A' verläuft entlang einer Längsachse einer Gate-Elektrode 124 und in einer Richtung, die beispielsweise senkrecht zu einer Richtung des Stromflusses zwischen den epitaxialen Source-/Drain-Bereichen 98 eines Nano-FET ist. Der Querschnitt B-B' verläuft entlang einer Längsachse einer Finne 62 und in einer Richtung beispielsweise eines Stromflusses zwischen den epitaxialen Source-/Drain-Bereichen 98 des Nano-FET. Der Querschnitt C-C' ist parallel zu dem Querschnitt A-A' und erstreckt sich durch die epitaxialen Source-/Drain-Bereiche 98 der Nano-FETs. Der Klarheit halber nehmen nachfolgende Figuren auf diese Referenzschnittebenen Bezug.
  • Einige hierin erörterte Ausführungsformen werden im Zusammenhang mit Nano-FETs erörtert, die unter Verwendung eines Gate-Last-Prozesses (Gate-zuletzt-Prozesses) gebildet werden. Bei anderen Ausführungsformen kann ein Gate-First-Prozess (Gate-zuerst-Prozess) verwendet werden. Darüber hinaus werden in einigen Ausführungsformen Aspekte berücksichtigt, die in planaren Bauelementen verwendet werden, wie planaren FETs, oder Aspekte, die in Fin-Feldeffekttransistoren (FinFETs) verwendet werden. Beispielsweise können FinFETs Finnen auf einem Substrat umfassen, wobei die Finnen als Kanalbereiche für die FinFETs dienen. Ähnlich können die planaren FETs ein Substrat umfassen, wobei Abschnitte des Substrats als Kanalbereiche für die planaren FETs dienen.
  • 2 bis 23B sind Ansichten von Zwischenstufen beim Herstellen von Nano-FETs gemäß einigen Ausführungsformen. 2, 3, 4, 5 und 6 sind dreidimensionale Ansichten, die eine ähnliche dreidimensionale Ansicht wie 1 zeigen. 7A, 8A, 9A, 10A, 11A, 12A, 13A, 14A, 14B, 15A, 15B, 16A, 16B, 17A, 17B, 18A, 18B, 19A, 19B, 20A, 20B, 21A, 22A und 23A zeigen einen in 1 veranschaulichten Referenzquerschnitt A-A', außer dass zwei Finnen gezeigt sind. 7B, 8B, 9B, 10B, 11B, 12B, 13B, 21B, 22B und 23B veranschaulichen den Referenzquerschnitt B-B', wie er in 1 veranschaulicht ist. 9C und 9D veranschaulichen den Referenzquerschnitt C-C', wie er in 1 veranschaulicht ist, außer dass zwei Finnen gezeigt sind.
  • In 2 wird ein Substrat 50 bereitgestellt, um Nano-FETs zu bilden. Das Substrat 50 kann ein Halbleitersubstrat sein, wie ein Volumenhalbleiter, ein Halbleiter-auf-Isolator- (SOI- bzw. Semiconductor on Insulator-) Substrat oder dergleichen, das dotiert (z. B. mit einem p- oder einer n-Fremdstoff) oder undotiert werden kann. Das Substrat 50 kann ein Wafer sein, wie ein Siliziumwafer. Im Allgemeinen ist ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet ist. Die Isolationsschicht kann zum Beispiel eine vergrabene Oxidschicht (Buried Oxide- bzw. BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht ist auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Andere Substrate, wie ein mehrschichtiges oder ein Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich Silizium-Germanium, Galliumarsenidphosphid, Aluminiumindiumarsenid, Aluminiumgalliumarsenid, Galliumindiumarsenid, Galliumindiumphosphid und/oder Galliumindiumarsenidphosphid; Kombinationen davon oder dergleichen umfassen.
  • Das Substrat 50 weist einen n-Bereich 50N und einen p-Bereich 50P auf. Der n-Bereich 50N kann verwendet werden, um n-Bauelemente, wie NMOS-Transistoren, z. B. n-Nano-FETs, zu bilden, und der p-Bereich 50P kann verwendet werden, um p-Bauelemente, wie PMOS-Transistoren, z. B. p-Nano-FETs, zu bilden. Der n-Bereich 50N kann physisch von dem p-Bereich 50P getrennt sein (nicht getrennt veranschaulicht) und eine beliebige Anzahl von Bauelementsmerkmalen (z. B. andere aktive Bauelemente, dotierte Bereiche, isolierte Strukturen usw.) können zwischen dem n-Bereich 50N und dem p-Bereich 50P angeordnet sein. Obwohl ein n-Bereich 50N und ein p-Bereich 50P veranschaulicht sind, kann eine beliebige Anzahl von n-Bereichen 50N und p-Bereichen 50P bereitgestellt werden.
  • Das Substrat 50 kann mit einem p- oder einer n-Fremdstoff schwach dotiert sein. Eine Implantation gegen Punch-Through-out (APT) kann in einem oberen Abschnitt des Substrats 50 durchgeführt werden, um einen APT-Bereich zu bilden. Während der APT-Implantation können Fremdstoffe in dem Substrat 50 implantiert werden. Die Fremdstoffe können einen Leitfähigkeitstyp aufweisen, der entgegengesetzt zu dem Leitfähigkeitstyp der Source-/Drain-Bereiche ist, die anschließend jeweils in dem n-Bereich 50N und dem p-Bereich 50P gebildet werden. Der APT-Bereich kann sich unter die Source-/Drain-Bereiche in den Nano-FETs erstrecken. Der APT-Bereich kann dazu verwendet werden, um die Leckage aus den Source-/Drain-Bereichen in das Substrat 50 zu reduzieren. In einigen Ausführungsformen kann die Dotierungskonzentration in dem APT-Bereich im Bereich von ungefähr 1018 cm-3 bis ungefähr 1019 cm-3 liegen.
  • Über dem Substrat 50 wird ein mehrschichtiger Stapel 52 gebildet. Der mehrschichtige Stapel 52 umfasst abwechselnde erste Halbleiterschichten 54 und zweite Halbleiterschichten 56. Die ersten Halbleiterschichten 54 werden aus einem ersten Halbleitermaterial gebildet, und die zweiten Halbleiterschichten 56 werden aus einem zweiten Halbleitermaterial gebildet. Die Halbleitermaterialien können jeweils aus den Kandidaten der Halbleitermaterialien des Substrats 50 ausgewählt sein. In der veranschaulichten Ausführungsform umfasst der mehrschichtige Stapel 52 drei Schichten jeweils der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56. Es versteht sich, dass der mehrschichtige Stapel 52 eine beliebige Anzahl der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56 umfassen kann.
  • In der veranschaulichten Ausführungsform und wie anschließend in größerem Detail beschrieben wird, werden die ersten Halbleiterschichten 54 entfernt und werden die zweiten Halbleiterschichten 56 strukturiert, um Kanalbereiche für die Nano-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu bilden. Die ersten Halbleiterschichten 54 sind Opferschichten (oder Dummy-Schichten), die in einer anschließenden Verarbeitung entfernt werden, um die oberen Flächen und die unteren Flächen der zweiten Halbleiterschichten 56 freizulegen. Das erste Halbleitermaterial der ersten Halbleiterschichten 54 ist ein Material mit einer hohen Ätzselektivität gegenüber dem Ätzen der zweiten Halbleiterschichten 56, wie Silizium-Germanium. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 ist ein Material, das für n-Bauelementen und p-Bauelementen geeignet ist, wie Silizium.
  • In einer anderen Ausführungsform (nicht gesondert veranschaulicht) werden die ersten Halbleiterschichten 54 strukturiert, um Kanalbereiche für Nano-FETs in einem Bereich zu bilden (z. B. dem p-Bereich 50P), und die zweiten Halbleiterschichten 56 werden strukturiert, um Kanalbereiche für Nano-FETs in einem anderen Bereich zu bilden (z. B. dem n-Bereich 50N). Das erste Halbleitermaterial der ersten Halbleiterschichten 54 kann ein Material sein, das für p-Bauelementen geeignet ist, wie Silizium-Germanium (z. B. SixGe1-x, wobei x im Bereich von 0 bis 1 liegen kann), reines Germanium, ein III-V-Verbundhalbleiter, ein II-VI-Verbundhalbleiter oder dergleichen. Das zweite Halbleitermaterial der zweiten Halbleiterschichten 56 kann ein Material sein, das für n-Bauelementen geeignet ist, wie Silizium, Silizumcarbid, ein III-V-Verbundhalbleiter, ein II-VI-Verbundhalbleiter oder dergleichen. Das erste Halbleitermaterial und das zweite Halbleitermaterial können eine hohe Ätzselektivität gegenüber dem Ätzen in Bezug aufeinander aufweisen, sodass die ersten Halbleiterschichten 54 entfernt werden können, ohne die zweiten Halbleiterschichten 56 in dem n-Bereich 50N zu entfernen, und die zweiten Halbleiterschichten 56 entfernt werden können, ohne die ersten Halbleiterschichten 54 in dem p-Bereich 50P zu entfernen.
  • Jede der Schichten des mehrschichtigen Stapels 52 kann durch einen Prozess, wie eine Gasphasenepitaxie (VPE) oder eine Molekularstrahl-Epitaxie (MBE), aufgewachsen werden und durch einen Prozess, wie eine chemische Gasphasenabscheidung (CVD) oder eine Atomlagenabscheidung (ALD) oder dergleichen abgeschieden werden. Jede der Schichten kann eine geringe Dicke aufweisen, wie eine Dicke in einem Bereich von ungefähr 5 nm bis ungefähr 30 nm. In einigen Ausführungsformen werden einige Schichten (z. B. die zweiten Halbleiterschichten 56) dünner als andere Schichten (z. B. die ersten Halbleiterschichten 54) gebildet. Beispielsweise können in Ausführungsformen, in denen die ersten Halbleiterschichten 54 Opferschichten (oder Dummy-Schichten) sind und die zweiten Halbleiterschichten 56 strukturiert werden, um Kanalbereiche für die Nano-FETs in dem n-Bereich 50N und dem p-Bereich 50P zu bilden, können die ersten Halbleiterschichten 54 eine erste Dicke T1 aufweisen, können die zweiten Halbleiterschichten 56 eine zweite Dicke T2 aufweisen, wobei die zweite Dicke T2 ungefähr 30 % bis ungefähr 60 % geringer als die erste Dicke T1 ist. Das Bilden der zweiten Halbleiterschichten 56 mit einer geringeren Dicke ermöglicht das Bilden der Kanalbereiche mit einer größeren Dichte.
  • In 3 werden Gräben in dem Substrat 50 und dem mehrschichtigen Stapel 52 strukturiert, um Finnen 62, erste Nanostrukturen 64 und zweite Nanostrukturen 66 zu bilden. Die Finnen 62 sind in dem Substrat 50 strukturierte Halbleiterstreifen. Die ersten Nanostrukturen 64 und die zweiten Nanostrukturen 66 umfassen jeweils die verbleibenden Abschnitte der ersten Halbleiterschichten 54 und der zweiten Halbleiterschichten 56. Die Gräben können durch einen beliebigen annehmbaren Ätzprozess strukturiert werden, wie ein reaktives Ionenätzen (RIE), neutrales Strahlätzen (NBE) oder dergleichen oder eine Kombinationen davon. Das Ätzen kann anisotrop sein.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können durch jedes geeignete Verfahren strukturiert werden. Beispielsweise können die Finnen 62 und die Nanostrukturen 64, 66 unter Verwendung eines oder mehrerer Fotolithographieprozesse strukturiert werden, einschließlich Doppel- oder Mehrfachstrukturierungsprozessen. Im Allgemeinen sind bei Doppel- oder Mehrfachstrukturierungsprozessen Fotolithografie- und selbstausrichtende Prozesse kombiniert, was die Herstellung von Strukturen mit kleineren Abmessungen ermöglicht als beispielsweise unter Verwendung eines einzigen direkten Fotolithografieprozesses erreichbar ist. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat ausgebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandshalter werden unter Verwendung eines selbstausrichtenden Prozesses neben der strukturierten Opferschicht gebildet. Die Opferschicht wird dann entfernt, und die verbleibenden Abstandshalter können als Masken zum Strukturieren der Finnen 62 und der Nanostrukturen 64, 66 verwendet werden. In einigen Ausführungsformen kann die Maske (oder eine andere Schicht) auf den Nanostrukturen 64, 66 verbleiben.
  • Die Finnen 62 und die Nanostrukturen 64, 66 können jeweils Breiten in einem Bereich von ungefähr 8 nm bis ungefähr 40 nm aufweisen. In der veranschaulichten Ausführungsform weisen die Finnen 62 und die Nanostrukturen 64, 66 im Wesentlichen gleiche Breiten in dem n-Bereich 50N und dem p-Bereich 50P auf. In einer anderen Ausführungsform sind die Finnen 62 und die Nanostrukturen 64, 66 in einem Bereich (z. B. dem n-Bereich 50N) breiter oder schmaler als die Finnen 62 und die Nanostrukturen 64, 66 in einem anderen Bereich (z. B. dem p-Bereich 50P).
  • In 4 werden STI-Bereiche 70 über dem Substrat 50 und zwischen benachbarten Finnen 62 gebildet. Die STI-Bereiche 70 sind um wenigstens einen Abschnitt der Finnen 62 angeordnet, sodass wenigstens ein Abschnitt der Nanostrukturen 64, 66 von einem Bereich zwischen benachbarten STI-Bereichen 70 hervorsteht. In der veranschaulichten Ausführungsform sind die oberen Flächen der STI-Bereiche 70 koplanar (innerhalb von Prozessschwankungen) mit den oberen Flächen der Finnen 62. In einigen Ausführungsformen liegen die oberen Flächen der STI-Bereiche 70 oberhalb oder unterhalb der oberen Flächen der Finnen 62. Die STI-Bereiche 70 trennen die Merkmale benachbarter Bauelemente.
  • Die STI-Bereiche 70 können durch jedes geeignete Verfahren gebildet werden. Beispielsweise kann ein Isolationsmaterial über dem Substrat 50 sowie den Nanostrukturen 64, 66 sowie zwischen benachbarten Finnen 62 gebildet werden. Das Isolationsmaterial kann ein Oxid sein, wie Siliziumoxid, ein Nitrid, wie Siliziumnitrid, dergleichen oder eine Kombination davon, die durch einen chemischen Gasphasenabscheidungsprozess (CVD-Prozess), wie einen CVD-Prozess mit Plasma mit hoher Dichte (HDP-CVD), ein fließfähiges CVD (FCVD), dergleichen oder eine Kombination davon gebildet werden kann. Andere Isoliermaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden. In einigen Ausführungsformen ist das Isolationsmaterial Siliziumoxid, das durch FCVD gebildet wird. Nachdem das Isoliermaterial gebildet wurde, kann ein Temperprozess durchgeführt werden. In einer Ausführungsform ist das Isolationsmaterial derart gebildet, dass der überschüssige Isolationsmaterial die Nanostrukturen 64, 66 bedeckt. Obwohl die STI-Bereiche 70 jeweils als eine einzelne Schicht veranschaulicht sind, können in einige Ausführungsformen mehrere Schichten verwendet werden. Beispielsweise kann in einigen Ausführungsformen zuerst eine Auskleidung (nicht separat veranschaulicht) entlang Flächen des Substrats 50, der Finnen 62 und der Nanostrukturen 64, 66 gebildet werden. Danach kann ein Füllmaterial, wie die zuvor beschriebenen, über der Auskleidung gebildet werden.
  • Dann wird ein Entfernungsprozess auf das Isolationsmaterial angewendet, um überschüssiges Isolationsmaterial über den Nanostrukturen 64, 66 zu entfernen. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess legt die Nanostrukturen 64, 66 frei, sodass die oberen Flächen der Nanostrukturen 64, 66 und das Isolationsmaterial koplanar sind (innerhalb von Prozessschwankungen), nachdem der Planarisierungsprozess beendet ist. In Ausführungsformen, in denen eine Maske auf den Nanostrukturen 64, 66 verbleibt, kann der Planarisierungsprozess die Maske derart freilegen oder entfernen, dass die oberen Flächen der Maske oder der Nanostrukturen 64, 66 und das Isolationsmaterial jeweils koplanar (innerhalb von Prozessschwankungen) sind, nachdem der Planarisierungsprozess beendet ist. Das Isolationsmaterial wird dann vertieft, um die STI-Bereiche 70 zu bilden. Das Isolationsmaterial wird derart vertieft, dass wenigstens ein Teil der Nanostrukturen 64, 66 zwischen benachbarten Abschnitten des Isolationsmaterials hervorsteht. Ferner können die oberen Flächen der STI-Bereiche 70 eine flache Fläche wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie durch Muldenbildung) oder eine Kombination davon aufweisen. Die oberen Flächen der STI-Bereiche 70 können durch eine angemessene Ätzung flach, konvex und/oder konkav gebildet werden. Das Isolationsmaterial kann unter Verwendung jedes annehmbaren Ätzprozesses vertieft werden, wie eines, der gegenüber dem Material des Isolationsmaterials selektiv ist (der z. B. das Isolationsmaterial der STI-Bereiche 70 mit einer schnelleren Rate selektiv ätzt als die Materialien der Finnen 62 und der Nanostrukturen 64, 66). Zum Beispiel kann eine Oxidentfernung unter Verwendung von verdünnter Flusssäure (dHF) durchgeführt werden.
  • Der zuvor beschriebene Prozess ist nur ein Beispiel dafür, wie die Finnen 62 und die Nanostrukturen 64, 66 gebildet werden können. In einigen Ausführungsformen können die Finnen 62 und/oder die Nanostrukturen 64, 66 unter Verwendung einer Maske und eines epitaxialen Aufwachsprozesses gebildet werden. Zum Beispiel kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden und Gräben können durch die dielektrische Schicht geätzt werden, um das darunterliegende Substrat 50 freizulegen. Epitaxiale Strukturen können epitaxial in den Gräben aufgewachsen werden, und die dielektrische Schicht kann derart vertieft werden, dass die epitaxialen Strukturen aus der dielektrischen Schicht vorstehen, um die Finnen 62 und/oder die Nanostrukturen 64, 66 zu bilden. Die epitaxialen Strukturen können die zuvor beschriebenen abwechselnden Halbleitermaterialien umfassen, wie das erste Halbleitermaterial und das zweite Halbleitermaterial. In einigen Ausführungsformen, in denen epitaktische Strukturen epitaktisch aufgewachsen werden, können die epitaktisch aufgewachsenen Materialien während dem Aufwachsen in situ dotiert werden, wodurch vorherige und/oder nachfolgende Implantationen vermieden werden können, In-situ- und Implantationsdotierung können jedoch auch zusammen verwendet werden.
  • Ferner können geeignete Wannen (nicht separat veranschaulicht) in dem Substrat 50, den Finnen 62 und/oder den Nanostrukturen 64, 66 gebildet werden, Die Wannen können einen Leitfähigkeitstyp aufweisen, der entgegengesetzt zu dem Leitfähigkeitstyp der Source-/Drain-Bereiche ist, die anschließend jeweils in dem n-Bereich 50N und dem p-Bereich 50P gebildet werden. In einigen Ausführungsformen wird eine p-Wanne in dem n-Bereich 50N gebildet und wird eine n-Wanne in dem p-Bereich 50P gebildet. In einigen Ausführungsformen wird eine p-Wanne oder eine n-Wanne in dem n-Bereich 50N und dem p-Bereich 50P gebildet.
  • In Ausführungsformen mit unterschiedlichen Wannentypen können unterschiedliche Implantationsschritte für den n-Bereich 50N und den p-Bereich 50P unter Verwendung einer Maske (nicht separat veranschaulicht), wie eines Fotolacks, implementiert werden. Beispielsweise kann ein Fotolack über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem n-Bereich 50N gebildet werden. Der Fotolack wird strukturiert, um den p-Bereich 50P freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik ausgebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Nachdem der Fotolack strukturiert wurde, wird eine n-Fremdstoffimplantation in dem p-Bereich 50P durchgeführt und kann der Fotolack als Maske dienen, die im Wesentlichen verhindert, dass n-Fremdstoffe in den n-Bereich 50N implantiert werden. Die n-Fremdstoffe können Phosphor, Arsen, Antimon oder dergleichen sein, die in dem Bereich mit einer Konzentration in dem Bereich von ungefähr 1013 cm-3 bis ungefähr 1014 cm-3 implantiert wird. Nach der Implantation kann der Fotolack entfernt werden, wie durch einen beliebigen annehmbaren Veraschungsprozess.
  • Nach oder vor der Implantation des p-Bereichs 50P wird eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über den Finnen 62, den Nanostrukturen 64, 66 und den STI-Bereichen 70 in dem p-Bereich 50P gebildet. Der Fotolack wird strukturiert, um den n-Bereich 50N freizulegen. Der Fotolack kann unter Verwendung einer Aufschleudertechnik ausgebildet und unter Verwendung von annehmbaren Fotolithografietechniken strukturiert werden. Nachdem der Fotolack strukturiert wurde, kann eine p-Fremdstoffimplantation in dem n-Bereich 50N durchgeführt werden und der Fotolack kann als Maske dienen, die im Wesentlichen verhindert, dass p-Fremdstoffe in den p-Bereich 50P implantiert werden. Die p-Fremdstoffe können Bor, Borfluorid, Indium oder dergleichen sein, die in dem Bereich mit einer Konzentration in dem Bereich von ungefähr 1013 cm-3 bis ungefähr 1014 cm-3 implantiert wird. Nach der Implantation kann der Fotolack entfernt werden, wie durch einen beliebigen annehmbaren Veraschungsprozess.
  • Nach den Implantationen des n-Bereichs 50N und des p-Bereichs 50P kann ein Temperschritt durchgeführt werden, um Implantationsschäden zu reparieren und die implantierten p- und/oder n-Fremdstoffe zu aktivieren. In einigen Ausführungsformen, in denen für die Finnen 62 und/oder die Nanostrukturen 64, 66 epitaxiale Strukturen epitaxial aufgewachsen werden, können die aufgewachsenen Materialien in situ während dem Aufwachsen dotiert werden, was die Implantationen vermeiden kann, obwohl In situ- und Implantationsdotierungen zusammen verwendet werden können.
  • In 5 wird eine dielektrische Dummy-Schicht 72 auf den Finnen 62 und den Nanostrukturen 64, 66 gebildet. Die dielektrische Dummy-Schicht 72 kann aus einem dielektrischen Material, wie Siliziumoxid, Siliziumnitrid, einer Kombinationen daraus oder dergleichen, gebildet sein, das gemäß annehmbaren Techniken abgeschieden oder thermisch aufgewachsen werden kann. Eine Dummy-Gate-Schicht 74 wird über der dielektrischen Dummy-Schicht 72 gebildet, und eine Maskenschicht 76 wird über der Dummy-Gate-Schicht 74 gebildet. Die Dummy-Gate-Schicht 74 kann über der dielektrischen Dummy-Schicht 72 abgeschieden und dann planarisiert werden, wie durch eine CMP. Die Maskenschicht 76 kann über der Dummy-Gate-Schicht 74 abgeschieden werden. Die Dummy-Gate-Schicht 74 kann aus einem leitfähigen oder nicht leitfähigen Material gebildet werden, wie amorphem Silizium, polykristallinem Silizium (Polysilizium), polykristallinem Silizium-Germanium (Poly-SiGe), einem Metall, einem Metallnitrid, einem Metallsilizid, einem Metalloxid oder dergleichen, das durch physikalische Gasphasenabscheidung (PVD), CVD oder dergleichen abgeschieden werden kann. Die Dummy-Gate-Schicht 74 kann aus einem Material oder Materialien mit einer hohen Ätzselektivität gegenüber dem Ätzen von Isolationsmaterialien, z. B. der STI-Bereiche 70 und/oder der dielektrischen Dummy-Schicht 72, gebildet werden. Die Maskenschicht 76 kann aus einem dielektrischen Material, wie Siliziumnitrid, Siliziumoxynitrid oder dergleichen, gebildet werden. In diesem Beispiel werden eine einzelne Dummy-Gate-Schicht 74 und eine einzelne Maskenschicht 76 über den n-Bereich 50N und den p-Bereich 50P gebildet. In der veranschaulichten Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 die Finnen 62, die Nanostrukturen 64, 66 und die STI-Bereiche 70, sodass sich die dielektrische Dummy-Schicht 72 über den STI-Bereichen 70 und zwischen der Dummy-Gate-Schicht 74 und den STI-Bereichen 70 erstreckt. In einer anderen Ausführungsform bedeckt die dielektrische Dummy-Schicht 72 nur die Finnen 62 und die Nanostrukturen 64, 66.
  • In 6 wird die Maskenschicht 76 unter Verwendung annehmbarer Fotolithographie- und Ätztechniken strukturiert, um Masken 86 zu bilden. Die Struktur der Masken 86 wird dann durch eine beliebige annehmbare Ätztechnik auf die Dummy-Gate-Schicht 74 übertragen, um Dummy-Gates 84 zu bilden. Die Struktur der Masken 86 kann optional weiter durch eine beliebige annehmbare Ätztechnik auf die dielektrische Dummy-Schicht 72 übertragen werden, um Dummy-Dielektrika 82 zu bilden. Die Dummy-Gates 84 bedecken Abschnitte der Nanostrukturen 64, 66, die bei der nachfolgenden Verarbeitung freigelegt werden, um Kanalbereiche zu bilden. Insbesondere erstrecken sich die Dummy-Gates 84 entlang der Abschnitte der Nanostrukturen 66, die strukturiert werden, um Kanalbereiche 68 zu bilden. Die Struktur der Masken 86 kann verwendet werden, um benachbarte Dummy-Gates 84 physisch zu trennen. Die Dummy-Gates 84 können auch Längsrichtungen aufweisen, die im Wesentlichen senkrecht (innerhalb von Prozessschwankungen) zu den Längsrichtungen der Finnen 62 sind. Nach dem Strukturieren können die Masken 86 optional entfernt werden, wie durch eine beliebige annehmbare Ätztechnik.
  • 7A bis 22B veranschaulichen verschiedene zusätzliche Schritte beim Herstellen von Ausführungsform-Bauelementen. 7A bis 13B und 21A bis 22B veranschaulichen Merkmale in einem beliebigen des n-Bereichs 50N und des p-Bereichs 50P. Beispielsweise können die veranschaulichten Strukturen sowohl auf den n-Bereich 50N als auch den p-Bereich 50P angewendet werden. Unterschiede zwischen den Strukturen des n-Bereichs 50N und denen des p-Bereichs 50P werden (sofern vorhanden) in dem Text beschrieben, der jede Figur begleitet. 14A, 15A, 16A, 17A, 18A, 19A und 20A veranschaulichen Merkmale in dem n-Bereich 50N. 14B, 15B, 16B, 17B, 18B, 19B und 20B veranschaulichen Merkmale in dem p-Bereich 50P.
  • In 7A und 7B werden Gate-Abstandshalter 90 über den Nanostrukturen 64, 66 auf den freigelegten Seitenwänden der Masken 86 (sofern vorhanden), der Dummy-Gates 84 und der Dummy-Dielektrika 82 gebildet. Die Gate-Abstandshalter 90 können durch konformales Abscheiden eines oder mehrerer dielektrischen Materialien und anschließendes Ätzen der dielektrischen Materialien gebildet werden. Annehmbare dielektrische Materialien umfassen Oxide, wie Siliziumoxid oder Aluminiumoxid; Nitriden, wie Siliziumnitrid; Carbide, wie Siliziumcarbid; dergleichen; oder Kombinationen davon, wie Siliziumoxynitrid, Siliziumoxycarbid, Siliziumcarbonitrid, Siliziumoxycarbonitrid oder dergleichen; Mehrfachschichten davon; oder dergleichen. Die dielektrischen Materialien können durch einen konformalen Abscheidungsprozess, wie chemische Gasphasenabscheidung (CVD), plasmaunterstützte chemische Gasphasenabscheidung (PECVD), Atomlagenabscheidung (ALD) oder dergleichen, gebildet werden. In der veranschaulichten Ausführungsform umfassen die Gate-Abstandshalter 90 jeweils mehrere Schichten, z. B. eine erste Abstandshalterschicht 90A und eine zweite Abstandshalterschicht 90B. In einigen Ausführungsformen sind die ersten Abstandshalterschichten 90A und die zweiten Abstandshalterschichten 90B aus Siliziumoxycarbonitrid (zum Beispiel SiOxNyC1-x-y, wobei x und y im Bereich von 0 bis 1 liegen) gebildet. Beispielsweise können die ersten Abstandshalterschichten 90A aus einer ähnlichen oder anderen Siliziumoxycarbonitrid-Zusammensetzung als die zweiten Abstandshalterschichten 90B gebildet werden. Ein annehmbarer Ätzprozess, wie eine Trockenätzung, eine Nassätzung, dergleichen oder eine Kombination davon, kann durchgeführt werden, um das dielektrische Material oder die dielektrischen Materialien zu strukturieren. Das Ätzen kann anisotrop sein. Das dielektrische Material/die dielektrischen Materialien weist/weisen, wenn es/sie geätzt wird/werden, Abschnitte auf, die auf den Seitenwänden der Dummy-Gates 84 verbleiben (wodurch die Gate-Abstandshalter 90 gebildet werden). Nach dem Ätzen können die Gate-Abstandshalter 90 gerade Seitenwände aufweisen (wie veranschaulicht) oder gekrümmte Seitenwände aufweisen (nicht veranschaulicht). Wie anschließend in größerem Detail beschrieben wird, kann/können das dielektrische Material/die dielektrischen Materialien, wenn es/sie geätzt wird/werden, Abschnitte aufweisen, die auf den Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 verbleiben (wodurch Finnen-Abstandshalter gebildet werden).
  • Ferner können Implantationen durchgeführt werden, um schwach dotierte Source-/Drain (LDD)-Bereiche (nicht separat veranschaulicht) zu bilden. In Ausführungsformen mit unterschiedlichen Bauelementtypen kann eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über dem n-Bereich 50N gebildet werden, während der p-Bereich 50P freigelegt wird, ähnlich zu den Implantationen für die zuvor beschriebenen Wannen, und Fremdstoffe eines geeigneten Typs (z. B. p-Typ) können in die Finnen 62 und/oder die Nanostrukturen 64, 66 implantiert werden, die in dem p-Bereich 50P freigelegt sind. Die Maske kann dann entfernt werden. Anschließend kann eine Maske (nicht separat veranschaulicht), wie ein Fotolack, über dem p-Bereich 50P gebildet werden, während der n-Bereich 50N freigelegt wird, und können Fremdstoffe eines geeigneten Typs (z. B. n-Typ) in die Finnen 62 und/oder die Nanostrukturen 64, 66 implantiert werden, die in dem n-Bereich 50N freigelegt sind. Die Maske kann dann entfernt werden. Die n-Fremdstoffe können beliebige der zuvor beschriebenen n-Fremdstoffe sein, und die p-Fremdstoffe können beliebige der zuvor beschriebenen p-Fremdstoffe sein. Während der Implantation bleiben die Kanalbereiche 68 durch die Dummy-Gates 84 bedeckt, sodass die Kanalbereiche 68 im Wesentlichen frei von der Fremdstoff bleiben, die implantiert wird, um die LDD-Bereiche zu bilden. Die LDD-Bereiche können eine Konzentration von Fremdstoffen im Bereich von ungefähr 1015 cm-3 bis ungefähr 1019 cm-3 aufweisen. Ein Temperschritt kann verwendet werden, um Implantationsschäden zu reparieren und die implantierten Fremdstoffe zu aktivieren.
  • Es sei angemerkt, dass die vorstehende Offenbarung allgemein einen Prozess zum Bilden von Abstandshaltern und LDD-Bereichen beschreibt. Andere Prozesse und Abfolgen können verwendet werden. Zum Beispiel können weniger oder zusätzliche Abstandshalter verwendet werden, es können unterschiedliche Abfolgen der Schritte verwendet werden, es können zusätzliche Abstandshalter gebildet und entfernt werden, und/oder dergleichen. Ferner können die n-Bauelemente und die p-Bauelemente unter Verwendung unterschiedlicher Strukturen und Schritte gebildet werden.
  • In 8A und 8B werden Source-/Drain-Vertiefungen 94 in den Nanostrukturen 64, 66 gebildet. In der veranschaulichten Ausführungsform erstrecken sich die Source-/Drain-Vertiefungen 94 durch die Nanostrukturen 64, 66 und in die Finnen 62. Die Source-/Drain-Vertiefungen 94 können sich auch in das Substrat 50 erstrecken. In verschiedenen Ausführungsformen können sich die Source-/Drain-Vertiefungen 94 zu einer oberen Fläche des Substrats 50 erstrecken, ohne das Substrat 50 zu ätzen; die Finnen 62 können derart geätzt werden, dass untere Flächen der Source-/Drain-Vertiefungen 94 unterhalb der oberen Flächen der STI-Bereiche 70 angeordnet sind; oder dergleichen. Die Source-/Drain-Vertiefungen 94 können durch Ätzen der Nanostrukturen 64, 66 unter Verwendung eines anisotropen Ätzprozesses gebildet werden, wie eines RIE, eines NBE oder dergleichen. Die Gate-Abstandshalter 90 und die Dummy-Gates 84 maskieren gemeinsam Abschnitte der Finnen 62 und/oder der Nanostrukturen 64, 66 während den Ätzprozessen, die zum Bilden der Source-/Drain-Vertiefungen 94 verwendet werden. Es kann ein einzelner Ätzprozess verwendet werden, um jede der Nanostrukturen 64, 66 zu ätzen, oder es können mehrere Ätzprozesse verwendet werden, um die Nanostrukturen 64, 66 zu ätzen. Es können zeitgesteuerte Ätzprozesse verwendet werden, um das Ätzen der Source-/Drain-Vertiefungen 94 zu stoppen, nachdem die Source-/Drain-Vertiefungen 94 eine gewünschte Tiefe erreicht haben.
  • Optional werden innere Abstandhalter 96 auf den Seitenwänden der verbleibenden Abschnitte der ersten Nanostrukturen 64 gebildet, wie denjenigen Seitenwänden, die durch die Source-/Drain- Vertiefungen 94 freigelegt werden. Wie anschließend in größerem Detail beschrieben wird, werden anschließend Source-/Drain-Bereiche in den Source-/Drain-Vertiefungen 94 gebildet, und die ersten Nanostrukturen 64 werden anschließend durch entsprechende Gatestrukturen ersetzt. Die inneren Abstandshalter 96 dienen als Isolationsmerkmale zwischen den anschließend gebildeten Source-/Drain-Bereichen und den anschließend gebildeten Gatestrukturen. Ferner können die inneren Abstandhalter 96 dazu verwendet werden, eine Beschädigung der anschließend gebildeten Source-/Drain-Bereiche durch anschließende Ätzprozesse, wie Ätzprozesse, die verwendet werden, um die ersten Nanostrukturen 64 anschließend zu entfernen, im Wesentlichen zu verhindern.
  • Als ein Beispiel für das Bilden der inneren Abstandhalter 96 können die Source-/Drain-Vertiefungen 94 seitlich erweitert werden. Insbesondere können die Abschnitte der Seitenwände der ersten Nanostrukturen 64, die durch die Source-/Drain-Vertiefungen 94 freigelegt sind, vertieft werden. Obwohl die Seitenwände der ersten Nanostrukturen 64 als gerade veranschaulicht sind, können die Seitenwände konkav oder konvex sein. Die Seitenwände können durch einen beliebigen annehmbaren Ätzprozess vertieft werden, wie einen, der gegenüber dem Material der ersten Nanostrukturen 64 selektiv ist (der z. B. das Material der ersten Nanostrukturen 64 mit einer schnelleren Rate selektiv ätzt als das Material der zweiten Nanostrukturen 66). Das Ätzen kann isotrop sein. Wenn beispielsweise die zweiten Nanostrukturen 66 aus Silizium gebildet werden und die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einer anderen Ausführungsform kann der Ätzprozess eine Trockenätzung unter Verwendung eines auf Fluor basierenden Gases, wie Fluorwasserstoffgas (HF-Gas), sein. In einigen Ausführungsformen kann derselbe Ätzprozess kontinuierlich durchgeführt werden, um die Source-/Drain-Vertiefungen 94 zu bilden und um die Seitenwände der ersten Nanostrukturen 64 zu vertiefen. Dann können die inneren Abstandhalter 96 durch konformales Bilden eines isolierden Materials und anschließendes Ätzen des isolierenden Materials gebildet werden. Das isolierende Material kann Siliziumnitrid oder Siliziumoxynitrid sein, obwohl jedes geeignete Material, wie Materialien mit niedriger Dielektrizitätskonstante (mit niedrigem k-Wert) mit einem k-Wert von weniger als ungefähr 3,5, verwendet werden kann. Das isolierende Material kann durch einen konformalen Abscheidungsprozess abgeschieden werden, wie ALD, CVD oder dergleichen. Das Ätzen des isolierenden Materials kann anisotrop sein. Beispielsweise kann der Ätzprozess eine Trockenätzung, wie ein RIE, ein NBE oder dergleichen, sein. Obwohl die äußeren Seitenwände der inneren Abstandshalter 96 als bündig mit den Seitenwänden der Gate-Abstandshalter 90 veranschaulicht sind, können sich die äußeren Seitenwände der inneren Abstandshalter 96 über die Seitenwände der Gate-Abstandshalter 90 hinaus erstrecken oder von diesen vertieft sein. Mit anderen Worten können die inneren Abstandshalter 96 die Seitenwandvertiefungen teilweise füllen, vollständig füllen oder überfüllen. Obwohl die Seitenwände der inneren Abstandshalter 96 als gerade veranschaulicht sind, können die Seitenwände der inneren Abstandshalter 96 ferner konkav oder konvex sein.
  • In 9A und 9B werden epitaxiale Source-/Drain-Bereiche 98 in den Source-/Drain-Vertiefungen 94 gebildet. Die epitaxialen Source-/Drain-Bereiche 98 werden in den Source-/Drain-Vertiefungen 94 derart gebildet, dass jedes Dummy-Gate 84 (und die entsprechenden Kanalbereiche 68) zwischen jeweiligen benachbarten Paaren der epitaxialen Source-/Drain-Bereiche 98 angeordnet ist. In einigen Ausführungsformen werden die Gate-Abstandshalter 90 und die inneren Abstandshalter 96 verwendet, um die epitaxialen Source-/Drain-Bereiche 98 jeweils von den Dummy-Gates 84 und den ersten Nanostrukturen 64 um einen geeigneten seitlichen Abstand zu trennen, sodass die epitaxialen Source-/Drain-Bereiche 98 nicht mit den Gates der resultierenden Nano-FETs kurzgeschlossen sind, die anschließend gebildet werden. Ein Material der epitaxialen Source-/Drain-Bereiche 98 kann so gewählt werden, dass in den jeweiligen Kanalbereichen 68 eine Spannung ausgeübt wird, um die Leistung zu verbessern.
  • Die epitaxialen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können durch Maskieren des p-Bereichs 50P gebildet werden. Dann werden die epitaxialen Source-/Drain-Bereiche 98 in dem n-Bereich 50N in den Source-/Drain-Vertiefungen 94 in dem n-Bereich 50N epitaxial aufgewachsen. Die epitaxialen Source-/Drain-Bereiche 98 können jedes annehmbare Material umfassen, das für n-Bauelemente geeignet ist. Beispielsweise können die epitaxialen Source-/Drain-Bereiche 98 in dem n-Bereich 50N Materialien umfassen, die eine Zugbelastung auf die Kanalbereiche 68 ausüben, wie Silizium, Siliziumcarbid, phosphordotiertes Siliziumcarbid, Siliziumphosphid oder dergleichen. Die epitaxialen Source-/Drain-Bereiche 98 in dem n-Bereich 50N können Flächen aufweisen, die von jeweiligen Flächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind und können Facetten aufweisen.
  • Die epitaxialen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können durch Maskieren des n-Bereichs 50N gebildet werden. Dann werden die epitaxialen Source-/Drain-Bereiche 98 in dem p-Bereich 50P in den Source-/Drain-Vertiefungen 94 in dem p-Bereich 50P epitaxial aufgewachsen. Die epitaxialen Source-/Drain-Bereiche 98 können jedes annehmbare Material umfassen, das für p-Bauelemente geeignet ist. Beispielsweise können die epitaxialen Source-/Drain-Bereiche 98 in dem p-Bereich 50P Materialien aufweisen, die eine Druckbelastung auf die Kanalbereiche 68 ausüben, wie Silizium-Germanium, bordotiertes Silizium-Germanium, Germanium, Germaniumzinn oder dergleichen. Die epitaxialen Source-/Drain-Bereiche 98 in dem p-Bereich 50P können Flächen aufweisen, die von jeweiligen Flächen der Finnen 62 und der Nanostrukturen 64, 66 erhaben sind und können Facetten aufweisen.
  • Die epitaxialen Source-/Drain-Bereiche 98, die Nanostrukturen 64, 66 und/oder die Finnen 62 können mit Fremdstoffen implantiert werden, um Source-/Drain-Bereiche zu bilden, ähnlich dem zuvor beschriebenen Prozess zum Bilden der LDD-Bereiche, gefolgt von einem Tempern. Die Source-/Drain-Bereiche können eine Fremdstoffekonzentration im Bereich von ungefähr 1019 cm-3 bis ungefähr 1021 cm-3 aufweisen. Die n- und/oder p-Fremdstoffe für Source-/Drain-Bereiche können beliebige der zuvor beschriebenen Fremdstoffe sein. In einigen Ausführungsformen können die epitaxialen Source-/Drain-Bereiche 98 während des Aufwachsens in situ dotiert werden.
  • Infolge der Epitaxieprozesse, die verwendet werden, um die epitaxialen Source-/Drain-Bereiche 98 zu bilden, weisen die oberen Flächen der epitaxialen Source-/Drain-Bereiche Facetten auf, die sich seitlich über Seitenwände der Finnen 62 und der Nanostrukturen 64, 66 hinaus nach außen ausdehnen. In einigen Ausführungsformen führen diese Facetten dazu, dass die benachbarten epitaxialen Source-/Drain-Bereiche 98 zusammenwachsen, wie durch 9C veranschaulicht. In einigen Ausführungsformen bleiben die benachbarten epitaxialen Source-/Drain-Bereiche 98 getrennt, nachdem der Epitaxieprozess beendet ist, wie durch 9D veranschaulicht ist. In den veranschaulichten Ausführungsformen wird das Abstandshalterätzen, das zur Bildung der Gate-Abstandshalter 90 verwendet wird, so angepasst, dass es auch die Finnenabstandshalter 92 auf den Seitenwänden der Finnen 62 und/oder der Nanostrukturen 64, 66 bildet. Die Finnenabstandhalter 92 werden gebildet, um einen Abschnitt der Seitenwände der Finnen 62 und/oder der Nanostrukturen 64, 66, die sich oberhalb der STI-Bereiche 70 erstrecken, abzudecken, um das epitaxiale Aufwachsen zu blockieren. In einer anderen Ausführungsform wird das Abstandshalterätzen, das zur Bildung der Gate-Abstandshalter 90 verwendet wird, so angepasst, dass keine Finnenabstandshalter gebildet werden, um es den epitaxialen Source-/Drain-Bereichen 98 zu ermöglichen, sich bis zu der Fläche der STI-Bereiche 70 zu erstrecken.
  • Die epitaxialen Source-/Drain-Bereiche 98 können eine oder mehrere Halbleitermaterialschichten umfassen. Zum Beispiel können die epitaxialen Source-/Drain-Bereiche 98 jeweils eine Auskleidungsschicht 98A, eine Hauptschicht 98B und eine Veredelungsschicht 98C (oder, allgemeiner, eine erste, eine zweite und eine dritte Halbleitermaterialschicht) aufweisen. Es kann eine beliebige Anzahl von Halbleitermaterialschichten für die epitaxialen Source-/Drain-Bereiche 98 verwendet werden. Jede der Auskleidungsschicht 98A, der Hauptschicht 98B und der Veredelungsschicht 98C kann aus einem unterschiedlichen Halbleitermaterial gebildet werden und kann mit einer unterschiedlichen Konzentration von Fremdstoffen dotiert werden. In einigen Ausführungsformen kann die Auskleidungsschicht 98A eine geringere Konzentration an Fremdstoffen als die Hauptschicht 98B aufweisen, während die Veredelungsschicht 98C eine höhere Konzentration von Fremdstoffen als die Auskleidungsschicht 98A und eine niedrigere Konzentration von Fremdstoffen als die Hauptschicht 98B aufweisen kann. In Ausführungsformen, in denen die epitaxialen Source-/Drain-Bereiche 98 drei Halbleitermaterialschichten umfassen, können die Auskleidungsschichten 98A in den Source-/Drain-Vertiefungen 94 aufgewachsen werden, können die Hauptschichten 98B auf den Auskleidungsschichten 98A aufgewachsen werden, und können die Veredelungsschichten 98C auf den Hauptschichten 98B aufgewachsen werden.
  • In 10A und 10B wird ein erstes Zwischenschichtdielektrikum (ILD - interlayer dielectric) 104 über den epitaxialen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90, den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 abgeschieden. Das erste ILD 104 kann aus einem dielektrischen Material gebildet werden und kann durch jedes geeignete Verfahren abgeschieden werden, wie CVD, plasmaunterstützte CVD (PECVD), FCVD oder dergleichen. Annehmbare dielektrische Materialien können Phosphorsilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphorsilikatglas (BPSG), undotiertes Silikatglas (USG) oder dergleichen umfassen. Andere Isoliermaterialien, die durch einen beliebigen annehmbaren Prozess gebildet werden, können verwendet werden.
  • In einigen Ausführungsformen wird eine Kontaktätzstoppschicht (CESL - Contact Etch Stop Layer) 102 zwischen dem ersten ILD 104 und den epitaxialen Source-/Drain-Bereichen 98, den Gate-Abstandshaltern 90 und den Masken 86 (falls vorhanden) oder den Dummy-Gates 84 gebildet. Die CESL 102 kann aus einem dielektrischen Material gebildet werden, wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen mit einer hohen Ätzselektivität gegenüber dem Ätzen des ersten ILD 104. Die CESL 102 kann durch jedes geeignete Verfahren gebildet werden, wie CVD, ALD oder dergleichen.
  • In 11A und 11B wird ein Entfernungsprozess durchgeführt, um zu bewirken, dass die oberen Flächen des ersten ILD 104 in der gleichen Ebene liegt wie die oberen Flächen der Masken 86 (falls vorhanden) oder der Dummy-Gates 84. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Der Planarisierungsprozess kann auch die Masken 86 auf den Dummy-Gates 84 und Abschnitte der Gate-Abstandshalter 90 entlang von Seitenwänden der Masken 86 entfernen. Nach dem Planarisierungsprozess sind die oberen Flächen der Gate-Abstandshalter 90, des ersten ILD 104, der CESL 102 und der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 koplanar (innerhalb von Prozessschwankungen). Dementsprechend werden die oberen Flächen der Masken 86 (falls vorhanden) oder der Dummy-Gates 84 durch das erste ILD 104 freigelegt. In der veranschaulichten Ausführungsform verbleiben die Masken 86, und der Planarisierungsprozess bewirkt, dass die oberen Flächen des ersten ILD 104 in der gleichen Ebene liegt wie die oberen Flächen der Masken 86.
  • In 12A und 12B werden die Masken 86 (falls vorhanden) und die Dummy-Gates 84 in einem Ätzprozess entfernt, sodass Vertiefungen 110 gebildet werden. Abschnitte der Dummy-Dielektrika 82 in den Vertiefungen 110 werden ebenfalls entfernt. In einigen Ausführungsformen werden die Dummy-Gates 84 durch einen anisotropen Trockenätzprozess entfernt. Beispielsweise kann der Ätzprozess einen Trockenätzprozess unter Verwendung eines reaktiven Gases/reaktiver Gase umfassen, der die Dummy-Gates 84 mit einer schnelleren Rate selektiv ätzt als das erste ILD 104 oder die Gate-Abstandshalter 90. Während der Entfernung können die Dummy-Dielektrika 82 als Ätzstoppschichten verwendet werden, wenn die Dummy-Gates 84 geätzt werden. Die Dummy-Dielektrika 82 werden dann entfernt. Jede Vertiefung 110 legt Abschnitte der Kanalbereiche 68 frei und/oder liegt über diesen. Abschnitte der zweiten Nanostrukturen 66, die als Kanalbereiche 68 dienen, sind zwischen benachbarten Paaren der epitaxialen Source-/Drain-Bereiche 98 angeordnet.
  • Die verbleibenden Abschnitte der ersten Nanostrukturen 64 werden dann entfernt, um die Vertiefungen 110 zu vergrößern. Die verbleibenden Abschnitte der ersten Nanostrukturen 64 können durch einen beliebigen annehmbaren Ätzprozess entfernt werden, der das Material der ersten Nanostrukturen 64 mit einer schnelleren Rate selektiv ätzt als das Material der zweiten Nanostrukturen 66. Das Ätzen kann isotrop sein. Wenn beispielsweise die ersten Nanostrukturen 64 aus Silizium-Germanium gebildet werden und die zweiten Nanostrukturen 66 aus Silizium gebildet werden, kann der Ätzprozess eine Nassätzung unter Verwendung von Tetramethylammoniumhydroxid (TMAH), Ammoniumhydroxid (NH4OH) oder dergleichen sein. In einigen Ausführungsformen wird ein Trimmprozess (nicht gesondert veranschaulicht) durchgeführt, um die Dicken der freiliegenden Abschnitte der zweiten Nanostrukturen 66 zu verringern. Wie deutlicher in 14A bis 20B veranschaulicht (wie anschließend in größerem Detail beschrieben), können die restlichen Abschnitte der zweiten Nanostrukturen 66 abgerundete Ecken aufweisen.
  • In 13A und 13B ist in den Vertiefungen 110 eine Gate-Dielektrikum-Schicht 112 gebildet. Auf der Gate-Dielektrikum-Schicht 112 wird eine Gate-Elektroden-Schicht 114 gebildet. Die Gate-Dielektrikum-Schicht 112 und die Gate-Elektroden-Schicht 114 sind Schichten für Ersatz-Gates und umgeben jeweils alle (z. B. vier) Seiten der zweiten Nanostrukturen 66.
  • Die Gate-Dielektrikum-Schicht 112 ist auf den Seitenwänden und/oder den oberen Flächen der Finnen 62; auf den oberen Flächen, den Seitenwänden und den unteren Flächen der zweiten Nanostrukturen 66; und auf den Seitenwänden der Gate-Abstandshalter 90 angeordnet. Die Gate-Dielektrikum-Schicht 112 kann auch auf den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 gebildet werden. Die Gate-Dielektrikum-Schicht 112 kann ein Oxid, wie ein Siliziumoxid oder ein Metalloxid, ein Silikat, wie ein Metallsilikat, Kombinationen davon, Mehrfachschichten davon oder dergleichen umfassen. Die Gate-Dielektrikum-Schicht 112 kann ein dielektrisches Material umfassen, das einen k-Wert von größer als ungefähr 7,0 aufweist, wie ein Metalloxid oder ein Silikat von Hafnium, Aluminium, Zirkonium, Lanthan, Mangan, Barium, Titan, Blei und Kombinationen davon. Obwohl eine einschichtige Gate-Dielektrikum-Schicht 112 in 13A und 13B veranschaulicht ist, kann die Gate-Dielektrikum-Schicht 112 eine Grenzflächenschicht und eine Hauptschicht umfassen, wie anschließend in größerem Detail beschrieben wird.
  • Die Gate-Elektroden-Schicht 114 kann ein metallhaltiges Material, wie Titannitrid, Titanoxid, Tantalnitrid, Tantalcarbid, Kobalt, Ruthenium, Aluminium, Wolfram, Kombinationen davon, Mehrfachschichten davon oder dergleichen aufweisen. Obwohl eine einschichtige Gate-Elektroden-Schicht 114 in 13A und 13B veranschaulicht ist, kann die Gate-Elektroden-Schicht 114 eine beliebige Anzahl von Austrittsarbeit einstellenden Schichten, eine beliebige Anzahl von Sperrschichten, eine beliebige Anzahl von Haftschichten und ein Füllmaterial umfassen, wie anschließend in größerem Detail wird.
  • 14A bis 20B veranschaulichen einen Prozess, bei dem Schichten für Ersatz-Gates in den Vertiefungen 110 gebildet werden. Es sind Merkmale in Bereichen veranschaulicht, die einem Bereich 50R in 13A ähnlich sind. 24 ist ein Flussdiagramm eines beispielhaften Verfahrens 200 zum Bilden der Ersatz-Gate-Schichten gemäß einigen Ausführungsformen. 14A bis 20B werden in Verbindung mit 24 beschrieben. Wenn die Ersatz-Gate-Schichten gebildet werden, werden eine erste Austrittsarbeit einstellende Schicht 114A (siehe 17A) und eine Sperrschicht 114B (siehe 18A) in einem ersten Bereich gebildet (z. B. dem n-Bereich 50N). Dann wird eine zweite Austrittsarbeit einstellende Schicht 114C (siehe 18A und 18B) sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch einem zweiten Bereich (z. B. dem p-Bereich 50P) gebildet. Da der erste Bereich (z. B. der n-Bereich 50N) und der zweite Bereich (z. B. der p-Bereich 50P) unterschiedliche Mengen und Arten von Austrittsarbeit einstellenden Schichten umfassen, haben die in diesen Bereichen gebildeten Bauelemente unterschiedliche Schwellenspannungen. Ferner ist die Sperrschicht 114B zwischen der ersten Austrittsarbeit einstellenden Schicht 114A und der zweiten Austrittsarbeit einstellenden Schicht 114C in dem ersten Bereich (z. B. dem n-Bereich 50N) angeordnet. Während der Verarbeitung wird die zweite Austrittsarbeit einstellende Schicht 114C behandelt. Die Sperrschicht 114B schützt die darunterliegende erste Austrittsarbeit einstellende Schicht 114A derart, dass ihre Austrittsarbeit während der Behandlung der zweiten Austrittsarbeit einstellenden Schicht 114C nicht modifiziert wird. Somit können die Schwellenspannungen der resultierenden Bauelemente genauer eingestellt werden.
  • In 14A und 14B sowie bei dem Schritt 202 des Verfahrens 200 wird die Gate-Dielektrikum-Schicht 112 in der Vertiefung 110 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Die Gate-Dielektrikum-Schicht 112 kann auch auf den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 abgeschieden werden (siehe 13B). In der veranschaulichten Ausführungsform ist die Gate-Dielektrikum-Schicht 112 mehrschichtig, einschließlich einer Grenzflächenschicht 112A (oder allgemeiner einer ersten Gate-Dielektrikum-Schicht) und einer darüberliegenden dielektrischen Schicht 112B mit hohem k-Wert (oder allgemeiner einer zweiten Gate-Dielektrikum-Schicht). Die Grenzflächenschicht 112A kann aus Siliziumoxid gebildet werden, und die dielektrische Schicht 112B mit hohem k-Wert kann aus Hafniumoxid gebildet werden. Die Bildungsverfahren der Gate-Dielektrikum-Schicht 112 können Molekularstrahlabscheidung (MBD - Molecular-Beam Deposition), ALD, PECVD und dergleichen umfassen. Die Gate-Dielektrikum-Schicht 112 umgibt alle (z. B. vier) Seiten der zweiten Nanostrukturen 66.
  • In 15A und 15B sowie bei dem Schritt 204 des Verfahrens 200 wird eine erste Austrittsarbeit einstellende Schicht 114A auf der Gate-Dielektrikum-Schicht 112 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Wie anschließend in größerem Detail beschrieben wird, wird die erste Austrittsarbeit einstellende Schicht 114A strukturiert, um Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A in dem zweiten Bereich (z. B. dem p-Bereich 50P) zu entfernen, während Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A in dem ersten Bereich (z. B. dem n-Bereich 50N) verbleiben. Wenn die erste Austrittsarbeit einstellende Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) entfernt wird, kann sie als „nleitende Austrittsarbeit einstellende Schicht“ bezeichnet werden. Die erste Austrittsarbeit einstellende Schicht 114A umfasst ein beliebiges annehmbares Material, um eine Austrittsarbeit eines Bauelements bei einer gegebenen Anwendung des zu bildenden Bauelements auf eine gewünschte Menge einzustellen, und kann unter Verwendung eines beliebigen annehmbaren Abscheidungsprozesses abgeschieden werden. Wenn beispielsweise die erste Austrittsarbeit einstellende Schicht 114A eine n-leitende Austrittsarbeit einstellende Schicht ist, kann sie aus einem n-Austrittsarbeitsmetall (NWFM), wie Titan-Aluminium (TiAl), Titan-Aluminium-Carbid (TiAlC), Titan-Aluminium-Nitrid (TiAlN), Kombinationen davon oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Obwohl die erste Austrittsarbeit einstellende Schicht 114A als einschichtig gezeigt ist, kann die erste Austrittsarbeit einstellende Schicht 114A mehrschichtig sein. Zum Beispiel kann die erste Austrittsarbeit einstellende Schicht 114A eine Schicht aus Titanaluminiumnitrid (TiAlN) und eine Schicht aus Titannitrid (TiN) umfassen.
  • Die erste Austrittsarbeit einstellende Schicht 114A ist mit einer Dicke gebildet, die ausreicht, um ein Zusammenwachsen der Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A zwischen den zweiten Nanostrukturen 66 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P) zu bewirken. Folglich werden die Abschnitte 110MN, 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 vollständig durch die erste Austrittsarbeit einstellende Schicht 114A gefüllt, sodass in den Abschnitten 110MN, 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 keine Sperrschichten (anschließend in größerem Detail beschrieben) gebildet werden können. Indem keine Sperrschichten zwischen den zweiten Nanostrukturen 66 abgeschieden werden, kann die Herstellbarkeit insbesondere in fortgeschrittenen Halbleiterknoten mit kleinen Merkmalsgrößen verbessert werden, da die Sperrschichtmaterialien schwierig auf kleinen Räumen abgeschieden werden können. Jeweilige Abschnitte der Gate-Dielektrikum-Schicht 112 umwickeln jeweils die zweiten Nanostrukturen 66, und jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht 112 sowohl in dem ersten Bereich (z. B. dem n-Bereich 50N) als auch dem zweiten Bereich (z. B. dem p-Bereich 50P). In einigen Ausführungsformen wird die erste Austrittsarbeit einstellende Schicht 114A mit einer Dicke in einem Bereich von ungefähr 5 Å bis ungefähr 40 Å gebildet, wie in einem Bereich von ungefähr 20 Å bis ungefähr 25 Å. Das Bilden der ersten Austrittsarbeit einstellenden Schicht 114A mit einer Dicke von weniger als ungefähr 20 Å führt möglicherweise nicht zu einem Zusammenwachsen von Abschnitten der ersten Austrittsarbeit einstellenden Schicht 114A. Das Bilden der ersten Austrittsarbeit einstellenden Schicht 114A mit einer Dicke von mehr als ungefähr 25 Å kann sich negativ auf die Schwellenspannungen der resultierenden Bauelemente auswirken.
  • In 16A und 16B sowie bei dem Schritt 206 des Verfahrens 200 wird eine Sperrschicht 114B auf der ersten Austrittsarbeit einstellenden Schicht 114A abgeschieden. Wie anschließend in größerem Detail beschrieben wird, wird über der Sperrschicht 114B eine zweite Austrittsarbeit einstellende Schicht gebildet, und es wird ein Behandlungsprozess durchgeführt, um die Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht zu modifizieren. Die Sperrschicht 114B wird aus einem Sperrmaterial gebildet, das gegen dem Behandlungsprozess widerstandsfähig ist, wodurch es eine Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A hemmt (z. B. im Wesentlichen verhindert oder wenigstens verringert). In einigen Ausführungsformen umfasst der Behandlungsprozess einen Fluorierungsprozess und/oder einen Oxidationsprozess und wird die Sperrschicht 114B aus einem Sperrmaterial gebildet, das gegen der Fluorierung und/oder der Oxidation widerstandsfähig ist, um die Fluorierung und/oder Oxidation der ersten Austrittsarbeit einstellenden Schicht 114A zu hemmen. Wie anschließend in größerem Detail beschrieben, umfassen geeignete Sperrmaterialien amorphes Silizium, Tantalnitrid, fluorfreies Wolfram oder dergleichen, die durch CVD, ALD oder dergleichen abgeschieden werden können.
  • Die Sperrschicht 114B wird mit einer Dicke gebildet, die ausreicht, um eine Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A während der anschließenden Verarbeitung zu hemmen. In einigen Ausführungsformen wird die Sperrschicht 114B mit einer Dicke in einem Bereich von ungefähr 15 Å bis ungefähr 80 Å gebildet. Das Bilden der Sperrschicht 114B mit einer Dicke von weniger als ungefähr 15 Å schützt möglicherweise die erste Austrittsarbeit einstellende Schicht 114A nicht ausreichend. Das Bilden der Sperrschicht 114B mit einer Dicke von mehr als ungefähr 80 Å kann sich negativ auf die Schwellenspannungen der resultierenden Bauelemente auswirken. Die Sperrschicht 114B kann eine geringere Dicke als die erste Austrittsarbeit einstellende Schicht 114A aufweisen.
  • In einigen Ausführungsformen wird die Sperrschicht 114B aus amorphem Silizium gebildet, das durch einen CVD-Prozess abgeschieden wird. Insbesondere kann die Sperrschicht 114B durch Platzieren des Substrats 50 in einer Abscheidungskammer und Abgeben eines Siliziumquellenvorläufers in die Abscheidungskammer gebildet werden. Annehmbare Siliziumquellenvorläufer umfassen binäre Silizium-Wasserstoff-Verbindungssilane, wie Silan (SiH4), Disilan (Si2H6) und dergleichen. Der CVD-Prozess kann bei einer Temperatur im Bereich von ungefähr 300 °C bis ungefähr 500 °C und einem Druck im Bereich von ungefähr 2 Torr bis ungefähr 35 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der CVD-Prozess kann für eine Dauer im Bereich von ungefähr 5 Sekunden bis ungefähr 750 Sekunden durchgeführt werden, z. B. indem der Siliziumquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Das Durchführen des CVD-Prozesses mit Parametern in diesen Bereichen erlaubt das Bilden der Sperrschicht 114B auf eine gewünschte Dicke (zuvor beschrieben) und Qualität. Das Durchführen des CVD-Prozesses mit Parametern außerhalb dieser Bereiche kann das Bilden der Sperrschicht 114B auf die gewünschte Dicke oder Qualität nicht erlauben.
  • In einigen Ausführungsformen wird die Sperrschicht 114B aus Tantalnitrid gebildet, das durch einen ALD-Prozess abgeschieden wird. Insbesondere kann die Sperrschicht 114B durch Platzieren des Substrats 50 in einer Abscheidungskammer und zyklisches Abgeben von mehreren Quellenvorläufern in die Abscheidungskammer gebildet werden. Ein erster Impuls eines ALD-Zyklus wird durch Abgabe eines Tantalquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Tantalquellenvorläufer umfassen Pentakis(dimethylamido)tantal (PDMAT) (C10H30N5Ta), Tantalchlorid (TaCl5) und dergleichen. Der erste Impuls kann bei einer Temperatur im Bereich von ungefähr 250 °C bis ungefähr 450 °C und einem Druck im Bereich von ungefähr 2 Torr bis ungefähr 35 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der erste Impuls kann für eine Dauer im Bereich von ungefähr 0,1 Sekunden bis ungefähr 60 Sekunden durchgeführt werden, beispielsweise indem der Tantalquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der Tantalquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Ein zweiter Impuls des ALD-Zyklus wird durch Abgabe eines Stickstoffquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Stickstoffquellenvorläufer umfassen Ammoniak (NH3) und dergleichen. Der zweite Impuls kann bei einer Temperatur im Bereich von ungefähr 250 °C bis ungefähr 450 °C und einem Druck im Bereich von ungefähr 2 Torr bis ungefähr 35 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der zweite Impuls kann für eine Dauer im Bereich von ungefähr 0,1 Sekunden bis ungefähr 60 Sekunden durchgeführt werden, z. B. indem der Stickstoffquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der Stickstoffquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Jeder ALD-Zyklus führt zur Abscheidung einer Atomschicht (manchmal als Monoschicht bezeichnet) von Tantalnitrid. Die ALD-Zyklen werden wiederholt, bis die Sperrschicht 114B eine gewünschte Dicke aufweist (zuvor beschrieben). Die ALD-Zyklen können ungefähr 5 bis ungefähr 180 Mal wiederholt werden. Das Durchführen des ALD-Prozesses mit Parametern in diesen Bereichen erlaubt das Bilden der Sperrschicht 114B auf eine gewünschte Dicke (zuvor beschrieben) und Qualität. Das Durchführen des ALD-Prozesses mit Parametern außerhalb dieser Bereiche kann das Bilden der Sperrschicht 114B auf die gewünschte Dicke oder Qualität nicht erlauben.
  • In einigen Ausführungsformen wird die Sperrschicht 114B aus fluorfreiem Wolfram gebildet, das durch einen ALD-Prozess abgeschieden wird. Insbesondere kann die Sperrschicht 114B durch Platzieren des Substrats 50 in einer Abscheidungskammer und zyklisches Abgeben von mehreren Quellenvorläufern in die Abscheidungskammer gebildet werden. Fluorfreies Wolfram ist Wolfram, das kein Fluor aufweist, und wird mit einem fluorfreien Wolframquellenvorläufer abgeschieden, z. B. einem Wolframquellenvorläufer, der kein Fluor aufweist. Das Abscheiden von Wolfram mit einem fluorfreien Wolframquellenvorläufer vermeidet die Erzeugung von unerwünschten korrosiven Fluoridnebenprodukten während der Abscheidung. Ein erster Impuls eines ALD-Zyklus wird durch Abgabe eines fluorfreien Wolframquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare fluorfreie Wolframquellenvorläufer umfassen Wolframchlorid, wie Wolfram(V)-chlorid (WCl5) und dergleichen. Der erste Impuls kann bei einer Temperatur im Bereich von ungefähr 350 °C bis ungefähr 500 °C und einem Druck im Bereich von ungefähr 5 Torr bis ungefähr 40 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der erste Impuls kann für eine Dauer im Bereich von ungefähr 0,1 Sekunden bis ungefähr 60 Sekunden durchgeführt werden, z. B. indem der fluorfreie Wolframquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der fluorfreie Wolframquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Ein zweiter Impuls des ALD-Zyklus wird durch Abgabe eines Wasserstoffquellenvorläufers in die Abscheidungskammer durchgeführt. Annehmbare Wasserstoffquellenvorläufer umfassen Wasserstoffgas (H2) und dergleichen. Der zweite Impuls kann bei einer Temperatur im Bereich von ungefähr 350 °C bis ungefähr 500 °C und einem Druck im Bereich von ungefähr 5 Torr bis ungefähr 40 Torr durchgeführt werden, z. B. indem die Abscheidungskammer bei einer solchen Temperatur und einem solchen Druck gehalten wird. Der zweite Impuls kann für eine Dauer im Bereich von ungefähr 0,1 Sekunden bis ungefähr 60 Sekunden durchgeführt werden, z. B. indem der Wasserstoffquellenvorläufer in der Abscheidungskammer für eine solche Dauer gehalten wird. Dann wird der Wasserstoffquellenvorläufer aus der Abscheidungskammer gespült, wie durch einen annehmbaren Vakuumprozess und/oder durch Einströmen eines Inertgases in die Abscheidungskammer. Jeder ALD-Zyklus führt zur Abscheidung einer Atomschicht (manchmal als Monoschicht bezeichnet) von Wolfram. Die ALD-Zyklen werden wiederholt, bis die Sperrschicht 114B eine gewünschte Dicke aufweist (zuvor beschrieben). Die ALD-Zyklen können ungefähr 5 bis ungefähr 180 Mal wiederholt werden. Das Durchführen des ALD-Prozesses mit Parametern in diesen Bereichen erlaubt das Bilden der Sperrschicht 114B auf eine gewünschte Dicke (zuvor beschrieben) und Qualität. Das Durchführen des ALD-Prozesses mit Parametern außerhalb dieser Bereiche kann das Bilden der Sperrschicht 114B auf die gewünschte Dicke oder Qualität nicht erlauben.
  • In 17A und 17B sowie bei dem Schritt 208 des Verfahrens 200 werden Abschnitte der Sperrschicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) entfernt. Das Entfernen der Abschnitte der Sperrschicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A aus dem zweiten Bereich (z. B. dem p-Bereich 50P) vergrößert die Vertiefungen 110 in dem zweiten Bereich, um die Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P) wieder freizulegen. Die Entfernung kann durch annehmbare Fotolithographie- und Ätztechniken erfolgen. Das Ätzen kann einen beliebigen annehmbaren Ätzprozess umfassen, wie ein reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE - Neutral Beam Etch) oder dergleichen oder eine Kombinationen davon. Das Ätzen kann anisotrop sein.
  • In einigen Ausführungsformen wird ein einzelnes Ätzen durchgeführt, um die Abschnitte der Sperrschicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A zu entfernen. Das einzelne Ätzen kann gegenüber der Materialien der Sperrschicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A selektiv sein (das z.B. die Materialien der Sperrschicht 114B und der ersten Austrittsarbeit einstellenden Schicht 114A mit einer schnelleren Rate selektiv ätzt als das Material/die Materialien der Gate-Dielektrikum-Schicht 112). Wenn beispielsweise die Sperrschicht 114B aus Tantalnitrid und die erste Austrittsarbeit einstellende Schicht 114A aus Titanaluminium gebildet werden, können sie beide durch eine Nassätzung unter Verwendung von Ammoniumhydroxid (NH4OH) entfernt werden.
  • In einigen Ausführungsformen wird ein erstes Ätzen durchgeführt, um die Abschnitte der Sperrschicht 114B zu entfernen, und wird ein zweites Ätzen durchgeführt, um die Abschnitte der ersten Austrittsarbeit einstellenden Schicht 114A zu entfernen. Das erste Ätzen kann gegenüber der Sperrschicht 114B selektiv sein (das z. B das Material der Sperrschicht 114B mit einer schnelleren Rate selektiv ätzt als das Material der ersten Austrittsarbeit einstellenden Schicht 114A). Wenn beispielsweise die Sperrschicht 114B aus amorphem Silizium gebildet wird, kann sie durch eine Nassätzung unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF) entfernt werden. Das zweite Ätzen kann gegenüber der ersten Austrittsarbeit einstellenden Schicht 114A selektiv sein (das z. B. das Material der ersten Austrittsarbeit einstellenden Schicht 114A mit einer schnelleren Rate selektiv ätzt als das Material der Gate-Dielektrikum-Schicht 112). Wenn beispielsweise die erste Austrittsarbeit einstellende Schicht 114A aus Titanaluminium gebildet wird, kann sie durch eine Nassätzung unter Verwendung von Ammoniumhydroxid (NH4OH) entfernt werden.
  • In 18A und 18B sowie bei dem Schritt 210 des Verfahrens 200 wird eine zweite Austrittsarbeit einstellende Schicht 114C auf der Sperrschicht 114B in dem ersten Bereich (z. B. dem n-Bereich 50N) und auf der Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P) abgeschieden. Wie anschließend in größerem Detail beschrieben wird, werden p-Bauelemente mit der zweiten Austrittsarbeit einstellenden Schicht 114C in dem zweiten Bereich (z. B. dem p-Bereich 50P) gebildet und werden n-Bauelemente mit der ersten Austrittsarbeit einstellenden Schicht 114A und der zweiten Austrittsarbeit einstellenden Schicht 114C in dem ersten Bereich (z. B. dem n-Bereich 50N) gebildet. Wenn die zweite Austrittsarbeit einstellende Schicht 114C die einzige Austrittsarbeit einstellende Schicht in dem zweiten Bereich (z. B. dem p-Bereich 50P) ist, kann sie als „p-leitende Austrittsarbeit einstellende Schicht“ bezeichnet werden. Die zweite Austrittsarbeit einstellende Schicht 114C umfasst ein beliebiges annehmbares Material, um eine Austrittsarbeit eines Bauelements bei einer gegebenen Anwendung des zu bildenden Bauelements auf eine gewünschte Menge einzustellen, und kann unter Verwendung eines beliebigen annehmbaren Abscheidungsprozesses abgeschieden werden. Wenn beispielsweise die zweite Austrittsarbeit einstellende Schicht 114C eine p-leitende Austrittsarbeit einstellende Schicht ist, kann sie aus einem p-Austrittsarbeitsmetall (PWFM), wie Titannitrid (TiN), Tantalnitrid (TaN), Kombinationen davon oder dergleichen gebildet werden, das durch ALD, CVD, PVD oder dergleichen, abgeschieden werden kann. Obwohl die zweite Austrittsarbeit einstellende Schicht 114C als einschichtig gezeigt ist, kann die zweite Austrittsarbeit einstellende Schicht 114C mehrschichtig sein. Zum Beispiel kann die zweite Austrittsarbeit einstellende Schicht 114C eine Schicht aus Titannitrid (TiN) und eine Schicht aus Tantalnitrid (TaN) umfassen.
  • Die zweite Austrittsarbeit einstellende Schicht 114C ist mit einer Dicke gebildet, die ausreicht, um ein Zusammenwachsen der Abschnitte der zweiten Austrittsarbeit einstellenden Schicht 114C zwischen den zweiten Nanostrukturen 66 in dem zweiten Bereich (z. B. dem p-Bereich 50P) zu bewirken. Folglich werden die Abschnitte 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 vollständig durch die zweite Austrittsarbeit einstellende Schicht 114C gefüllt, sodass in den Abschnitten 110MP der Vertiefungen 110 zwischen den zweiten Nanostrukturen 66 keine Haftschichten (anschließend in größerem Detail beschrieben) gebildet werden können. Indem keine Haftschichten zwischen den zweiten Nanostrukturen 66 abgeschieden werden, kann die Herstellbarkeit insbesondere in fortgeschrittenen Halbleiterknoten mit kleinen Merkmalsgrößen verbessert werden, da die Haftschichtmaterialien schwierig auf kleinen Räumen abgeschieden werden können. Jeweilige Abschnitte der Gate-Dielektrikum-Schicht 112 umwickeln jeweils die zweiten Nanostrukturen 66, und jeweilige Abschnitte der zweiten Austrittsarbeit einstellenden Schicht 114C füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P). In einigen Ausführungsformen wird die zweite Austrittsarbeit einstellende Schicht 114C mit einer Dicke in einem Bereich von ungefähr 10 Å bis ungefähr 200 Å gebildet, wie in einem Bereich von ungefähr 20 Å bis ungefähr 25 Å. Das Bilden der zweiten Austrittsarbeit einstellenden Schicht 114C mit einer Dicke von weniger als ungefähr 20 Å führt möglicherweise nicht zu einem Zusammenwachsen von Abschnitten der zweiten Austrittsarbeit einstellenden Schicht 114C. Das Bilden der zweiten Austrittsarbeit einstellenden Schicht 114C mit einer Dicke von mehr als ungefähr 25 Å kann sich negativ auf die Schwellenspannungen der resultierenden Bauelemente auswirken. Die Sperrschicht 114B kann eine geringere Dicke als die zweite Austrittsarbeit einstellende Schicht 114C aufweisen.
  • Das Material der ersten Austrittsarbeit einstellenden Schicht 114A unterscheidet sich von dem Material der zweiten Austrittsarbeit einstellenden Schicht 114C. Wie oben erwähnt, kann die erste Austrittsarbeit einstellende Schicht 114A aus einem n-Austrittsarbeitsmetall (NWFM) gebildet werden und kann die zweite Austrittsarbeit einstellende Schicht 114C aus einem p-Austrittsarbeitsmetall (PWFM) gebildet werden. Das NWFM unterscheidet sich von dem PWFM. Femer unterscheidet sich das Material der Sperrschicht 114B von dem Material der ersten Austrittsarbeit einstellenden Schicht 114A und dem Material der zweiten Austrittsarbeit einstellenden Schicht 114C.
  • In 19A und 19B sowie bei dem Schritt 212 des Verfahrens 200 wird ein Behandlungsprozess 116 auf der zweiten Austrittsarbeit einstellenden Schicht 114C durchgeführt. Durch den Behandlungsprozess 116 werden ein oder mehrere Austrittsarbeit einstellende Elemente in die zweite Austrittsarbeit einstellende Schicht 114C aufgenommen, um die Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht 114C zu modifizieren. Wie anschließend in größerem Detail beschrieben wird, hemmt die Sperrschicht 114B während des Behandlungsprozesses 116 das Eindringen des Austrittsarbeit einstellenden Elements/der Austrittsarbeit einstellenden Elemente in die erste Austrittsarbeit einstellende Schicht 114A. In einigen Ausführungsformen umfasst der Behandlungsprozess 116 einen Fluorierungsbehandlungsprozess (dadurch wird Fluor aufgenommen), einen Oxidationsbehandlungsprozess (dadurch wird Sauerstoff aufgenommen), Kombinationen davon oder dergleichen.
  • In einigen Ausführungsformen umfasst der Behandlungsprozess 116 einen Fluorierungsbehandlungsprozess, wobei die zweite Austrittsarbeit einstellende Schicht 114C Fluor ausgesetzt wird. Der Fluorierungsbehandlungsprozess kann in einer Kammer, wie einer Ätzkammer, durchgeführt werden. Eine Gasquelle wird in der Kammer abgegeben. Die Gasquelle umfasst ein Fluor-Quellengas und ein Trägergas. Das Fluor-Quellengas kann Fluorgas (F2-Gas), Stickstofftrifluorid (NF3), Wolframfluorid (VI) (WF6), Kombinationen davon oder dergleichen sein. Das Trägergas kann ein Inertgas, wie Argon (Ar), Helium (He), Xenon (Xe), Neon (Ne), Krypton (Kr), Radon (Rn), Kombinationen davon oder dergleichen, sein. Das Fluor in der Gasquelle wird in die zweite Austrittsarbeit einstellende Schicht 114C aufgenommen, wodurch die Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht 114C modifiziert wird.
  • In einigen Ausführungsformen umfasst der Behandlungsprozess 116 einen Oxidationsbehandlungsprozess, wobei die zweite Austrittsarbeit einstellende Schicht 114C Sauerstoff ausgesetzt wird. Beispielsweise kann ein Tempern bei niedriger Temperatur in einer Umgebung durchgeführt werden, die Sauerstoff und ein Inertgas enthält. Das Inertgas kann Argon (Ar), Helium (He), Xenon (Xe), Neon (Ne), Krypton (Kr), Radon (Rn), Kombinationen davon oder dergleichen sein. Das Tempern bei niedriger Temperatur kann bei einer Temperatur von ungefähr 150 °C bis ungefähr 500 °C durchgeführt werden. Der Sauerstoff in der Umgebung wird in die zweite Austrittsarbeit einstellende Schicht 114C aufgenommen, wodurch die Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht 114C modifiziert wird.
  • Die Sperrschicht 114B hemmt eine Behandlung der ersten Austrittsarbeit einstellenden Schicht 114A durch den Behandlungsprozess 116. Insbesondere bedeckt die Sperrschicht 114B während des Behandlungsprozesses 116 die erste Austrittsarbeit einstellende Schicht 114A, um das Eindringen des Austrittsarbeit einstellenden Elements/der Austrittsarbeit einstellenden Elemente in die erste Austrittsarbeit einstellende Schicht 114A zu hemmen. Wenn beispielsweise der Behandlungsprozess 116 einen Fluorierungsbehandlungsprozess umfasst, wird die Sperrschicht 114B aus einem gegen der Fluorierung widerstandsfähigen Material gebildet, wie amorphem Silizium. Wenn die erste Austrittsarbeit einstellende Schicht 114A aus einem Material gebildet wird, das Aluminium umfasst, kann daher die Bildung von Al-F-Bindungen gehemmt werden. Wenn der Behandlungsprozess 116 einen Oxidationsbehandlungsprozess umfasst, wird ähnlich die Sperrschicht 114B aus einem gegen der Oxidation widerstandsfähigen Material gebildet, wie Tantalnitrid oder fluorfreies Wolfram. Wenn die erste Austrittsarbeit einstellende Schicht 114A aus einem Material gebildet wird, das Aluminium umfasst, kann daher die Bildung von Al-O-Bindungen gehemmt werden. Eine Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A kann gehemmt werden. Somit können die Schwellenspannungen der resultierenden Bauelemente genauer eingestellt werden.
  • In einigen Ausführungsformen verringert die Sperrschicht 114B eine Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A während dem Behandlungsprozess 116. Insbesondere können einige Austrittsarbeit einstellende Elemente in die erste Austrittsarbeit einstellende Schicht 114A aufgenommen werden, aber um einen kleinen Betrag, sodass sich die Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A nach dem Behandlungsprozess 116 von der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A vor dem Behandlungsprozess 116 um einen kleinen Betrag unterscheidet. Beispielsweise kann der Behandlungsprozess 116 die Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A um weniger als ungefähr 15 % modifizieren (z. B. erhöhen oder verringern).
  • In einigen Ausführungsformen verhindert die Sperrschicht 114B im Wesentlichen eine Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A während dem Behandlungsprozess 116. Insbesondere wird/werden kein Austrittsarbeit einstellendes Element/keine Austrittsarbeit einstellenden Elemente in die erste Austrittsarbeit einstellende Schicht 114A aufgenommen, sodass die Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A vor und nach dem Behandlungsprozess 116 gleich ist. Daher weist die erste Austrittsarbeit einstellende Schicht 114A kein Austrittsarbeit einstellendes Element/keine Austrittsarbeit einstellenden Elemente auf, das/die in die zweite Austrittsarbeit einstellende Schicht 114C aufgenommen wird/werden.
  • Ob die Sperrschicht 114B die Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A während des Behandlungsprozesses 116 verringert oder im Wesentlichen verhindert, kann von der Dicke der Sperrschicht 114B abhängen. Insbesondere ist eine dickere Sperrschicht 114B widerstandsfähiger gegen dem Behandlungsprozess 116.
  • In 20A und 20B sowie bei dem Schritt 214 des Verfahrens 200 wird eine Füllschicht 114E auf der zweiten Austrittsarbeit einstellenden Schicht 114C abgeschieden. Optional wird eine Haftschicht 114D zwischen der Füllschicht 114E und der zweiten Austrittsarbeit einstellenden Schicht 114C gebildet. Nachdem die Bildung beendet ist, umfasst die Gate-Elektroden-Schicht 114 die erste Austrittsarbeit einstellende Schicht 114A, die Sperrschicht 114B, die zweite Austrittsarbeit einstellende Schicht 114C, die Haftschicht 114D und die Füllschicht 114E.
  • Die Haftschicht 114D umfasst ein beliebiges annehmbares Material, um die Haftung zu fördern und Diffusion zu verhindern. Zum Beispiel kann die Haftschicht 114D aus einem Metall oder Metallnitrid, wie Titannitrid, Titanaluminid, Titanaluminiumnitrid, siliziumdotiertes Titannitrid, Tantalnitrid oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann.
  • Die Füllschicht 114E umfasst ein beliebiges annehmbares Material mit einem geringen Widerstand. Zum Beispiel kann die Füllschicht 114E aus einem Metall, wie Wolfram, Aluminium, Kobalt, Ruthenium, Kombinationen davon oder dergleichen, gebildet werden, das durch ALD, CVD, PVD oder dergleichen abgeschieden werden kann. Die Füllschicht 114E füllt die verbleibenden Abschnitte der Vertiefungen 110.
  • Obwohl die Sperrschicht 114B verwendet wird, um die erste Austrittsarbeit einstellende Schicht 114A während der Verarbeitung zu schützen, beeinflusst sie die elektrischen Eigenschaften der resultierenden Bauelemente möglicherweise nicht erheblich und kann in den Abschnitten der Gate-Elektroden-Schicht 114 in dem ersten Bereich (z. B. dem n-Bereich 50N) zurückgelassen werden. Die Sperrschicht 114B ist zwischen den Abschnitten der ersten Austrittsarbeit einstellenden Schicht 114A und der zweiten Austrittsarbeit einstellenden Schicht 114C in dem ersten Bereich (z. B. dem n-Bereich 50N) angeordnet und trennt diese physisch. Im Gegensatz dazu ist der zweite Bereich (z. B. der p-Bereich 50P) frei von der ersten Austrittsarbeit einstellenden Schicht 114A und der Sperrschicht 114B, sodass die zweite Austrittsarbeit einstellende Schicht 114C und die Gate-Dielektrikum-Schicht 112 in dem zweiten Bereich (z. B. dem p-Bereich 50P) nicht durch eine Sperrschicht getrennt sind und in physischem Kontakt stehen können. Somit kann sich in dem zweiten Bereich (z. B. dem p-Bereich 50P) das Material der zweiten Austrittsarbeit einstellenden Schicht 114C kontinuierlich zwischen der Gate-Dielektrikum-Schicht 112 und der Haftschicht 114D erstrecken.
  • In 21A und 21B wird ein Entfernungsprozess durchgeführt, um die überschüssigen Abschnitte der Materialien der Gate-Dielektrikum-Schicht 112 und der Gate-Elektroden-Schicht 114 zu entfernen, wobei sich die überschüssigen Abschnitte über den oberen Flächen des ersten ILD 104 und der Gate-Abstandshalter 90 befinden, wodurch die Gate-Dielektrika 122 und die Gate-Elektroden 124 gebildet werden. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie chemisch-mechanisches Polieren (CMP), ein Rückätzprozess, Kombinationen davon oder dergleichen, verwendet werden. Die Gate-Dielektrikum-Schicht 112 weist, wenn sie planarisiert ist, Abschnitte auf, die in den Vertiefungen 110 verbleiben (wodurch die Gate-Dielektrika 122 gebildet werden). Die Gate-Elektroden-Schicht 114 weist, wenn sie planarisiert ist, Abschnitte auf, die in den Vertiefungen 110 verbleiben (wodurch die Gate-Elektroden 124 gebildet werden). Die oberen Flächen der Gate-Abstandshalter 90; die CESL 102; das erste ILD 104; die Gate-Dielektrika 122 (z. B. die Grenzflächenschichten 112A und die dielektrischen Schichten 112B mit hohem k-Wert, siehe 20A und 20B); und die Gate-Elektroden 124 (z. B. die erste Austrittsarbeit einstellende Schicht 114A, die Sperrschicht 114B, die zweite Austrittsarbeit einstellende Schicht 114C, die Haftschicht 114D und die Füllschicht 114E, siehe 20A und 20B) sind koplanar (innerhalb von Prozessschwankungen). Die Gate-Dielektrika 122 und die Gate-Elektroden 124 bilden Ersatz-Gates der resultierenden Nano-FETs. Jedes jeweilige Paar eines Gate-Dielektrikums 122 und einer Gate-Elektrode 124 kann zusammen als eine „Gatestruktur“ bezeichnet werden. Die Gatestrukturen erstrecken sich jeweils entlang von oberen Fläche, Seitenwänden und unteren Flächen eines Kanalbereichs 68 der zweiten Nanostrukturen 66.
  • In 22A und 22B wird ein zweites ILD 134 über den Gate-Abstandshaltern 90, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 abgeschieden. In einigen Ausführungsformen ist das zweite ILD 134 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. In einigen Ausführungsformen wird das zweite ILD 134 aus einem dielektrischen Material, wie PSG, BSG, BPSG, USG oder dergleichen, gebildet, das durch ein beliebiges geeignetes Verfahren abgeschieden werden kann, wie beispielsweise CVD, PECVD oder dergleichen.
  • In einigen Ausführungsformen ist eine Ätzstoppschicht (ESL - etch stop layer) 132 zwischen dem zweiten ILD 134 und den Gate-Abstandshaltern 90, der CESL 102, dem ersten ILD 104, den Gate-Dielektrika 122 und den Gate-Elektroden 124 gebildet. Die ESL 132 kann ein dielektrisches Material umfassen, wie Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid oder dergleichen mit einer hohen Ätzselektivität gegenüber dem Ätzen des zweiten ILD 134.
  • In 23A und 23B werden Gate-Kontakte 142 und Source-/Drain-Kontakte 144 gebildet, um jeweils die Gate-Elektroden 124 und die epitaxialen Source-/Drain-Bereiche 98 zu kontaktieren. Die Gate-Kontakt 142 sind physisch und elektrisch mit den Gate-Elektroden 124 gekoppelt. Die Source-/Drain-Kontakte 144 sind physisch und elektrisch mit den epitaxialen Source-/Drain-Bereichen 98 gekoppelt.
  • Als ein Beispiel für das Bilden der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 werden Öffnungen für die Gate-Kontakte 142 durch das zweite ILD 134 und die ESL 132 gebildet, und Öffnungen für die Source-/Drain-Kontakte 144 werden durch das zweite ILD 134, die ESL 132, das erste ILD 104 und die CESL 102 gebildet. Die Öffnungen können unter Verwendung annehmbarer Fotolithografie- und Ätztechniken gebildet werden. Eine Auskleidung (nicht separat veranschaulicht), wie eine Diffusionssperrschicht, eine Haftschicht oder dergleichen, und ein leitfähiges Material werden in den Öffnungen gebildet. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid oder dergleichen umfassen. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Kobalt, Aluminium, Nickel oder dergleichen sein. Ein Planarisierungsprozess, wie ein CMP, kann durchgeführt werden, um überschüssiges Material von einer Fläche des zweiten ILD 134 zu entfernen. Die verbleibende Auskleidung und das leitfähige Material bilden die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 in den Öffnungen. Die Gate-Kontakte 142 und die Source-/Drain-Kontakte 144 können in verschiedenen Prozessen gebildet werden oder können in demselben Prozess gebildet werden. Obwohl sie derart gezeigt sind, dass sie in demselben Querschnitt gebildet werden, sei angemerkt, dass jeder der Gate-Kontakte 142 und der Source-/Drain-Kontakte 144 in verschiedenen Querschnitten gebildet werden können, was ein Kurzschließen der Kontakte verhindern kann.
  • Optional werden die Metall-Halbleiter-Legierungsbereiche 146 an den Grenzflächen zwischen den epitaxialen Source-/Drain-Bereichen 98 und den Source-/Drain-Kontakten 144 gebildet. Die Metall-Halbleiter-Legierungsbereiche 146 können Silizidbereiche sein, die aus einem Metallsilizid gebildet werden (z. B. Titansilizid, Kobaltsilizid, Nickelsilizid usw.), Germanidbereiche, die aus einem Metall-Germanid gebildet werden (z. B. Titangermanid, Kobaltgermanid, Nickelgermanid usw.), Silizium-Germanid-Bereiche, die aus sowohl einem Metallsilizid als auch einem Metall-Germanid gebildet werden, oder dergleichen. Die Metall-Halbleiter-Legierungsbereiche 146 können vor dem/den Material(ien) der Source-Drain-Kontakte 144 gebildet werden, indem ein Metall in den Öffnungen für die Source-/Drain-Kontakte 144 abgeschieden wird und dann ein thermischer Temperprozess durchgeführt wird.
  • Das Metall kann ein beliebiges Metall sein, das mit den Halbleitermaterialien der epitaxialen Source-/Drain-Bereiche 98 (z. B. Silizium, Silizium-Germanium, Germanium usw.) reagieren kann, um eine Metall-Halbleiter-Legierung mit geringem Widerstand zu bilden, wie Nickel, Kobalt, Titan, Tantal, Platin, Wolfram, andere Edelmetalle, andere feuerfeste Metalle, Seltenerdmetalle oder Legierungen davon. Das Metall kann durch einen Abscheidungsprozess, wie ALD, CVD, PVD oder dergleichen, abgeschieden werden. Nach dem thermischen Temperprozess kann ein Reinigungsprozess, wie eine Nassreinigung durchgeführt werden, um jegliches restliche Metall aus den Öffnungen für die Source-/Drain-Kontakte 144 zu entfernen, wie von den Oberflächen der Metall-Halbleiter-Legierungsbereiche 146. Dann kann/können das/die Materialien der Source-/Drain-Kontakte 144 auf den Metall-Halbleiter-Legierungsbereichen 146 gebildet werden.
  • Wie oben erwähnt, werden in einige Ausführungsformen Aspekte berücksichtigt, die in planaren Bauelementen verwendet werden, wie planare FETs, oder Aspekte, die in Feldeffekttransistoren (FinFETs) verwendet werden. 25A bis 26B sind Ansichten von FinFETs gemäß einigen Ausführungsformen. 25A und 25B zeigen eine ähnliche Ansicht wie 23A und 23B, und 26A und 26B zeigen eine ähnliche Ansicht wie 20A und 20B, mit der Ausnahme von FinFETs anstelle von Nano-FETs. In dieser Ausführungsform umfassen die Finnen 62 die Kanalbereiche 68, und die Gatestrukturen erstrecken sich entlang der Seitenwände und der oberen Flächen der Finnen 62.
  • Ausführungsformen können Vorteile erzielen. Das Behandeln der zweiten Austrittsarbeit einstellenden Schicht 114C mit dem Behandlungsprozess 116 erlaubt das Aufnahmen von einem oder mehreren Austrittsarbeit einstellenden Element(en) in die zweite Austrittsarbeit einstellende Schicht 114C, wodurch erlaubt wird, dass die Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht 114C auf eine gewünschte Menge eingestellt wird. Das Bilden der Sperrschicht 114B zwischen der ersten Austrittsarbeit einstellenden Schicht 114A und der zweiten Austrittsarbeit einstellenden Schicht 114C schützt die erste Austrittsarbeit einstellende Schicht 114A vor dem Behandlungsprozess 116, wodurch sie zu einem Hemmen der Modifikation der Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht 114A beiträgt. Somit können die Schwellenspannungen der resultierenden Bauelemente sowohl in dem n-Bereich 50N als auch dem p-Bereich 50P genauer eingestellt werden.
  • In einer Ausführungsform umfasst ein Bauelement Folgendes: einen Kanalbereich; eine Gate-Dielektrikum-Schicht auf dem Kanalbereich; eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht ein n-Austrittsarbeitsmetall umfasst; eine Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; eine zweite Austrittsarbeit einstellende Schicht auf der Sperrschicht, wobei die zweite Austrittsarbeit einstellende Schicht ein p-Austrittsarbeitsmetall umfasst, wobei sich das p-Austrittsarbeitsmetall von dem n-Austrittsarbeitsmetall unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeit einstellenden Schicht. In einigen Ausführungsformen des Bauelements umfasst die Sperrschicht amorphes Silizium. In einigen Ausführungsformen des Bauelements umfasst die Sperrschicht Tantalnitrid. In einigen Ausführungsformen des Bauelements umfasst die Sperrschicht fluorfreies Wolfram. In einigen Ausführungsformen umfasst das Bauelement ferner Folgendes: Nanostrukturen auf einem Substrat, wobei die Nanostrukturen den Kanalbereich umfassen. In einigen Ausführungsformen des Bauelements umgeben jeweilige Abschnitte der Gate-Dielektrikum-Schicht jede der Nanostrukturen, und jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht füllen Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht. In einigen Ausführungsformen umfasst das Bauelement ferner Folgendes: eine Finne, die sich von einem Substrat erstreckt, wobei die Finne den Kanalbereich umfasst. In einigen Ausführungsformen des Bauelements weist die Sperrschicht eine Dicke in einem Bereich von ungefähr 15 Å bis ungefähr 80 Å auf
  • In einer Ausführungsform umfasst ein Bauelement Folgendes: einen ersten Transistor, der Folgendes umfasst: einen ersten Kanalbereich; eine erste Gate-Dielektrikum-Schicht auf dem ersten Kanalbereich; eine n-leidende Austrittsarbeit einstellende Schicht auf der ersten Gate-Dielektrikum-Schicht; eine Sperrschicht auf der n-leitenden Austrittsarbeit einstellenden Schicht; eine erste p-leitende Austrittsarbeit einstellende Schicht auf der Sperrschicht; und eine erste Füllschicht auf der ersten p-leitenden Austrittsarbeit einstellenden Schicht; und einen zweiten Transistor, der Folgendes umfasst: einen zweiten Kanalbereich; eine zweite Gate-Dielektrikum-Schicht auf dem zweiten Kanalbereich; eine zweite p-leitende Austrittsarbeit einstellende Schicht auf der zweiten Gate-Dielektrikum-Schicht; und eine zweite Füllschicht auf der zweiten p-leitenden Austrittsarbeit einstellenden Schicht. In einigen Ausführungsformen des Bauelements umfassen die erste p-leitende Austrittsarbeit einstellende Schicht und die zweite p-leitende Austrittsarbeit einstellende Schicht ein Austrittsarbeit einstellendes Element und umfasst die n-leitende Austrittsarbeit einstellende Schicht kein Austrittsarbeit einstellendes Element. In einigen Ausführungsformen des Bauelements ist das Austrittsarbeit einstellende Element Sauerstoff. In einigen Ausführungsformen des Bauelements ist das Austrittsarbeit einstellendes Element Fluor. In einigen Ausführungsformen des Bauelements umfasst der erste Transistor ferner einen ersten Source-/Drain-Bereich benachbart zu dem ersten Kanalbereich, wobei der erste Source-/Drain-Bereich n-Fremdstoffe umfasst, und umfasst der zweite Transistor ferner einen zweiten Source-/Drain-Bereich benachbart zu dem zweiten Kanalbereich, wobei der zweite Source-/Drain-Bereich p-Fremdstoffe umfasst.
  • In einer Ausführungsform umfasst ein Verfahren: Abscheiden einer Gate-Dielektrikum-Schicht, die einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der erste Abschnitt auf einem ersten Kanalbereich abgeschieden wird, wobei der zweite Abschnitt auf einem zweiten Kanalbereich abgeschieden wird; Bilden einer ersten Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht; Bilden einer Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; Abscheiden einer zweiten Austrittsarbeit einstellenden Schicht auf der Sperrschicht und dem zweiten Abschnitt der Gate-Dielektrikum-Schicht; und Durchführen eines Behandlungsprozesses auf der zweiten Austrittsarbeit einstellenden Schicht, um eine zweite Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht zu modifizieren, wobei die Sperrschicht eine Modifizierung einer ersten Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht während des Behandlungsprozesses hemmt. In einigen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden von amorphem Silizium durch einen CVD-Prozess, wobei der CVD-Prozess mit Silan durchgeführt wird, wobei der CVD-Prozess bei einer Temperatur in einem Bereich von 300 °C bis 500 °C durchgeführt wird, wobei der CVD-Prozess bei einem Druck in einem Bereich von 2 Torr bis 35 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke von 15 Å bis 80 Å abgeschieden wird. In einigen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden von Tantalnitrid durch einen ALD-Prozess, wobei der ALD-Prozess mit Pentakis(dimethylamido)tantal und Ammoniak durchgeführt wird, wobei der ALD-Prozess bei einer Temperatur in einem Bereich von 250 °C bis 450 °C durchgeführt wird, wobei der ALD-Prozess bei einem Druck in einem Bereich von 2 Torr bis 35 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke in einem Bereich von 15 Å bis 80 Å abgeschieden wird. In einigen Ausführungsformen des Verfahrens umfasst Abscheiden der Sperrschicht Abscheiden von fluorfreiem Wolfram durch einen ALD-Prozess, wobei der ALD-Prozess mit Wolframchlorid (V-Chlorid) und Wasserstoff durchgeführt wird, wobei der ALD-Prozess bei einer Temperatur in einem Bereich von 350 °C bis 500 °C durchgeführt wird, wobei der ALD-Prozess bei einem Druck in einem Bereich von 5 Torr bis 40 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke in einem Bereich von 15 Å bis 80 Å abgeschieden wird. In einigen Ausführungsformen des Verfahrens umfasst der Behandlungsprozess einen Fluorierungsbehandlungsprozess. In einigen Ausführungsformen des Verfahrens umfasst der Behandlungsprozess einen Oxidationsbehandlungsprozess. In einigen Ausführungsformen des Verfahrens umfasst Bilden der ersten Austrittsarbeit einstellenden Schicht Abscheiden der ersten Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt und dem zweiten Abschnitt der Gate-Dielektrikum-Schicht; und Bilden der Sperrschicht umfasst: Abscheiden der Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht, um den zweiten Abschnitt der Gate-Dielektrikum-Schicht freizulegen.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, sodass die Fachperson die Aspekte der vorliegenden Offenbarung besser verstehen kann. Die Fachperson sollte sich darüber im Klaren sein, dass sie die vorliegende Offenbarung ohne Weiteres als Grundlage für das Entwerfen oder Abwandeln anderer Prozesse und Strukturen verwenden kann, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der vorliegend vorgestellten Ausführungsformen zu erzielen. Die Fachperson sollte auch erkennen, dass derartige äquivalente Konstruktionen nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Modifikationen hieran vornehmen kann, ohne von dem Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/137326 [0001]

Claims (20)

  1. Bauelement, das Folgendes umfasst: einen Kanalbereich; eine Gate-Dielektrikum-Schicht auf dem Kanalbereich; eine erste Austrittsarbeit einstellende Schicht auf der Gate-Dielektrikum-Schicht, wobei die erste Austrittsarbeit einstellende Schicht ein n-Austrittsarbeitsmetall umfasst; eine Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; eine zweite Austrittsarbeit einstellende Schicht auf der Sperrschicht, wobei die zweite Austrittsarbeit einstellende Schicht ein p-Austrittsarbeitsmetall umfasst, wobei sich das p-Austrittsarbeitsmetall von dem n-Austrittsarbeitsmetall unterscheidet; und eine Füllschicht auf der zweiten Austrittsarbeit einstellenden Schicht.
  2. Bauelement nach Anspruch 1, wobei die Sperrschicht amorphes Silizium umfasst.
  3. Bauelement nach Anspruch 1, wobei die Sperrschicht Tantalnitrid umfasst.
  4. Bauelement nach Anspruch 1, wobei die Sperrschicht fluorfreies Wolfram umfasst.
  5. Bauelement nach einem der Ansprüche 1 bis 4, das ferner Folgendes umfasst: Nanostrukturen auf einem Substrat, wobei die Nanostrukturen den Kanalbereich umfassen.
  6. Bauelement nach Anspruch 5, wobei jeweilige Abschnitte der Gate-Dielektrikum-Schicht jede der Nanostrukturen umwickeln, und wobei jeweilige Abschnitte der ersten Austrittsarbeit einstellenden Schicht Bereiche zwischen den jeweiligen Abschnitten der Gate-Dielektrikum-Schicht füllen.
  7. Bauelement nach einem der Ansprüche 1 bis 6, das ferner Folgendes umfasst: eine Finne, die sich von einem Substrat erstreckt, wobei die Finne den Kanalbereich umfasst.
  8. Bauelement nach einem der Ansprüche 1 bis 7, wobei die Sperrschicht eine Dicke in einem Bereich von 15 Å bis 80 Å aufweist.
  9. Bauelement, das Folgendes umfasst: einen ersten Transistor, der Folgendes umfasst: einen ersten Kanalbereich; eine erste Gate-Dielektrikum-Schicht auf dem ersten Kanalbereich; eine n-leitende Austrittsarbeit einstellende Schicht auf der ersten Gate-Dielektrikum-Schicht; eine Sperrschicht auf der n-leitenden Austrittsarbeit einstellenden Schicht; eine erste p-Austrittsarbeit einstellende Schicht auf der Sperrschicht; und eine erste Füllschicht auf der ersten p-Austrittsarbeit einstellenden Schicht; und einen zweiten Transistor, der Folgendes umfasst: einen zweiten Kanalbereich; eine zweite Gate-Dielektrikum-Schicht auf dem zweiten Kanalbereich; eine zweite p-Austrittsarbeit einstellende Schicht auf der zweiten Gate-Dielektrikum-Schicht; und eine zweite Füllschicht auf der zweiten p-Austrittsarbeit einstellenden Schicht.
  10. Bauelement nach Anspruch 9, wobei die erste p-leitende Austrittsarbeit einstellende Schicht und die zweite p-leitende Austrittsarbeit einstellende Schicht ein Austrittsarbeit einstellendes Element umfassen und die n-leitende Austrittsarbeit einstellende Schicht kein Austrittsarbeit einstellendes Element umfasst.
  11. Bauelement nach Anspruch 10, wobei das Austrittsarbeit einstellende Element Sauerstoff ist.
  12. Bauelement nach Anspruch 10, wobei das Austrittsarbeit einstellende Element Fluor ist.
  13. Bauelement nach einem der Ansprüche 10 bis 12, wobei der erste Transistor ferner einen ersten Source-/Drain-Bereich benachbart zu dem ersten Kanalbereich umfasst, wobei der erste Source-/Drain-Bereich n-Fremdstoffe umfasst, und wobei der zweite Transistor ferner einen zweiten Source-/Drain-Bereich benachbart zu dem zweiten Kanalbereich umfasst, wobei der zweite Source-/Drain-Bereich p-Fremdstoffe umfasst.
  14. Verfahren umfassend: Abscheiden einer Gate-Dielektrikum-Schicht, die einen ersten Abschnitt und einen zweiten Abschnitt aufweist, wobei der erste Abschnitt auf einem ersten Kanalbereich abgeschieden wird, wobei der zweite Abschnitt auf einem zweiten Kanalbereich abgeschieden wird; Bilden einer ersten Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt der Gate-Dielektrikum-Schicht; Bilden einer Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; Abscheiden einer zweiten Austrittsarbeit einstellenden Schicht auf der Sperrschicht und dem zweiten Abschnitt der Gate-Dielektrikum-Schicht; und Durchführen eines Behandlungsprozesses auf der zweiten Austrittsarbeit einstellenden Schicht, um eine zweite Austrittsarbeit der zweiten Austrittsarbeit einstellenden Schicht zu modifizieren, wobei die Sperrschicht eine Modifizierung einer ersten Austrittsarbeit der ersten Austrittsarbeit einstellenden Schicht während des Behandlungsprozesses hemmt.
  15. Verfahren nach Anspruch 14, wobei Abscheiden der Sperrschicht Abscheiden von amorphem Silizium durch einen CVD-Prozess umfasst, wobei der CVD-Prozess mit Silan durchgeführt wird, wobei der CVD-Prozess bei einer Temperatur in einem Bereich von 300 °C bis 500 °C durchgeführt wird, wobei der CVD-Prozess bei einem Druck in einem Bereich von 2 Torr bis 35 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke von 15 Å bis 80 Å abgeschieden wird.
  16. Verfahren nach Anspruch 14, wobei Abscheiden der Sperrschicht Abscheiden von Tantalnitrid durch einen ALD-Prozess umfasst, wobei der ALD-Prozess mit Pentakis(dimethylamido)tantal und Ammoniak durchgeführt wird, wobei der ALD-Prozess bei einer Temperatur in einem Bereich von 250 °C bis 450 °C durchgeführt wird, wobei der ALD-Prozess bei einem Druck in einem Bereich von 2 Torr bis 35 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke in einem Bereich von 15 Å bis 80 Å abgeschieden wird.
  17. Verfahren nach Anspruch 14, wobei Abscheiden der Sperrschicht Abscheiden von fluorfreiem Wolfram durch einen ALD-Prozess umfasst, wobei der ALD-Prozess mit Wolframchlorid (V-Chlorid) und Wasserstoff durchgeführt wird, wobei der ALD-Prozess bei einer Temperatur in einem Bereich von 350 °C bis 500 °C durchgeführt wird, wobei der ALD-Prozess bei einem Druck in einem Bereich von 5 Torr bis 40 Torr durchgeführt wird und wobei die Sperrschicht auf eine Dicke in einem Bereich von 15 Å bis 80 Å abgeschieden wird.
  18. Verfahren nach einem der Ansprüche 14 bis 17, wobei der Behandlungsprozess einen Fluorierungsbehandlungsprozess umfasst.
  19. Verfahren nach einem der Ansprüche 14 bis 18, wobei der Behandlungsprozess einen Oxidationsbehandlungsprozess umfasst.
  20. Verfahren nach einem der Ansprüche 14 bis 19, wobei Bilden der ersten Austrittsarbeit einstellenden Schicht Abscheiden der ersten Austrittsarbeit einstellenden Schicht auf dem ersten Abschnitt und dem zweiten Abschnitt der Gate-Dielektrikum-Schicht umfasst, und wobei Bilden der Sperrschicht Folgendes umfasst: Abscheiden der Sperrschicht auf der ersten Austrittsarbeit einstellenden Schicht; und Entfernen von Abschnitten der Sperrschicht und der ersten Austrittsarbeit einstellenden Schicht, um den zweiten Abschnitt der Gate-Dielektrikum-Schicht freizulegen.
DE102021104817.6A 2021-01-14 2021-03-01 Halbleitervorrichtung und verfahren Active DE102021104817B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163137326P 2021-01-14 2021-01-14
US63/137,326 2021-01-14
US17/182,733 US11502081B2 (en) 2021-01-14 2021-02-23 Semiconductor device and method
US17/182,733 2021-02-23

Publications (2)

Publication Number Publication Date
DE102021104817A1 true DE102021104817A1 (de) 2022-07-14
DE102021104817B4 DE102021104817B4 (de) 2023-05-04

Family

ID=81594427

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021104817.6A Active DE102021104817B4 (de) 2021-01-14 2021-03-01 Halbleitervorrichtung und verfahren

Country Status (5)

Country Link
US (3) US11502081B2 (de)
KR (1) KR102556751B1 (de)
CN (1) CN114520229A (de)
DE (1) DE102021104817B4 (de)
TW (1) TWI799859B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11502081B2 (en) * 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11800698B2 (en) * 2021-08-17 2023-10-24 International Business Machines Corporation Semiconductor structure with embedded capacitor

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170221889A1 (en) 2016-02-02 2017-08-03 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US20200043808A1 (en) 2018-08-01 2020-02-06 International Business Machines Corporation Gate-all-around fets having uniform threshold voltage
US20200328213A1 (en) 2019-01-25 2020-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US20200411387A1 (en) 2019-06-27 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in gaa structures and structures formed thereby

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120060582A (ko) 2010-12-02 2012-06-12 미리넷솔라 주식회사 고효율 태양전지 제조방법
US9755039B2 (en) 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9608116B2 (en) 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9716161B2 (en) 2014-10-01 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure having designed profile and method for forming the same
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9620610B1 (en) 2015-10-28 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10490643B2 (en) * 2015-11-24 2019-11-26 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US10304936B2 (en) * 2016-05-04 2019-05-28 International Business Machines Corporation Protection of high-K dielectric during reliability anneal on nanosheet structures
CN108122913B (zh) * 2016-11-30 2019-09-27 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
KR20180102273A (ko) 2017-03-07 2018-09-17 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10985075B2 (en) * 2018-10-11 2021-04-20 International Business Machines Corporation Gate formation scheme for n-type and p-type transistors having separately tuned threshold voltages
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
US20210005604A1 (en) * 2019-07-03 2021-01-07 Qualcomm Incorporated Nanosheet Transistor Stack
KR20210011558A (ko) * 2019-07-22 2021-02-02 삼성전자주식회사 반도체 소자
US20210408257A1 (en) * 2020-06-25 2021-12-30 Intel Corporation Plug and recess process for dual metal gate on stacked nanoribbon devices
US11502081B2 (en) * 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170221889A1 (en) 2016-02-02 2017-08-03 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US20200043808A1 (en) 2018-08-01 2020-02-06 International Business Machines Corporation Gate-all-around fets having uniform threshold voltage
US20200328213A1 (en) 2019-01-25 2020-10-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US20200411387A1 (en) 2019-06-27 2020-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in gaa structures and structures formed thereby

Also Published As

Publication number Publication date
US20220384440A1 (en) 2022-12-01
US11502081B2 (en) 2022-11-15
KR20220102997A (ko) 2022-07-21
TW202232755A (zh) 2022-08-16
TWI799859B (zh) 2023-04-21
CN114520229A (zh) 2022-05-20
US20240153952A1 (en) 2024-05-09
DE102021104817B4 (de) 2023-05-04
US20220223594A1 (en) 2022-07-14
KR102556751B1 (ko) 2023-07-17
US11901362B2 (en) 2024-02-13

Similar Documents

Publication Publication Date Title
DE102015113184B4 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102020107101B3 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019121278B4 (de) Halbleitervorrichtung und Verfahren zur Herstellung
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102021116181A1 (de) Transistor-gatestrukturen und verfahren zum bilden derselben
DE102020129544A1 (de) Gatestrukturen in transistoren und verfahren zu deren ausbildung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021101001A1 (de) Halbleitervorrichtung und verfahren
DE102021103538A1 (de) Halbleitervorrichtung und verfahren
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102022105643A1 (de) Transistor-gatestrukturen und verfahren zu deren herstellung
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021108841A1 (de) Transistor-gate-struktur und verfahren zum bilden derselben
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102021112360A1 (de) Halbleitervorrichtung und verfahren
DE102021113003A1 (de) Nano-fet-halbleiterbauelement und verfahren zur bildung
DE102021116786A1 (de) Halbleitervorrichtung und verfahren zu dessen herstellung
DE102021106455A1 (de) Halbleitervorrichtung und verfahren
DE102021102596A1 (de) Halbleitervorrichtung und verfahren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102020119425A1 (de) Halbleitervorrichtung und Verfahren
DE102021115793B4 (de) Transistor-source/drain-kontakte und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final