DE112018003323T5 - Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung - Google Patents
Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung Download PDFInfo
- Publication number
- DE112018003323T5 DE112018003323T5 DE112018003323.6T DE112018003323T DE112018003323T5 DE 112018003323 T5 DE112018003323 T5 DE 112018003323T5 DE 112018003323 T DE112018003323 T DE 112018003323T DE 112018003323 T5 DE112018003323 T5 DE 112018003323T5
- Authority
- DE
- Germany
- Prior art keywords
- layer
- dielectric layer
- dielectric
- gate structure
- semiconductor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 148
- 230000003628 erosive effect Effects 0.000 title abstract description 4
- 238000000034 method Methods 0.000 claims abstract description 80
- 125000006850 spacer group Chemical group 0.000 claims abstract description 44
- 238000004519 manufacturing process Methods 0.000 claims abstract description 24
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 20
- 239000010703 silicon Substances 0.000 claims abstract description 20
- ZILJFRYKLPPLTO-UHFFFAOYSA-N [C].[B].[Si] Chemical compound [C].[B].[Si] ZILJFRYKLPPLTO-UHFFFAOYSA-N 0.000 claims abstract description 5
- 239000003989 dielectric material Substances 0.000 claims description 33
- 238000005530 etching Methods 0.000 claims description 24
- 239000000463 material Substances 0.000 claims description 24
- 238000000151 deposition Methods 0.000 claims description 17
- 229910052751 metal Inorganic materials 0.000 claims description 17
- 239000002184 metal Substances 0.000 claims description 17
- 230000005669 field effect Effects 0.000 claims description 4
- 239000010410 layer Substances 0.000 description 204
- 239000000758 substrate Substances 0.000 description 26
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 22
- 238000001020 plasma etching Methods 0.000 description 12
- 239000011810 insulating material Substances 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 10
- 239000004020 conductor Substances 0.000 description 9
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- 230000015572 biosynthetic process Effects 0.000 description 7
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 6
- 238000005516 engineering process Methods 0.000 description 6
- 150000001875 compounds Chemical class 0.000 description 5
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 5
- 238000009413 insulation Methods 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 239000002210 silicon-based material Substances 0.000 description 4
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 238000001451 molecular beam epitaxy Methods 0.000 description 3
- 238000000059 patterning Methods 0.000 description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910021417 amorphous silicon Inorganic materials 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000004891 communication Methods 0.000 description 2
- 229910021419 crystalline silicon Inorganic materials 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- 229910052774 Proactinium Inorganic materials 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- CFOAUMXQOCBWNJ-UHFFFAOYSA-N [B].[Si] Chemical compound [B].[Si] CFOAUMXQOCBWNJ-UHFFFAOYSA-N 0.000 description 1
- AUEPDNOBDJYBBK-UHFFFAOYSA-N [Si].[C-]#[O+] Chemical class [Si].[C-]#[O+] AUEPDNOBDJYBBK-UHFFFAOYSA-N 0.000 description 1
- CEPICIBPGDWCRU-UHFFFAOYSA-N [Si].[Hf] Chemical compound [Si].[Hf] CEPICIBPGDWCRU-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 150000007513 acids Chemical class 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 229910021529 ammonia Inorganic materials 0.000 description 1
- VKJLWXGJGDEGSO-UHFFFAOYSA-N barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Ba+2] VKJLWXGJGDEGSO-UHFFFAOYSA-N 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000002041 carbon nanotube Substances 0.000 description 1
- 229910021393 carbon nanotube Inorganic materials 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910021389 graphene Inorganic materials 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 229910000449 hafnium oxide Inorganic materials 0.000 description 1
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 229910052500 inorganic mineral Inorganic materials 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 239000011133 lead Substances 0.000 description 1
- 229910000464 lead oxide Inorganic materials 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 1
- 239000011707 mineral Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- KJXBRHIPHIVJCS-UHFFFAOYSA-N oxo(oxoalumanyloxy)lanthanum Chemical compound O=[Al]O[La]=O KJXBRHIPHIVJCS-UHFFFAOYSA-N 0.000 description 1
- YEXPOXQUZXUXJW-UHFFFAOYSA-N oxolead Chemical compound [Pb]=O YEXPOXQUZXUXJW-UHFFFAOYSA-N 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 description 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- UVGLBOPDEUYYCS-UHFFFAOYSA-N silicon zirconium Chemical compound [Si].[Zr] UVGLBOPDEUYYCS-UHFFFAOYSA-N 0.000 description 1
- 229910052709 silver Inorganic materials 0.000 description 1
- 239000004332 silver Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- CZXRMHUWVGPWRM-UHFFFAOYSA-N strontium;barium(2+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Sr+2].[Ba+2] CZXRMHUWVGPWRM-UHFFFAOYSA-N 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910001936 tantalum oxide Inorganic materials 0.000 description 1
- 238000005496 tempering Methods 0.000 description 1
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 1
- 238000002207 thermal evaporation Methods 0.000 description 1
- 239000011135 tin Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 1
- MTPVUVINMAGMJL-UHFFFAOYSA-N trimethyl(1,1,2,2,2-pentafluoroethyl)silane Chemical compound C[Si](C)(C)C(F)(F)C(F)(F)F MTPVUVINMAGMJL-UHFFFAOYSA-N 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- -1 tungsten nitride Chemical class 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
Abstract
Es werden FinFET-Einheiten, die mehrschichtige Gate-Abstandshalter aufweisen, ebenso wie Verfahren zur Herstellung von FinFET-Einheiten bereitgestellt, bei denen mehrschichtige Gate-Abstandshalter verwendet werden, um die Erosion von vertikalen Halbleiter-Fins zu verhindern oder ansonsten zu minimieren, wenn die Gate-Abstandshalter gebildet werden. Ein Verfahren zur Herstellung einer Halbleitereinheit weist zum Beispiel ein Bilden einer Dummy-Gate-Struktur über einem Bereich eines vertikalen Halbleiter-Fin einer FinFET-Einheit und ein Bilden eines mehrschichtigen Gate-Abstandshalters auf der Dummy-Gate-Struktur auf. Der mehrschichtige Gate-Abstandshalter weist eine erste dielektrische Schicht und eine zweite dielektrische Schicht auf, wobei die erste dielektrische Schicht eine Ätzselektivität in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht aufweist. Bei einer Ausführungsform weist die erste dielektrische Schicht Siliciumoxycarbonitrid (SiOCN) auf, und die zweite dielektrische Schicht weist Siliciumborkohlenstoffnitrid (SiBCN) auf.
Description
- TECHNISCHES GEBIET
- Diese Offenbarung bezieht sich allgemein auf Halbleiter-Herstellungstechniken und insbesondere auf Techniken zur Herstellung von FET(Feldeffekttransistor)-Einheiten.
- HINTERGRUND
- Da sich Halbleiter-Fertigungstechnologien fortwährend in Richtung zu kleineren Entwurfsmaßstäben und höheren Integrationsdichten entwickeln (z.B. 14 nm Technologieknoten und darüber hinaus), werden integrierte Schaltungseinheiten und -komponenten zunehmend kleiner, so dass Herausforderungen in Bezug auf Layout-Bildung und Optimierung von Einheiten entstehen. Gegenwärtig werden zur FET-Herstellung üblicherweise FinFET-Technologien angewendet, da derartige Technologien effektive CMOS-Skalierungs-Lösungen für die FET-Herstellung bei und unterhalb des 14 nm Technologieknotens bereitstellen. Eine FinFET-Einheit weist eine dreidimensionale FET-Struktur in der Form von Fins auf, die zumindest eine vertikale Halbleiter-Fin-Struktur beinhaltet, die auf einem Substrat ausgebildet ist, eine Gate-Struktur beinhaltet, die über einem Bereich des vertikalen Halbleiter-Fin ausgebildet ist, sowie Source-/Drain-Bereiche beinhaltet, die von Bereichen des vertikalen Halbleiter-Fin aus ausgebildet sind, die sich von beiden Seiten der Gate-Struktur aus erstrecken. Der Bereich des vertikalen Halbleiter-Fin, der zwischen den Source-/Drain-Bereichen mit der Gate-Struktur bedeckt ist, weist einen Kanalbereich der FinFET-Einheit auf.
- Die Gate-Strukturen von FinFET-Einheiten können unter Verwendung verschiedener Techniken gebildet werden. Zum Beispiel kann eine FinFET-Gate-Struktur unter Verwendung eines „Gate-Last“-Prozesses hergestellt werden, der zum Beispiel mit einem Bilden einer Dummy-Gate-Struktur und eines Gate-Abstandshalters über einem Bereich eines vertikalen Halbleiter-Fin, einem Herstellen weiterer Elemente einer FinFET-Einheit (z.B. von Source-/Drain-Bereichen) und einem anschließenden Ersetzen der Dummy-Gate-Struktur durch eine Metall-Gate-Struktur unter Verwendung eines Ersetzungs-Metall-Gate(RMG)-Prozesses einhergeht. Für hochentwickelte FinFET-Technologien ist es von Bedeutung, eine Ätzschädigung an den vertikalen Halbleiter-Fins zu verhindern, wenn eine dielektrische Schicht geätzt wird, um die Gate-Abstandshalter zu bilden.
- Bei herkömmlichen Verfahren wird ein Gate-Abstandshalter durch Abscheiden und Strukturieren einer Schicht aus einem dielektrischen Material z.B. unter Verwendung von RIE (von reaktivem lonenätzen) gebildet. Aufgrund der begrenzten Selektivität des RIE-Prozesses können die vertikalen Halbleiter-Fins einer signifikanten Ätzschädigung unterliegen, wenn die Schicht aus einem dielektrischen Material strukturiert wird, um die Gate-Abstandshalter zu bilden. Obwohl der Abstandshalter-RIE-Prozess eingestellt werden kann, um eine Ätz-Erosion von vertikalen Halbleiter-Fins zu minimieren, die aus Silicium (Si) oder Siliciumgermanium (SiGe) gebildet sind, nimmt die Selektivität des RIE-Prozesses mit der Skalierung des Fin-Abstands (z.B. mit einer Reduzierung des Zwischenraums zwischen Fins) und mit einer Zunahme der Fin-Höhe ab (z.B. einer Erhöhung des Aspektverhältnisses für Abstandshalter-RIE).
- KURZDARSTELLUNG
- Ausführungsformen der Erfindung beinhalten FinFET-Einheiten, die mehrschichtige Gate-Abstandshalter aufweisen, ebenso wie Verfahren zur Herstellung von FinFET-Einheiten, bei denen mehrschichtige Gate-Abstandshalter verwendet werden, um die Erosion von vertikalen Halbleiter-Fins zu verhindern oder ansonsten zu minimieren, wenn die Gate-Abstandshalter gebildet werden. Bei einer Ausführungsform weist ein Verfahren zur Herstellung einer Halbleitereinheit zum Beispiel ein Bilden einer Dummy-Gate-Struktur über einem Bereich eines vertikalen Halbleiter-Fin einer FinFET-Einheit sowie ein Bilden eines mehrschichtigen Gate-Abstandshalters auf der Dummy-Gate-Struktur auf. Der mehrschichtige Gate-Abstandshalter weist eine erste dielektrische Schicht und eine zweite dielektrische Schicht auf, wobei die erste dielektrische Schicht eine Ätzselektivität in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht aufweist. Bei einer Ausführungsform weist die erste dielektrische Schicht Siliciumoxycarbonitrid (SiOCN) auf, und die zweite dielektrische Schicht weist Siliciumborkohlenstoffnitrid (SiBCN) auf.
- Eine weitere Ausführungsform beinhaltet eine Halbleitereinheit, die eine Gate-Struktur, die über einem Bereich eines vertikalen Halbleiter-Fin einer FinFET-Einheit ausgebildet ist, sowie einen mehrschichtigen Gate-Abstandshalter aufweist, der auf Seitenwänden der Gate-Struktur ausgebildet ist. Der mehrschichtige Gate-Abstandshalter weist eine erste dielektrische Schicht und eine zweite dielektrische Schicht auf, wobei die erste dielektrische Schicht eine Ätzselektivität in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht aufweist. Bei einer Ausführungsform weist die erste dielektrische Schicht SiOCN auf, und die zweite dielektrische Schicht weist SiBCN auf.
- Weitere Ausführungsformen werden in der folgenden detaillierten Beschreibung von Ausführungsformen beschrieben, die in Verbindung mit den beigefügten Figuren zu lesen ist.
- Figurenliste
-
-
1A bis6B stellen schematisch einen Prozess zur Herstellung von FinFET-Einheiten gemäß einer Ausführungsform der Erfindung dar, wobei: -
1A ,1B ,1C und1D schematische Ansichten einer Halbleitereinheit in einer Zwischenstufe der Herstellung sind, bei der Dummy-Gate-Strukturen über vertikalen Halbleiter-Fins von FinFET-Einheiten gebildet werden; -
2A ,2B und2C schematische Ansichten der Halbleitereinheit der1A ,1B beziehungsweise 1C nach einem Abscheiden einer ersten und einer zweiten dielektrischen Schicht über den Dummy-Gate-Strukturen sind, die anschließend strukturiert werden, um einen mehrschichtigen Gate-Abstandshalter zu bilden; -
3A ,3B und3C schematische Ansichten der Halbleitereinheit der2A ,2B beziehungsweise 2C nach einem Ätzen der zweiten dielektrischen Schicht selektiv in Bezug auf die erste dielektrische Schicht sind; -
4A ,4B und4C schematische Ansichten der Halbleitereinheit der3A ,3B beziehungsweise 3C nach einem Ätzen der ersten dielektrischen Schicht selektiv in Bezug auf die zweite dielektrische Schicht und die vertikalen Halbleiter-Fins und nach einem Bilden von epitaxialen Source-/Drain-Schichten auf freiliegenden Bereichen der vertikalen Halbleiter-Fins der FinFET-Einheiten sind; -
4D eine schematische Draufsicht von oben auf die in den4A ,4B und4C gezeigte Halbleitereinheit ist; -
5A ,5B und5C schematische Ansichten der Halbleitereinheit der4A ,4B beziehungsweise 4C nach einem Abscheiden und Planarisieren einer Schicht aus einem dielektrischen Material zur Bildung einer Zwischendielektrikum(ILD)-Schicht sind; und -
6A und6B schematische Ansichten der Halbleitereinheit der5A beziehungsweise 5B nach einem Durchführen eines Ersetzungs-Metall-Gate-Prozesses sind, um die Dummy-Gate-Strukturen durch eine Metall-Gate-Struktur mit einem hohen k zu ersetzen. - DETAILLIERTE BESCHREIBUNG
- Im Folgenden werden Ausführungsformen noch detaillierter in Bezug auf FinFET-Einheiten, die mehrschichtige Gate-Abstandshalter aufweisen, ebenso wie auf ein Verfahren zur Herstellung von FinFET-Einheiten beschrieben, in denen mehrschichtige Gate-Abstandshalter verwendet werden, um die Erosion von vertikalen Halbleiter-Fins zu verhindern oder ansonsten zu minimieren, wenn die Gate-Abstandshalter gebildet werden. Es versteht sich, dass es sich bei den verschiedenen Schichten, Strukturen und Bereichen, die in den beigefügten Zeichnungen gezeigt sind, um schematische Darstellungen handelt, die nicht maßstabsgetreu gezeichnet sind. Darüber hinaus ist es der Einfachheit der Erläuterung halber möglich, dass eine oder mehrere Schichten, Strukturen und Bereiche eines Typs, der üblicherweise verwendet wird, um Halbleitereinheiten oder -strukturen zu bilden, in einer bestimmten Zeichnung nicht explizit gezeigt sind. Dies impliziert nicht, dass irgendwelche Schichten, Strukturen und Bereiche, die nicht explizit gezeigt sind, aus den tatsächlichen Halbleiterstrukturen weggelassen sind.
- Des Weiteren versteht es sich, dass die hier erörterten Ausführungsformen nicht auf die speziellen Materialien, Merkmale und Prozessschritte beschränkt sind, die hier gezeigt und beschrieben sind. Insbesondere in Bezug auf Halbleiter-Prozessschritte ist hervorzuheben, dass die hier bereitgestellten Beschreibungen nicht dazu gedacht sind, sämtliche der Prozessschritte zu umfassen, die erforderlich sein können, um eine funktionelle integrierte Halbleiter-Schaltungseinheit zu bilden. Vielmehr sind bestimmte Prozessschritte, die bei einer Bildung von Halbleitereinheiten üblicherweise verwendet werden, wie zum Beispiel Nassreinigungs- und Temperschritte, zwecks einer Einsparung bei der Beschreibung hier absichtlich nicht beschrieben.
- Darüber hinaus werden in den Zeichnungen durchweg die gleichen oder gleichartige Bezugszeichen verwendet, um die gleichen oder gleichartige Merkmale, Elemente oder Strukturen zu bezeichnen, und somit wird eine detaillierte Erläuterung der gleichen oder gleichartiger Merkmale, Elemente oder Strukturen nicht für jede der Zeichnungen wiederholt. Es versteht sich, dass mit den Begriffen „etwa“ oder „im Wesentlichen“, wie hier in Bezug auf Dicken, Breiten, Prozentzahlen, Bereiche etc. verwendet, eine Bezeichnung nahe bei oder ungefähr gemeint ist, jedoch nicht exakt. Der Begriff „etwa“ oder „im Wesentlichen“, wie hier verwendet, impliziert zum Beispiel, dass eine kleine Fehlerspanne vorhanden sein kann, wie beispielsweise 1 % der angegebenen Menge oder weniger.
- Um einen räumlichen Kontext bereitzustellen, sind in den Zeichnungen von Halbleiterstrukturen kartesische xyz-Koordinaten gezeigt. Es versteht sich, dass der Begriff „vertikal“, wie hier verwendet, eine z-Richtung der kartesischen Koordinaten bezeichnet, die in den Zeichnungen gezeigt sind, und dass die Begriffe „horizontal“ oder „lateral“, wie hier verwendet, eine x-Richtung und/oder eine y-Richtung der in den Zeichnungen gezeigten kartesischen Koordinaten bezeichnen, die senkrecht zu der z-Richtung ist.
- Die
1A bis6B stellen schematisch einen Prozess zur Herstellung von FinFET-Einheiten mit mehrschichtigen Gate-Abstandshalter-Strukturen gemäß einer Ausführungsform der Erfindung dar. Um zu beginnen, sind die1A ,1B ,1C und1D schematische Ansichten einer Halbleitereinheit100 in einer Zwischenstufe der Herstellung, bei der Dummy-Gate-Strukturen über vertikalen Halbleiter-Fins von FinFET-Einheiten gebildet werden.1D ist eine schematische Draufsicht von oben (xy-Ebene) auf die Halbleitereinheit100 , während die1A ,1B und1C Querschnittsansichten der Halbleitereinheit100 von der Seite entlang Ebenen sind, die durch jeweilige, in1D gezeigte Linien dargestellt sind. Insbesondere ist1A eine Querschnittsansicht von der Seite (yz-Ebene) der Halbleitereinheit100 entlang einer Linie1A-1A in1D .1B ist eine Querschnittsansicht von der Seite (xz-Ebene) der Halbleitereinheit100 entlang einer Linie1B-1B in1D , und1C ist eine Querschnittsansicht von der Seite (xz-Ebene) der Halbleitereinheit100 entlang einer Linie1C-1C in1D . - Wie in den
1A ,1B ,1C und1D gezeigt, weist die Halbleitereinheit100 ein Halbleitersubstrat110 , eine Isolationsschicht120 , eine Mehrzahl von vertikalen Halbleiter-Fins130 , Dummy-Gate-StrukturenG1 undG2 sowie Abdeckschichten150 auf, die auf der Oberseite der Dummy-Gate-StrukturenG1 undG2 ausgebildet sind. Die Dummy-Gate-StrukturenG1 undG2 weisen jeweils eine Dummy-Gate-Elektrodenschicht140 und eine Dummy-Gate-Oxidschicht142 auf, die über jeweiligen Kanal(C)-Bereichen der vertikalen Halbleiter-Fins130 ausgebildet sind. Die Dummy-Gate-Strukturen werden als Teil eines RMG-Prozesses durch metallische Gate-Strukturen ersetzt, wie nachstehend noch detaillierter erläutert wird. Wie in1D gezeigt, dienen Bereiche der vertikalen Halbleiter-Fins130 , die sich von den Seiten der Dummy-Gate-StrukturenG1 undG2 aus erstrecken, zum Beispiel als Source-/Drain(S/D)-Bereiche für FinFET-EinheitenD1 undD2 . Wie in der beispielhaften Ausführungsform der1B und1D dargestellt, weist jede FinFET-EinheitD1 undD2 eine gemeinsame Gate-Struktur auf, die über einem Bereich von drei vertikalen Halbleiter-Fins130 ausgebildet ist. Im Hinblick darauf kann jede FinFET-EinheitD1 undD2 als eine Mehrfach-Fin-FinFET-Struktur konfiguriert sein, wenn die jeweiligen Source-/Drain-Bereiche auf jeder Seite der Gate-Struktur gemeinsam mit einem jeweiligen einzelnen vertikalen Source-/Drain-Kontakt verbunden sind (d.h. drei FinFET-Segmente, die parallel geschaltet sind, um eine Mehrfach-Fin-FinFET-Einheit zu bilden). Des Weiteren teilen sich die FinFET-EinheitenD1 undD2 bei dem in1D gezeigten Beispiel einen gemeinsamen Source-/Drain(S/D)-Bereich zwischen den Dummy-Gate-StrukturenG1 undG2 , so dass die FinFET-EinheitenD1 undD2 in Reihe geschaltet sind. - Die in den
1A ,1B ,1C und1D gezeigte Halbleitereinheit100 kann unter Verwendung bekannter Halbleiter-Herstellungstechniken und geeigneter Halbleitermaterialien hergestellt werden. Das Halbleitersubstrat110 ist zum Beispiel als eine generische Substratschicht dargestellt und kann verschiedene Strukturen und Schichten aus einem Halbleitermaterial aufweisen. Bei einer Ausführungsform weist das Halbleitersubstrat110 ein Bulk-Halbleitersubstrat auf (z.B. einen Wafer), das z.B. aus Silicium (Si) oder anderen Arten von Halbleitersubstratmaterialien gebildet ist, die üblicherweise bei Bulk-Halbleiter-Herstellungsprozessen verwendet werden, wie beispielsweise Germanium (Ge), eine Siliciumgermanium(SiGe)-Legierung, Siliciumcarbid (SiC), eine Siliciumgermaniumcarbid-Legierung oder Verbindungshalbleitermaterialien (z.B. III-V- oder II-VI-Verbindungsmaterialien etc.). Nicht beschränkende Beispiele für III-V-Verbindungshalbleitermaterialien beinhalten Galliumarsenid, Indiumarsenid und Indiumphosphid. Bei einer weiteren Ausführungsform weist das Halbleitersubstrat110 ein SOI(Siliciumauf-Isolator)-Substrat auf, das eine isolierende Schicht (z.B. eine Oxidschicht) aufweist, die zwischen einer Basissubstratschicht (z.B. einem Silicium-Substrat) und einer aktiven Halbleiterschicht (z.B. einer aktiven Si- oder SiGe-Schicht) angeordnet ist, in der aktive Schaltungskomponenten als Teil einer FEOL(Front-End-Of-Line)-Struktur ausgebildet sind. - Die Isolationsschicht
120 und die vertikalen Halbleiter-Fins130 können unter Verwendung verschiedener Verfahren hergestellt werden. Zum Beispiel können die vertikalen Halbleiter-Fins130 für Bulk- und SOI-Substrat-Ausführungsformen durch Strukturieren einer aktiven Silicium-Schicht (z.B. aus kristallinem Silicium, kristallinem SiGe, einem III-V-Verbindungshalbleitermaterial etc.) an der Oberfläche eines Bulk-Halbleitersubstrats oder des SOI-Substrats gebildet werden, um eine Struktur von vertikalen Halbleiter-Fins in verschiedenen Bereichen der Einheit über den Halbleiterwafer hinweg zu bilden, von denen in den1B ,1C und1D der Einfachheit der Darstellung halber drei gezeigt sind. Bei einer Ausführungsform können die vertikalen Halbleiter-Fins130 aus einer kristallinen SiGe-Schicht strukturiert werden, die auf der Oberseite eines Bulk-Silicium-Substrats oder eines Bulk-Germanium-Substrats epitaxial aufgewachsen wird. Eine kristalline SiGe-Schicht, die unter Verwendung eines Prozesses für ein epitaxiales Aufwachsen gebildet wird, kann eine entspannte SiGe-Schicht oder eine verspannte SiGe-Schicht aufweisen. Wie auf dem Fachgebiet bekannt ist, wird eine Spannungstechnik verwendet, um die Ladungsträgerbeweglichkeit für MOS-Transistoren zu verbessern, wobei verschiedene Arten von Si-SiGe-Heterostrukturen hergestellt werden können, um verschiedene Eigenschaften für CMOS-FET-Einheiten zu erhalten und/oder zu optimieren. Zum Beispiel kann Silicium epitaxial auf einer SiGe-Substratschicht aufgewachsen werden, um eine verspannte Si-Schicht zu bilden. Darüber hinaus kann eine verspannte SiGe-Schicht epitaxial auf einer Silicium-Substratschicht aufgewachsen werden. Eine Struktur aus verspanntem Si/entspanntem SiGe erzeugt eine Zugspannung, die in erster Linie die Elektronenbeweglichkeit für FET-Einheiten vom n-Typ verbessert, während eine Struktur aus verspanntem SiGe/entspanntem Si eine Druckspannung erzeugt, die in erster Linie die Löcherbeweglichkeit für FET-Einheiten vom p-Typ verbessert. - Nach dem Bilden der vertikalen Halbleiter-Fins
130 kann eine Schicht aus einem isolierenden Material abgeschieden werden, um die vertikalen Halbleiter-Fins130 zu bedecken, und kann dann (über eine chemisch-mechanische Planarisierung (CMP)) bis auf die Oberseite der vertikalen Halbleiter-Fins130 planarisiert werden und anschließend unter Verwendung eines Zurückätzprozesses (z.B. eines selektiven RIE-Prozesses) weiter zurückgesetzt werden, um die Isolationsschicht120 zu bilden. Wie in1C gezeigt, wird die Schicht aus einem isolierenden Material bis auf eine Zielebene geätzt, um obere Bereiche der vertikalen Halbleiter-Fin-Strukturen130 freizulegen, die eine Grundlinie für die aktive Fin-Höhe H für die FinFET-EinheitenD1 undD2 definieren. Bei einer Ausführungsform der Erfindung kann die Isolationsschicht120 unter Verwendung von RIE selektiv geätzt werden, wenngleich andere Ätzprozesse eingesetzt werden können. Es kann ein zeitlich festgelegter Ätzvorgang durchgeführt werden, um eine gewünschte Menge des isolierenden Materials zu entfernen, um die oberen Bereiche der vertikalen Halbleiter-Fin-Strukturen130 freizulegen. - Bei einer weiteren Ausführungsform können die vertikalen Halbleiter-Fins
130 unter Verwendung eines Prozesses gebildet werden, bei dem die Isolationsschicht120 zunächst abgeschieden wird und dann unter Verwendung von RIE oder tiefem RIE geätzt wird, um eine Struktur von Gräben in der Isolationsschicht120 bis zu dem Halbleitersubstrat110 zu bilden, die einer Struktur von vertikalen Halbleiter-Fins entspricht, die zu bilden sind. Die vertikalen Halbleiter-Fins130 werden dann durch epitaxiales Aufwachsen eines kristallinen Halbleitermaterials, beginnend auf den freiliegenden Oberflächen des Halbleitersubstrats110 am Boden der Gräben, unter Verwendung von ART-Techniken (Aspect-Ratio-Trapping-Techniken) gebildet. ART ermöglicht ein selektives epitaxiales Aufwachsen von zum Beispiel kristallinem Si, kristallinem SiGe oder einem III-V-Verbindungshalbleitermaterial, um Gräben mit einem hohen Aspektverhältnis zu füllen, die in einer isolierenden Schicht ausgebildet sind, und dadurch aktive Kanalschichten mit hoher Qualität für FinFET-Einheiten zu bilden. Die kristalline SiGe-Schicht (oder andere Arten von epitaxialen Halbleiterschichten) kann (können) unter Verwendung bekannter Techniken, wie beispielsweise von CVD (chemischer Gasphasenabscheidung), MOCVD (metallorganischer chemischer Gasphasenabscheidung), LPCVD (chemischer Gasphasenabscheidung bei geringem Druck), MBE (Molekularstrahlepitaxie), VPE (Gasphasenepitaxie), MOMBE (metallorganischer Molekularstrahlepitaxie), oder anderer bekannter Techniken für ein epitaxiales Aufwachsen epitaxial aufgewachsen werden. - Als nächstes können die Dummy-Gate-Strukturen
G1 undG2 unter Verwendung bekannter Prozessabläufe hergestellt werden. Zum Beispiel können die Dummy-Gate-StrukturenG1 undG2 hergestellt werden, indem eine konforme Oxidschicht über der Oberfläche des Halbleitersubstrats gebildet wird, um die vertikalen Halbleiter-Fins130 zu bedecken. Die konforme Oxidschicht kann gebildet werden, indem eine Oxidschicht (z.B. aus Siliciumoxid) unter Verwendung bekannter Techniken auf den freiliegenden Oberflächen aus Halbleiter-Fin-Material (z.B. auf Si- oder SiGe-Oberflächen etc.) aufgewachsen wird oder indem eine konforme Schicht aus einem Oxidmaterial (z.B. aus Siliciumdioxid) unter Verwendung eines bekannten Prozesses, wie beispielsweise atomarer Schichtabscheidung (ALD), chemischer Gasphasenabscheidung (CVD) etc., über der Oberfläche des Halbleitersubstrats abgeschieden wird. Eine Schicht aus einem Opfer-Silicium-Material, wie beispielsweise aus Polysilicium oder amorphem Silicium, wird dann deckend über dem Halbleitersubstrat abgeschieden und dann planarisiert. Die Schicht aus einem Opfer-Silicium-Material kann unter Verwendung bekannter Verfahren, wie beispielsweise CVD, physikalischer Gasphasenabscheidung (PVD), elektrochemischer Abscheidung, und weiterer geeigneter Abscheidungsverfahren abgeschieden werden. Die Schicht aus einem Opfer-Silicium-Material kann unter Verwendung von CMP planarisiert werden. - Die konforme Oxidschicht und die Opfer-Silicium-Schicht werden dann strukturiert, um die Dummy-Gate-Oxidschichten
142 und die Dummy-Gate-Elektrodenschichten140 der Dummy-Gate-StrukturenG1 undG2 zu bilden. Zum Beispiel wird oder werden eine oder mehrere Schicht(en) aus einem isolierenden Material auf der planarisierten Oberfläche der Opfer-Silicium-Schicht abgeschieden und dann unter Verwendung eines photolithographischen Prozesses strukturiert, um die Abdeckschichten150 zu bilden. Bei der in1A gezeigten beispielhaften Ausführungsform weisen die Abdeckschichten150 zum Beispiel eine erste isolierende Schicht152 und eine zweite isolierende Schicht154 auf. Bei einer Ausführungsform weist die erste isolierende Schicht152 Siliciumnitrid auf, und die zweite isolierende Schicht154 weist Siliciumoxid auf, wenngleich die Abdeckschichten150 in Abhängigkeit von dem durchgeführten Herstellungsprozessablauf aus irgendwelchen geeigneten Kombinationen von isolierenden Materialen gebildet werden können. Die Abdeckschichten150 definieren ein Bild der Dummy-Gate-StrukturenG1 undG2 und können als eine Ätzmaske verwendet werden, um die darunterliegende konforme Oxidschicht und Opfer-Silicium-Schicht zu ätzen. - Ein Trockenätzprozess (z.B. RIE) kann dazu verwendet werden, Bereiche der Opfer-Silicium-Schicht zu ätzen, die durch die Ätzmaske freiliegen (Abdeckschichten
150 ), um dadurch die Dummy-Gate-Elektrodenschichten140 der Dummy-Gate-StrukturenG1 undG2 zu bilden. Bei diesem Prozess dient die darunterliegende Oxidschicht als eine Ätzpufferschicht (oder eine Ätzstoppschicht), wenn die Opfer-Silicium-Schicht (z.B. die Polysilicium-Schicht) geätzt wird, um zu verhindern, dass der Poly-Ätzprozess durch die Oxidschicht hindurch ätzt und das Halbleitermaterial der vertikalen Halbleiter-Fins130 schädigt. Als nächstes werden die freiliegenden Bereiche der darunterliegenden Oxidschicht geätzt, um die Dummy-Gate-Oxidschichten142 der Dummy-Gate-StrukturenG1 undG2 zu bilden, so dass die Zwischen-Halbleitereinheit resultiert, die in den1A ,1B ,1C und1D gezeigt ist. - Nach dem Bilden der Dummy-Gate-Strukturen
G1 undG2 wird der Herstellungsprozess mit einem Bilden von mehrschichtigen Gate-Abstandshaltern für Gate-Strukturen der FinFET-EinheitenD1 undD2 fortgesetzt. Die2A ,2B und2C sind zum Beispiel schematische Ansichten der Halbleitereinheit der1A ,1B beziehungsweise 1C nach einem aufeinanderfolgenden Abscheiden einer ersten dielektrischen Schicht160 und einer zweiten dielektrischen Schicht170 über der Oberfläche des Substrats, um die Dummy-Gate-StrukturenG1 undG2 und die freiliegenden Bereiche der vertikalen Halbleiter-Fins130 abzudecken. Die erste und die zweite dielektrische Schicht160 und170 werden anschließend unter Verwendung eines nachstehend noch detaillierter erörterten Prozessablaufs strukturiert, um isolierende Gate-Abstandshalter für die FinFET-EinheitenD1 undD2 zu bilden. - Bei einer Ausführungsform weist die erste dielektrische Schicht
160 eine konforme dielektrische Schicht auf, die mit einer Dicke in einem Bereich von etwa 2 nm bis etwa 5 nm ausgebildet ist, und die zweite dielektrische Schicht170 weist eine konforme dielektrische Schicht auf, die mit einer Dicke in einem Bereich von etwa 5 nm bis etwa 20 nm ausgebildet ist. Die erste und die zweite dielektrische Schicht160 und170 können unter Verwendung bekannter Techniken, wie beispielsweise plasmaunterstützter chemischer Gasphasenabscheidung (PECVD), atomarer Schichtabscheidung (ALD), oder weiterer geeigneter Abscheidungsverfahren abgeschieden werden, welche die Abscheidung der ersten und der zweiten dielektrischen Schicht160 und170 mit einer hohen Konformität ermöglichen. - Bei einer Ausführungsform der Erfindung wird die zweite dielektrische Schicht
170 aus einem dielektrischen Material gebildet, das selektiv in Bezug auf die erste dielektrische Schicht160 geätzt werden kann (z.B. mit einer Ätzselektivität von etwa 10:1 oder einer höheren Selektivität), und die erste dielektrische Schicht160 wird aus einem dielektrischen Material gebildet, das selektiv geätzt werden kann in Bezug auf (i) die zweite dielektrische Schicht170 (z.B. mit einer Ätzselektivität von etwa 10:1 oder einer höheren Selektivität) und (ii) das Halbleitermaterial, das die vertikalen Halbleiter-Fins130 bildet (z.B. mit einer Ätzselektivität von etwa 10:1 oder einer höheren Selektivität). Bei einer Ausführungsform wird die erste dielektrische Schicht160 zum Beispiel aus einer dünnen Siliciumoxycarbonitrid(SiOCN)-Schicht gebildet, und die zweite dielektrische Schicht170 wird aus einer dünnen Siliciumborkohlenstoffnitrid(SiBCN)-Schicht gebildet. Um die erste und die zweite dielektrische Schicht160 und170 zu bilden, können weitere dielektrische Materialien mit niedrigem k verwendet werden, die zur Verwendung als isolierende Gate-Abstandshalter für Gate-Strukturen von FinFET-Einheiten geeignet sind, unter der Annahme, dass derartige dielektrische Materialien die Ätzselektivität bereitstellen, wie vorstehend erörtert. Die erste und die zweite dielektrische Schicht160 und170 werden unter Verwendung eines Prozessablaufs strukturiert, wie in den3A ,3B ,3C ,4A ,4B und4C schematisch dargestellt. - Insbesondere sind die
3A ,3B und3C schematische Ansichten der Halbleitereinheit der2A ,2B beziehungsweise 2C nach einem Ätzen der zweiten dielektrischen Schicht170 selektiv in Bezug auf die erste dielektrische Schicht160 . Wie in den3A und3B gezeigt, resultiert der Ätzprozess in der Entfernung von horizontalen Bereichen der zweiten dielektrischen Schicht170 von horizontalen Oberflächenmerkmalen und einem Abziehen (Zurücksetzen) von Bereichen der zweiten dielektrischen Schicht170 auf den vertikalen Seitenwänden der Dummy-Gate-/Abdeckschicht-StapelG1 /150 undG2 /150 . Wie in3C gezeigt, wird die zweite dielektrische Schicht170 in den Bereichen zwischen den Dummy-Gate-StrukturenG1 undG2 über den S/D-Bereichen der vertikalen Halbleiter-Fins130 vollständig entfernt. Bei einer Ausführungsform wird die zweite dielektrische Schicht170 unter Verwendung eines gerichteten (z.B. anisotropen) Trockenätzprozesses (z.B. RIE) mit einer Ätzchemie und Ätzumgebung geätzt, die so konfiguriert sind, dass die zweite dielektrische Schicht170 in hohem Maße selektiv in Bezug auf die erste dielektrische Schicht160 geätzt wird, so dass die erste dielektrische Schicht160 als ein Ätzstopp für den Ätzprozess dient. Bei diesem Ätzprozess wird die zweite dielektrische Schicht170 auf den vertikalen Seitenwänden der Dummy-Gate-/Abdeckschicht-StapelG1 /150 undG2 /150 bis zu einer Zielebene vertikal zurückgesetzt, die ausreichend ist, um die zweite dielektrische Schicht170 , die benachbart zu den Seitenwänden der aktiven S/D-Bereiche der vertikalen Halbleiter-Fins130 angeordnet ist (siehe3C ), vollständig wegzuätzen, während sichergestellt wird, dass die zweite dielektrische Schicht170 nicht unter die erste isolierende Schicht152 der Abdeckschicht150 auf der Oberseite jeder Dummy-Gate-StrukturG1 undG2 zurückgesetzt wird. Wie in der beispielhaften Ausführungsform von3A gezeigt, wird die zweite dielektrische Schicht170 zum Beispiel vertikal bis auf eine Ebene zurückgesetzt, die sich oberhalb der ersten isolierenden Schicht152 der Abdeckschicht150 auf den Dummy-Gate-StrukturenG1 undG2 befindet. - Als nächstes sind die
4A ,4B und4C schematische Ansichten der Halbleitereinheit der3A ,3B beziehungsweise 3C nach einem Ätzen der ersten dielektrischen Schicht160 selektiv in Bezug auf die zweite dielektrische Schicht170 und die vertikalen Halbleiter-Fins130 und nach einem Bilden von epitaxialen Source-/Drain-Schichten180 auf freiliegenden Bereichen der S/D-Bereiche der vertikalen Halbleiter-Fins130 der FinFET-EinheitenD1 undD2 .4D ist eine schematische Draufsicht von oben auf die in den4A ,4B und4C gezeigte Halbleitereinheit, wobei4A eine Querschnittsansicht von der Seite (yz-Ebene) der Halbleitereinheit100 entlang einer Linie4A-4A in4D ist, wobei4B eine Querschnittsansicht von der Seite (xz-Ebene) der Halbleitereinheit100 entlang einer Linie4B-4B in4D ist und wobei4C eine Querschnittsansicht von der Seite (xz-Ebene) der Halbleitereinheit100 entlang einer Linie4C-4C in4D ist. - Bei einer Ausführungsform wird ein isotroper Ätzprozess durchgeführt, um freiliegende Bereiche der ersten dielektrischen Schicht
160 selektiv wegzuätzen, während andere Bereiche der ersten dielektrischen Schicht160 , die mit der zweiten dielektrischen Schicht170 bedeckt sind, nicht geätzt werden. Der Ätzprozess ist außerdem selektiv in Bezug auf das Halbleitermaterial der vertikalen Halbleiter-Fins130 , um jegliche Ätzschädigung an den S/D-Bereichen der vertikalen Halbleiter-Fins130 zu verhindern oder ansonsten zu minimieren, wenn die erste dielektrische Schicht160 geätzt wird. Unter der Annahme, dass die erste dielektrische Schicht160 aus SiOCN gebildet ist und die zweite dielektrische Schicht170 aus SiBCN gebildet ist, kann der isotrope Ätzprozess bei einer Ausführungsform der Erfindung unter Verwendung eines Nassätzprozesses auf der Basis von Flurwasserstoffsäure (HF) durchgeführt werden, um die freiliegenden Bereiche der ersten dielektrischen Schicht160 in hohem Maße selektiv in Bezug auf die zweite dielektrische Schicht170 und die vertikalen Halbleiter-Fins130 wegzuätzen. Bei einer Ausführungsform der Erfindung weist die Ätzchemie auf der Basis von HF eine Ätzchemie auf der Basis von verdünnter HF mit einem erhöhten Säuregehalt unter Verwendung anderer mineralischer Säuren auf. - Wie in den
4A ,4B und4D gezeigt, resultiert das Ätzen der ersten dielektrischen Schicht160 in der Bildung von mehrschichtigen Gate-Abstandshaltern175 , die auf den vertikalen Seitenwänden der Dummy-Gate-StrukturenG1 undG2 angeordnet werden und welche die Dummy-Gate-StrukturenG1 undG2 umgeben. Die Herstellung eines mehrschichtigen Gate-Abstandshalters gemäß einer Ausführungsform der Erfindung ermöglicht die Abscheidung und Strukturierung von mehreren dünnen dielektrischen Schichten mit einem niedrigen k, um isolierende Gate-Abstandshalter mit gleichmäßigen Profilen und mit niedrigeren effektiven Dielektrizitätskonstanten im Vergleich zu herkömmlichen Abstandshaltern zu bilden, die aus einer einzelnen Schicht zum Beispiel aus SiN oder SiBCN gebildet werden. Wie vorstehend gezeigt, ermöglicht die Verwendung von verschiedenen dielektrischen Schichten mit einem niedrigen k mit unterschiedlichen Ätzeigenschaften des Weiteren eine Strukturierung der dielektrischen Schichten mit einem niedrigen k, um die Gate-Abstandshalter175 zu bilden, während ein Ätzen der vertikalen Halbleiter-Fins130 während der Abstandshalter-Herstellung verhindert wird oder ansonsten minimiert wird. - Nach der Bildung der mehrschichtigen Gate-Abstandshalter
175 wird der Prozessablauf mit einem Bilden der Source-/Drain-Schichten180 auf den freiliegenden S/D-Bereichen der vertikalen Halbleiter-Fins130 fortgesetzt. Wie in den4A ,4C und4D gezeigt, werden die Source-/Drain-Schichten180 bei einer Ausführungsform der Erfindung gebildet, indem ein epitaxiales Halbleitermaterial auf den freiliegenden Oberflächen der S/D-Bereiche der vertikalen Halbleiter-Fins130 benachbart zu den Dummy-Gate-StrukturenG1 undG2 aufgewachsen wird. Der Typ des epitaxialen Materials und der Dotierung, die zur Bildung der Source-/Drain-Schichten180 verwendet werden, variiert in Abhängigkeit davon, ob die FinFET-EinheitenD1 undD2 Einheiten vom p-Typ oder vom n-Typ sind. Bei einer weiteren Ausführungsform kann es sich bei den Source-/Drain-Schichten180 um Silicid-Schichten handeln, die unter Verwendung bekannter Verfahren auf den freiliegenden Oberflächen der S/D-Bereiche der vertikalen Halbleiter-Fins130 gebildet werden. - Als nächstes sind die
5A ,5B und5C schematische Ansichten der Halbleitereinheit der4A ,4B beziehungsweise 4C nach einem Abscheiden und Planarisieren einer Schicht aus einem dielektrischen Material zur Bildung einer ILD-Schicht190 . Die ILD-Schicht190 wird gebildet, indem zum Beispiel eine oder mehrere Schicht(en) aus einem isolierenden Material über der Oberfläche des Halbleitersubstrats abgeschieden wird oder werden, um die Dummy-Gate-StrukturenG1 undG2 abzudecken, und anschließend die Oberfläche des Halbleitersubstrats bis auf eine Ebene planarisiert wird, welche die obere Oberfläche der ersten isolierenden Schicht152 der Abdeckschichten150 auf den Dummy-Gate-StrukturenG1 undG2 freilegt. Die ILD-Schicht190 kann unter Verwendung geeigneter dielektrischer Materialien gebildet werden, die beinhalten, jedoch nicht beschränkt sind auf: Siliciumoxid, hydriertes Siliciumkohlenstoffoxid (SiCOH), SiCH, SiCNH oder andere Arten von Dielektrika mit niedrigem k auf der Basis von Silicium (z.B. einem k von weniger als 4,0), poröse Dielektrika oder bekannte dielektrische ULK-Materialien (mit einem ultraniedrigen k) (mit einem k von weniger als etwa 2,5). Die ILD-Schicht190 kann zum Beispiel eine einzelne abgeschiedene Schicht aus einem isolierenden Material oder mehrere Schichten aus einem isolierenden Material aufweisen (z.B. eine erste Schicht aus einem fließfähigen Oxid und eine zweite Schicht aus einem isolierenden Material, die auf der ersten Schicht ausgebildet ist). Die ILD-Schicht190 kann unter Verwendung bekannter Abscheidungstechniken abgeschieden werden, wie zum Beispiel von ALD, CVD, PECVD (plasmaunterstütztem CVD) oder PVD oder eines Aufschleuder-Verfahrens. - Auf die Bildung der ILD-Schicht
190 folgend wird der Prozessablauf mit einem RMG-Prozess fortgesetzt, um die Dummy-Gate-StrukturenG1 undG2 durch metallische Gate-Strukturen zu ersetzen. Die6A und6B sind zum Beispiel schematische Ansichten der Halbleitereinheit der5A beziehungsweise 5B nach einem Durchführen eines Ersetzungs-Metall-Gate-Prozesses, um die Dummy-Gate-StrukturenG1 undG2 zu entfernen und Metall-Gate-Strukturen200 mit einem hohen k zu bilden. Bei einer Ausführungsform weisen die Metall-Gate-Strukturen200 mit einem hohen k jeweils eine dielektrische Schicht202 mit einem hohen k und eine metallische Gate-Elektrode204 auf. Unter Verwendung verschiedener Verfahren können die Dummy-Gate-StrukturenG1 undG2 entfernt werden und können die Metall-Gate-Strukturen200 mit einem hohen k gebildet werden. - Die erste isolierende Schicht
152 jeder Abdeckschicht150 kann zum Beispiel selektiv in Bezug auf die Materialien der ILD-Schicht190 und der Gate-Abstandshalter175 weggeätzt werden, um die Dummy-Gate-Elektrodenschichten140 der Dummy-Gate-StrukturenG1 undG2 freizulegen. Die Dummy-Gate-Elektrodenschichten140 werden dann entfernt, indem ein Nassätzprozess (z.B. mit einer chemischen Ätzlösung aus TetraMethylAmmoniumHydroxid (TMAH)) oder ein Trockenätzprozess (z.B. ein chemischer Ätzvorgang aus der Gasphase NF3+H2) verwendet wird, um das Opfer-Silicium-Material der Dummy-Gate-StrukturenG1 undG2 selektiv in Bezug auf die dielektrischen und isolierenden Materialien der ILD-Schicht190 , der Gate-Abstandshalter175 und der Dummy-Gate-Oxidschichten142 wegzuätzen. Dieser Ätzprozess legt die Dummy-Gate-Oxidschichten142 der Dummy-Gate-StrukturenG1 undG2 frei. Die Dummy-Gate-Oxidschichten142 werden dann unter Verwendung einer Ätzchemie und einer Ätzumgebung weggeätzt, in denen die Oxidschichten142 selektiv in Bezug auf die Materialien der vertikalen Halbleiter-Fins130 , der Gate-Abstandshalter175 und der ILD-Schicht190 geätzt werden. Bei einer Ausführungsform der Erfindung, bei der die Dummy-Gate-Oxidschichten142 aus Siliciumoxid gebildet werden, kann zum Beispiel ein Oxid-Ätzprozess unter Verwendung eines Gemischs aus den Reaktionsgasen (oder Ätzmittelgasen) NH3 (Ammoniak) und HF (Fluorwasserstoff) durchgeführt werden. - Auf die Entfernung der Dummy-Gate-Oxidschichten
142 folgend werden die Metall-Gate-Strukturen200 gebildet, indem eine oder mehrere konforme Schicht(en) aus einem Gate-Dielektrikum-Material über der Oberfläche der Halbleiterstruktur abgeschieden wird oder werden und eine oder mehrere Schicht(en) aus einem leitfähigen Material über dem Gate-Dielektrikum-Material abgeschieden wird oder werden. Anschließend wird ein Planarisierungsprozess (z.B. CMP) durchgeführt, um die Oberfläche der Halbleiterstruktur bis zu der ILD-Schicht190 zu polieren, so dass dadurch die Überladungsbereiche des Gate-Dielektrikum- und des leitfähigen Materials entfernt werden, was in der in den6A und6B gezeigten Halbleiterstruktur mit den Metall-Gate-Strukturen200 resultiert. - Die Gate-Dielektrikum-Schichten
202 werden mit irgendeinem geeigneten dielektrischen Material gebildet, das zum Beispiel Nitrid, Oxynitrid oder Oxid oder ein dielektrisches Material mit einem hohen k beinhaltet, das eine Dielektrizitätskonstante von etwa 3,9 oder höher aufweist. Insbesondere kann die konforme Schicht202 aus einem Gate-Dielektrikum-Material Siliciumoxid, Siliciumnitrid, Siliciumoxynitrid, Bornitrid, Materialien mit einem hohen k oder irgendeine Kombination dieser Materialien beinhalten. Beispiele für Materialien mit einem hohen k beinhalten Metalloxide, wie beispielsweise Hafniumoxid, Hafniumsiliciumoxid, Hafniumsiliciumoxynitrid, Lanthanoxid, Lanthanaluminiumoxid, Zirkoniumoxid, Zirkoniumsiliciumoxid, Zirkoniumsiliciumoxynitrid, Tantaloxid, Titanoxid, Bariumstrontiumtitanoxid, Bariumtitanoxid, Strontiumtitanoxid, Yttriumoxid, Aluminiumoxid, Bleiscandiumtantaloxid sowie Bleizinkniobat, sind jedoch nicht beschränkt auf diese. Das Gate-Dielektrikum-Material mit einem hohen k kann des Weiteren Dotierstoffe beinhalten, wie beispielsweise Lanthan, Aluminium. Bei einer Ausführungsform der Erfindung wird die konforme Schicht aus einem Gate-Dielektrikum-Material mit einer Dicke in einem Bereich von etwa 0,5 nm bis etwa 2,5 nm gebildet, wobei die Dicke in Abhängigkeit von der Zielanwendung variiert. Das dielektrische Material, das die Gate-Dielektrikum-Schichten202 bildet, wird unter Verwendung bekannter Verfahren abgeschieden, wie beispielsweise ALD, so dass eine hohe Konformität des Gate-Dielektrikum-Materials ermöglicht wird. - Die Gate-Elektrodenschichten
204 werden mit irgendeinem geeigneten leitfähigen Material gebildet, das zum Beispiel beinhaltet: dotiertes polykristallines oder amorphes Silicium, Germanium, Siliciumgermanium, ein Metall (z.B. Wolfram, Titan, Tantal, Ruthenium, Zirconium, Cobalt, Kupfer, Aluminium, Blei, Platin, Zinn, Silber, Gold), ein leitendes metallisches Verbundmaterial (z.B. Tantalnitrid, Titannitrid, Tantalcarbid, Titancarbid, Titanaluminiumcarbid, Wolframsilicid, Wolframnitrid, Rutheniumoxid, Cobaltsilicid, Nickelsilicid), Kohlenstoff-Nanoröhren, leitfähigen Kohlenstoff, Graphen oder irgendeine geeignete Kombination derartiger leitfähiger Materialien. Die Schicht aus einem leitfähigen Material weist ferner Dotierstoffe auf, die während oder nach der Abscheidung eingebracht werden. Die Schicht aus einem leitfähigen Material wird unter Verwendung eines geeigneten Abscheidungsprozesses abgeschieden, zum Beispiel von CVD, PECVD, PVD, Plattieren, thermischer Verdampfung oder Elektronenstrahlverdampfung, Sputtern etc. - Bei einer weiteren Ausführungsform kann eine dünne konforme Schicht aus einem Austrittsarbeits-Metall (WFM) über der konformen Schicht
202 aus einem Gate-Dielektrikum-Material vor einer Abscheidung der Schicht204 aus einem leitfähigen Material abgeschieden werden. Die dünne konforme WFM-Schicht kann aus einer oder mehreren Art(en) von metallischen Materialien gebildet werden, die beinhalten, jedoch nicht beschränkt sind auf: TiN, TaN, TiAIC, Zr, W, Hf, Ti, AI, Ru, Pa, TiAl, ZrAI, WAI, TaAl, HfAI, TiAIC, TaC, TiC, TaMgC oder andere Austrittsarbeits-Metalle oder -Legierungen, die üblicherweise verwendet werden, um Soll-Austrittsarbeiten zu erhalten, die für den Typ (z.B. den n-Typ oder den p-Typ) von vertikalen FET-Einheiten geeignet sind, die zu bilden sind. Die konforme WFM-Schicht wird unter Verwendung bekannter Verfahren abgeschieden, wie beispielsweise ALD, CVD etc. Bei einer Ausführungsform wird die konforme WFM-Schicht mit einer Dicke in einem Bereich von etwa 2 nm bis etwa 5 nm gebildet. Bei einer weiteren Ausführungsform kann das leitfähige Material, das die Gate-Elektrodenschichten204 bildet, als eine WFM-Schicht dienen. - Auf die Bildung der metallischen Gate-Strukturen
200 folgend kann irgendeine geeignete Abfolge von Prozessschritten durchgeführt werden, um die Herstellung von FinFET-Einheiten vom n-Typ und/oder p-Typ sowie weiterer Elemente einer integrierten Halbleiterschaltung zu beenden, die als Teil der FEOL-Schicht hergestellt werden, wobei die Details derselben für ein Verständnis der Ausführungsformen der Erfindung nicht notwendig sind. Darüber hinaus wird ein MOL(Middle-Of-The-Line)-Prozess durchgeführt, um leitfähige Durchkontakte in der ILD-Schicht190 (und einer oder mehreren anderen Schicht(en) aus einem isolierenden Material, die über der ILD-Schicht190 ausgebildet sein kann oder können) zu bilden. Die Durchkontakte werden gebildet, indem Öffnungen in die ILD-Schicht190 (und irgendeine darüberliegende isolierende Schicht) bis zu den Source-/Drain-Schichten180 , die auf den S/D-Bereichen der vertikalen Halbleiter-Fins130 ausgebildet sind, und bis zu den Metall-Gate-Strukturen200 geätzt werden und die Öffnungen dann mit einem leitfähigen Material gefüllt werden, um die Kontakte der Einheit in der ILD-Schicht190 zu bilden. Auf die Bildung der MOL-Kontakte der Einheit folgend wird unter Verwendung allgemein bekannter Herstellungsprozessabläufe eine BEOL(Back-End-Of-Line)-Verbindungsstruktur gebildet, um Verbindungen zwischen den FinFET-Einheiten und anderen aktiven oder passiven Einheiten bereitzustellen, die als Teil der FEOL-Schicht ausgebildet sind. - Es versteht sich, dass die hier erörterten Verfahren zur Herstellung von FinFET-Einheiten mit mehrschichtigen Gate-Strukturen als Teil verschiedener Halbleiter-Prozessabläufe zur Herstellung anderer Arten von Halbleitereinheiten und integrierten Schaltungen mit verschiedenen analogen und digitalen Schaltungsaufbauten oder einem Mischsignal-Schaltungsaufbau integriert werden können. Die Einzelchips mit integrierten Schaltungen können mit verschiedenen Einheiten hergestellt werden, wie beispielsweise Feldeffekttransistoren, Bipolartransistoren, Metall-Oxid-Halbleiter-Transistoren, Dioden, Kondensatoren, Induktoren etc. Eine integrierte Schaltung gemäß der vorliegenden Erfindung kann bei Anwendungen, Hardware und/oder elektronischen Systemen eingesetzt werden. Eine geeignete Hardware und geeignete Systeme für eine Ausführung der Erfindung können beinhalten, sind jedoch nicht beschränkt auf: Personalcomputer, Kommunikationsnetzwerke, Systeme für den elektronischen Handel, tragbare Kommunikationseinheiten (z.B. Mobiltelephone), Speichereinheiten mit Solid-State-Datenträgern, funktionelle Schaltungsaufbauten etc. Systeme und Hardware, die derartige integrierte Schaltungen enthalten, werden als Teil der hier beschriebenen Ausführungsformen betrachtet. Mit der Voraussetzung der Lehren der Erfindung, die hier bereitgestellt sind, ist ein Fachmann in der Lage, weitere Ausführungen und Anwendungen der Techniken der Erfindung ins Auge zu fassen.
- Wenngleich hier exemplarische Ausführungsformen unter Bezugnahme auf die beigefügten Figuren beschrieben wurden, versteht es sich, dass die Erfindung nicht auf diese bestimmten Ausführungsformen beschränkt ist und dass verschiedene weitere Änderungen und Modifikationen von einem Fachmann durchgeführt werden können, ohne von dem Umfang der beigefügten Ansprüche abzuweichen.
Claims (20)
- Verfahren zur Herstellung einer Halbleitereinheit, das aufweist: Bilden einer Dummy-Gate-Struktur über einem Bereich eines vertikalen Halbleiter-Fin einer FinFET(Feldeffekttransistor)-Einheit; und Bilden eines mehrschichtigen Gate-Abstandshalters auf der Dummy-Gate-Struktur; wobei der mehrschichtige Gate-Abstandshalter eine erste dielektrische Schicht und eine zweite dielektrische Schicht aufweist; und wobei die erste dielektrische Schicht eine Ätzselektivität in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht aufweist.
- Verfahren nach
Anspruch 1 , wobei die erste dielektrische Schicht Siliciumoxycarbonitrid (SiOCN) aufweist. - Verfahren nach
Anspruch 2 , wobei die erste dielektrische Schicht eine Dicke in einem Bereich von etwa 2 nm bis etwa 5 nm aufweist. - Verfahren nach
Anspruch 2 , wobei die zweite dielektrische Schicht Siliciumborkohlenstoffnitrid (SiBCN) aufweist. - Verfahren nach
Anspruch 4 , wobei die zweite dielektrische Schicht eine Dicke in einem Bereich von etwa 5 nm bis etwa 20 nm aufweist. - Verfahren nach
Anspruch 1 , wobei das Bilden des mehrschichtigen Gate-Abstandshalters auf der Dummy-Gate-Struktur aufweist: Abscheiden einer ersten konformen Schicht aus einem dielektrischen Material über der Dummy-Gate-Struktur und dem vertikalen Halbleiter-Fin; Abscheiden einer zweiten konformen Schicht aus einem dielektrischen Material über der ersten konformen Schicht aus einem dielektrischen Material; Ätzen der zweiten konformen Schicht aus einem dielektrischen Material selektiv in Bezug auf die erste konforme Schicht aus einem dielektrischen Material, um die zweite dielektrische Schicht des mehrschichtigen Gate-Abstandshalters zu bilden; und Ätzen freiliegender Bereiche der ersten konformen Schicht aus einem dielektrischen Material selektiv in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht des mehrschichtigen Gate-Abstandshalters, um die erste dielektrische Schicht des mehrschichtigen Gate-Abstandshalters zu bilden und Source-/Drain-Bereiche des vertikalen Halbleiter-Fin freizulegen. - Verfahren nach
Anspruch 6 , wobei die erste konforme Schicht des dielektrischen Material Siliciumoxycarbonitrid (SiOCN) aufweist. - Verfahren nach
Anspruch 7 , wobei die erste konforme Schicht des dielektrischen Material mit einer Dicke in einem Bereich von etwa 2 nm bis etwa 5 nm gebildet wird. - Verfahren nach
Anspruch 6 , wobei die zweite konforme Schicht des dielektrischen Material Siliciumborkohlenstoffnitrid (SiBCN) aufweist. - Verfahren nach
Anspruch 9 , wobei die zweite konforme Schicht des dielektrischen Material mit einer Dicke in einem Bereich von etwa 5 nm bis etwa 20 nm gebildet wird. - Verfahren nach
Anspruch 6 , das des Weiteren ein epitaxiales Aufwachsen einer Schicht aus einem epitaxialen Halbleitermaterial auf den freiliegenden Source-/Drain-Bereichen des vertikalen Halbleiter-Fin aufweist. - Verfahren nach
Anspruch 11 , das des Weiteren ein Entfernen der Dummy-Gate-Struktur und ein Bilden einer metallischen Gate-Struktur anstelle der Dummy-Gate-Struktur aufweist. - Verfahren nach
Anspruch 12 , wobei die metallische Gate-Struktur eine Metall-Gate-Struktur mit einem hohen k aufweist. - Halbleitereinheit, die aufweist: eine Gate-Struktur, die über einem Bereich eines vertikalen Halbleiter-Fin einer FinFET(Feldeffekttransistor)-Einheit ausgebildet ist; und einen mehrschichtigen Gate-Abstandshalter, der auf Seitenwänden der Gate-Struktur ausgebildet ist; wobei der mehrschichtige Gate-Abstandshalter eine erste dielektrische Schicht und eine zweite dielektrische Schicht aufweist; und wobei die erste dielektrische Schicht eine Ätzselektivität in Bezug auf den vertikalen Halbleiter-Fin und die zweite dielektrische Schicht aufweist.
- Halbleitereinheit nach
Anspruch 14 , wobei die erste dielektrische Schicht Siliciumoxycarbonitrid (SiOCN) aufweist. - Halbleitereinheit nach
Anspruch 15 , wobei die erste dielektrische Schicht eine Dicke in einem Bereich von etwa 2 nm bis etwa 5 nm aufweist. - Halbleitereinheit nach
Anspruch 14 , wobei die zweite dielektrische Schicht Siliciumborkohlenstoffnitrid (SiBCN) aufweist. - Halbleitereinheit nach
Anspruch 17 , wobei die zweite dielektrische Schicht eine Dicke in einem Bereich von etwa 5 nm bis etwa 20 nm aufweist. - Halbleitereinheit nach
Anspruch 18 , die des Weiteren ein epitaxiales Halbleitermaterial aufweist, das auf Source-/Drain-Bereichen des vertikalen Halbleiter-Fin benachbart zu der metallischen Gate-Struktur ausgebildet ist. - Halbleitereinheit nach
Anspruch 14 , wobei die Gate-Struktur eine Metall-Gate-Struktur mit einem hohen k aufweist.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US15/639,721 | 2017-06-30 | ||
US15/639,721 US10243079B2 (en) | 2017-06-30 | 2017-06-30 | Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning |
PCT/IB2018/054653 WO2019003078A1 (en) | 2017-06-30 | 2018-06-25 | USE OF A MULTILAYER GRID SPACER TO REDUCE THE EROSION OF A SEMICONDUCTOR FIN IN THE MODELING OF A SPACER |
Publications (1)
Publication Number | Publication Date |
---|---|
DE112018003323T5 true DE112018003323T5 (de) | 2020-03-12 |
Family
ID=64734929
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE112018003323.6T Pending DE112018003323T5 (de) | 2017-06-30 | 2018-06-25 | Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung |
Country Status (6)
Country | Link |
---|---|
US (2) | US10243079B2 (de) |
JP (1) | JP7123986B2 (de) |
CN (1) | CN110603647A (de) |
DE (1) | DE112018003323T5 (de) |
GB (1) | GB2579463B (de) |
WO (1) | WO2019003078A1 (de) |
Families Citing this family (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10243079B2 (en) * | 2017-06-30 | 2019-03-26 | International Business Machines Corporation | Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning |
US10483372B2 (en) | 2017-09-29 | 2019-11-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spacer structure with high plasma resistance for semiconductor devices |
KR102532118B1 (ko) * | 2018-03-20 | 2023-05-11 | 삼성전자주식회사 | 반도체 장치 및 이의 제조 방법 |
US11038036B2 (en) * | 2018-09-26 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Separate epitaxy layers for nanowire stack GAA device |
US11869891B2 (en) * | 2018-09-28 | 2024-01-09 | Intel Corporation | Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process |
US11658212B2 (en) * | 2019-02-13 | 2023-05-23 | Intel Corporation | Quantum dot devices with conductive liners |
CN112466945B (zh) * | 2019-09-06 | 2023-10-20 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构及其形成方法 |
US11424165B2 (en) * | 2019-10-16 | 2022-08-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor |
US11417750B2 (en) * | 2020-01-31 | 2022-08-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gate air spacer for fin-like field effect transistor |
US20230119827A1 (en) * | 2021-10-20 | 2023-04-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor Device Structure And Method For Forming The Same |
Family Cites Families (96)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5043778A (en) | 1986-08-11 | 1991-08-27 | Texas Instruments Incorporated | Oxide-isolated source/drain transistor |
US5635102A (en) | 1994-09-28 | 1997-06-03 | Fsi International | Highly selective silicon oxide etching method |
US6849193B2 (en) | 1999-03-25 | 2005-02-01 | Hoiman Hung | Highly selective process for etching oxide over nitride using hexafluorobutadiene |
US6306702B1 (en) | 1999-08-24 | 2001-10-23 | Advanced Micro Devices, Inc. | Dual spacer method of forming CMOS transistors with substantially the same sub 0.25 micron gate length |
KR100416377B1 (ko) | 2001-06-02 | 2004-01-31 | 삼성전자주식회사 | ㄴ 자형 스페이서를 이용하는 반도체 트랜지스터 및 그제조 방법 |
JP4343571B2 (ja) | 2002-07-31 | 2009-10-14 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
US7902029B2 (en) | 2002-08-12 | 2011-03-08 | Acorn Technologies, Inc. | Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor |
US6632745B1 (en) | 2002-08-16 | 2003-10-14 | Chartered Semiconductor Manufacturing Ltd. | Method of forming almost L-shaped spacer for improved ILD gap fill |
US20060074232A1 (en) | 2004-10-04 | 2006-04-06 | Stowers Institute For Medical Research | Matrimony gene and protein |
US7365378B2 (en) | 2005-03-31 | 2008-04-29 | International Business Machines Corporation | MOSFET structure with ultra-low K spacer |
US20070196991A1 (en) | 2006-02-01 | 2007-08-23 | Texas Instruments Incorporated | Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor |
US7495280B2 (en) | 2006-05-16 | 2009-02-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | MOS devices with corner spacers |
US7456068B2 (en) | 2006-06-08 | 2008-11-25 | Intel Corporation | Forming ultra-shallow junctions |
US7488659B2 (en) | 2007-03-28 | 2009-02-10 | International Business Machines Corporation | Structure and methods for stress concentrating spacer |
US7652332B2 (en) | 2007-08-10 | 2010-01-26 | International Business Machines Corporation | Extremely-thin silicon-on-insulator transistor with raised source/drain |
US7919379B2 (en) | 2007-09-10 | 2011-04-05 | International Business Machines Corporation | Dielectric spacer removal |
US7795097B2 (en) | 2007-11-20 | 2010-09-14 | Texas Instruments Incorporated | Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme |
US8226840B2 (en) | 2008-05-02 | 2012-07-24 | Micron Technology, Inc. | Methods of removing silicon dioxide |
US7919792B2 (en) | 2008-12-18 | 2011-04-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Standard cell architecture and methods with variable design rules |
US8383503B2 (en) | 2009-08-05 | 2013-02-26 | GlobalFoundries, Inc. | Methods for forming semiconductor structures using selectively-formed sidewall spacers |
US20110061810A1 (en) | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
CN102024761A (zh) * | 2009-09-18 | 2011-04-20 | 中芯国际集成电路制造(上海)有限公司 | 用于形成半导体集成电路器件的方法 |
US9263276B2 (en) | 2009-11-18 | 2016-02-16 | International Business Machines Corporation | High-k/metal gate transistor with L-shaped gate encapsulation layer |
US9117905B2 (en) * | 2009-12-22 | 2015-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for incorporating impurity element in EPI silicon process |
US9595477B2 (en) * | 2011-01-20 | 2017-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device including an epitaxy region |
US8445334B1 (en) | 2011-12-20 | 2013-05-21 | International Business Machines Corporation | SOI FinFET with recessed merged Fins and liner for enhanced stress coupling |
US20130214364A1 (en) * | 2012-02-16 | 2013-08-22 | International Business Machines Corporation | Replacement gate electrode with a tantalum alloy metal layer |
US8637371B2 (en) * | 2012-02-16 | 2014-01-28 | International Business Machines Corporation | Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same |
US8906760B2 (en) | 2012-03-22 | 2014-12-09 | Tokyo Electron Limited | Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme |
US9224840B2 (en) | 2012-07-10 | 2015-12-29 | GlobalFoundries, Inc. | Replacement gate FinFET structures with high mobility channel |
US9136177B2 (en) * | 2012-07-30 | 2015-09-15 | Globalfoundries Inc. | Methods of forming transistor devices with high-k insulation layers and the resulting devices |
US8741701B2 (en) | 2012-08-14 | 2014-06-03 | International Business Machines Corporation | Fin structure formation including partial spacer removal |
KR101921465B1 (ko) | 2012-08-22 | 2018-11-26 | 삼성전자 주식회사 | 반도체 소자 및 이의 제조 방법 |
US8946791B2 (en) | 2012-08-31 | 2015-02-03 | International Business Machines Corporation | Finfet with reduced parasitic capacitance |
US8937369B2 (en) | 2012-10-01 | 2015-01-20 | United Microelectronics Corp. | Transistor with non-uniform stress layer with stress concentrated regions |
US9064948B2 (en) * | 2012-10-22 | 2015-06-23 | Globalfoundries Inc. | Methods of forming a semiconductor device with low-k spacers and the resulting device |
US8809920B2 (en) | 2012-11-07 | 2014-08-19 | International Business Machines Corporation | Prevention of fin erosion for semiconductor devices |
US8865549B2 (en) | 2012-12-07 | 2014-10-21 | Texas Instruments Incorporated | Recessed channel insulated-gate field effect transistor with self-aligned gate and increased channel length |
US8815668B2 (en) | 2012-12-07 | 2014-08-26 | International Business Machines Corporation | Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US9293534B2 (en) * | 2014-03-21 | 2016-03-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Formation of dislocations in source and drain regions of FinFET devices |
US8765546B1 (en) | 2013-06-24 | 2014-07-01 | United Microelectronics Corp. | Method for fabricating fin-shaped field-effect transistor |
US9306036B2 (en) | 2013-07-30 | 2016-04-05 | Globalfoundries Inc. | Nitride spacer for protecting a fin-shaped field effect transistor (finFET) device |
US9349835B2 (en) | 2013-09-16 | 2016-05-24 | Globalfoundries Inc. | Methods for replacing gate sidewall materials with a low-k spacer |
US20150076654A1 (en) | 2013-09-17 | 2015-03-19 | Global Foundries Inc. | Enlarged fin tip profile for fins of a field effect transistor (finfet) device |
US9209178B2 (en) | 2013-11-25 | 2015-12-08 | International Business Machines Corporation | finFET isolation by selective cyclic etch |
US9406778B2 (en) * | 2014-01-15 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor device and formation thereof |
CN104795362B (zh) | 2014-01-16 | 2018-03-30 | 中芯国际集成电路制造(上海)有限公司 | 一种制作半导体器件的方法 |
US9064801B1 (en) | 2014-01-23 | 2015-06-23 | International Business Machines Corporation | Bi-layer gate cap for self-aligned contact formation |
US20150214331A1 (en) * | 2014-01-30 | 2015-07-30 | Globalfoundries Inc. | Replacement metal gate including dielectric gate material |
US9378975B2 (en) | 2014-02-10 | 2016-06-28 | Tokyo Electron Limited | Etching method to form spacers having multiple film layers |
US9412656B2 (en) | 2014-02-14 | 2016-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Reverse tone self-aligned contact |
US9312354B2 (en) | 2014-02-21 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact etch stop layers of a field effect transistor |
US9123744B1 (en) | 2014-03-07 | 2015-09-01 | United Microelectronics Corp. | Semiconductor device and method for fabricating the same |
US9252233B2 (en) | 2014-03-12 | 2016-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Air-gap offset spacer in FinFET structure |
US9202751B2 (en) | 2014-04-07 | 2015-12-01 | Globalfoundries Inc. | Transistor contacts self-aligned in two dimensions |
US9640625B2 (en) | 2014-04-25 | 2017-05-02 | Globalfoundries Inc. | Self-aligned gate contact formation |
US9660057B2 (en) * | 2014-06-17 | 2017-05-23 | Stmicroelectronics, Inc. | Method of forming a reduced resistance fin structure |
US20160005868A1 (en) | 2014-07-01 | 2016-01-07 | Globalfoundries Inc. | Finfet with confined epitaxy |
US9536879B2 (en) | 2014-07-09 | 2017-01-03 | International Business Machines Corporation | FinFET with constrained source-drain epitaxial region |
KR102264542B1 (ko) * | 2014-08-04 | 2021-06-14 | 삼성전자주식회사 | 반도체 장치 제조 방법 |
US9412820B2 (en) * | 2014-08-11 | 2016-08-09 | Stmicroelectronics, Inc. | Semiconductor device with thinned channel region and related methods |
US9455200B2 (en) * | 2014-08-11 | 2016-09-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for semiconductor device fabrication |
US10164108B2 (en) | 2014-10-17 | 2018-12-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device and method for forming the same |
CN105679824B (zh) * | 2014-11-18 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | 鳍式场效应晶体管及其制造方法 |
US10950722B2 (en) | 2014-12-31 | 2021-03-16 | Stmicroelectronics, Inc. | Vertical gate all-around transistor |
US9337094B1 (en) | 2015-01-05 | 2016-05-10 | International Business Machines Corporation | Method of forming contact useful in replacement metal gate processing and related semiconductor structure |
CN105845627A (zh) | 2015-01-14 | 2016-08-10 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制备方法、电子装置 |
US9330983B1 (en) * | 2015-02-16 | 2016-05-03 | International Business Machines Corporation | CMOS NFET and PFET comparable spacer width |
US9514997B2 (en) | 2015-03-25 | 2016-12-06 | International Business Machines Corporation | Silicon-germanium FinFET device with controlled junction |
US10032910B2 (en) | 2015-04-24 | 2018-07-24 | GlobalFoundries, Inc. | FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same |
KR102394938B1 (ko) | 2015-05-21 | 2022-05-09 | 삼성전자주식회사 | 반도체 소자 및 반도체 소자의 제조 방법 |
US9876074B2 (en) * | 2015-05-22 | 2018-01-23 | International Business Machines Corporation | Structure and process to tuck fin tips self-aligned to gates |
US9397003B1 (en) * | 2015-05-27 | 2016-07-19 | Globalfoundries Inc. | Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques |
KR102396111B1 (ko) * | 2015-06-18 | 2022-05-10 | 삼성전자주식회사 | 반도체 소자 및 그 제조 방법 |
US9455331B1 (en) | 2015-07-10 | 2016-09-27 | International Business Machines Corporation | Method and structure of forming controllable unmerged epitaxial material |
US9576980B1 (en) * | 2015-08-20 | 2017-02-21 | International Business Machines Corporation | FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure |
US9620644B2 (en) | 2015-09-02 | 2017-04-11 | International Business Machines Corporation | Composite spacer enabling uniform doping in recessed fin devices |
US9576954B1 (en) * | 2015-09-23 | 2017-02-21 | International Business Machines Corporation | POC process flow for conformal recess fill |
US9443848B1 (en) | 2015-09-24 | 2016-09-13 | International Business Machines Corporation | Methods for contact formation for 10 nanometers and beyond with minimal mask counts |
US9577102B1 (en) | 2015-09-25 | 2017-02-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming gate and finFET |
CN106611709B (zh) * | 2015-10-15 | 2019-09-03 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制备方法、电子装置 |
US9887289B2 (en) * | 2015-12-14 | 2018-02-06 | International Business Machines Corporation | Method and structure of improving contact resistance for passive and long channel devices |
US9577038B1 (en) * | 2015-12-15 | 2017-02-21 | International Business Machines Corporation | Structure and method to minimize junction capacitance in nano sheets |
US9634009B1 (en) * | 2015-12-18 | 2017-04-25 | International Business Machines Corporation | System and method for source-drain extension in FinFETs |
US9899526B2 (en) | 2016-01-15 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field effect transistor structure and manufacturing method thereof |
US9450095B1 (en) | 2016-02-04 | 2016-09-20 | International Business Machines Corporation | Single spacer for complementary metal oxide semiconductor process flow |
US9508604B1 (en) | 2016-04-29 | 2016-11-29 | Globalfoundries Inc. | Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers |
US9893171B2 (en) * | 2016-06-03 | 2018-02-13 | International Business Machines Corporation | Fin field effect transistor fabrication and devices having inverted T-shaped gate |
US10297614B2 (en) * | 2016-08-09 | 2019-05-21 | International Business Machines Corporation | Gate top spacer for FinFET |
US9647112B1 (en) * | 2016-09-22 | 2017-05-09 | International Business Machines Corporation | Fabrication of strained vertical P-type field effect transistors by bottom condensation |
KR102310079B1 (ko) * | 2017-03-03 | 2021-10-08 | 삼성전자주식회사 | 반도체 소자 |
US10243079B2 (en) * | 2017-06-30 | 2019-03-26 | International Business Machines Corporation | Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning |
US10134859B1 (en) * | 2017-11-09 | 2018-11-20 | International Business Machines Corporation | Transistor with asymmetric spacers |
US10361125B2 (en) * | 2017-12-19 | 2019-07-23 | International Business Machines Corporation | Methods and structures for forming uniform fins when using hardmask patterns |
US10431495B1 (en) * | 2018-07-23 | 2019-10-01 | International Business Machines Corporation | Semiconductor device with local connection |
-
2017
- 2017-06-30 US US15/639,721 patent/US10243079B2/en active Active
-
2018
- 2018-06-25 JP JP2019571579A patent/JP7123986B2/ja active Active
- 2018-06-25 WO PCT/IB2018/054653 patent/WO2019003078A1/en active Application Filing
- 2018-06-25 CN CN201880028471.XA patent/CN110603647A/zh active Pending
- 2018-06-25 GB GB2001032.8A patent/GB2579463B/en active Active
- 2018-06-25 DE DE112018003323.6T patent/DE112018003323T5/de active Pending
-
2019
- 2019-02-05 US US16/267,618 patent/US10790393B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
WO2019003078A1 (en) | 2019-01-03 |
US10790393B2 (en) | 2020-09-29 |
GB202001032D0 (en) | 2020-03-11 |
JP7123986B2 (ja) | 2022-08-23 |
US20190172940A1 (en) | 2019-06-06 |
GB2579463A (en) | 2020-06-24 |
US20190006506A1 (en) | 2019-01-03 |
CN110603647A (zh) | 2019-12-20 |
JP2020526018A (ja) | 2020-08-27 |
GB2579463B (en) | 2022-03-02 |
US10243079B2 (en) | 2019-03-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE112018003323T5 (de) | Verwenden eines mehrschichtigen gate-abstandshalters zur reduzierung der erosion eines halbleiter-fin während einer abstandshalter-strukturierung | |
DE102017114427B4 (de) | Verfahren zur Herstellung von Trennelementen für Halbleiterstrukturen | |
DE102020107101B3 (de) | Verfahren zur Herstellung einer Halbleitervorrichtung | |
DE102017118199A1 (de) | Finfet-vorrichtung und ausbildungsverfahren | |
DE102015113184A1 (de) | Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen | |
DE102017117795A1 (de) | Fets und verfahren zu deren herstellung | |
DE102018124749A1 (de) | Strukturen und Verfahren zur Rauschisolation in Halbleitervorrichtungen | |
DE102019116328B4 (de) | Halbleiterbauelement und verfahren | |
DE102020109494B3 (de) | Geschnittene metallgate-befüllung mit lücke | |
DE102020115611A1 (de) | Halbleitervorrichtung und verfahren | |
DE102020113628A1 (de) | Halbleitervorrichtung und verfahren | |
DE102019117007A1 (de) | Dielektrischer spaltfüllungsprozess für halbleitervorrichtung | |
DE102021100965A1 (de) | Epitaxiale strukturen für halbleitervorrichtungen | |
DE102019113052A1 (de) | Halbleiterbauelement und verfahren | |
DE102021113387A1 (de) | Epitaktische merkmale | |
DE102012223653A1 (de) | Mosfet mit V-Nut-Source/Drain-Zone und Verfahren zur Herstellung desselben | |
DE102017126881B4 (de) | FinFET-Strukturen und Verfahren zu ihrer Ausbildung | |
DE102021105733A1 (de) | Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben | |
DE102018106191B4 (de) | Verfahren zur selektiven bildung von gate-abstandshaltern eines finfets mittels eines fluorierungsprozesses | |
DE102018101016B4 (de) | Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen | |
DE102022132143A1 (de) | Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen | |
DE102022100570A1 (de) | Halbleitervorrichtungen mit parasitären kanalstrukturen | |
DE102022105643A1 (de) | Transistor-gatestrukturen und verfahren zu deren herstellung | |
DE102021105456A1 (de) | Halbleitervorrichtung und verfahren | |
DE102021113053A1 (de) | Fin-feldeffekttransistorvorrichtung und verfahren |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R079 | Amendment of ipc main class |
Free format text: PREVIOUS MAIN CLASS: H01L0029780000 Ipc: H01L0021336000 |
|
R016 | Response to examination communication |