JP2020526018A - 半導体デバイスを製造するための方法および半導体デバイス - Google Patents

半導体デバイスを製造するための方法および半導体デバイス Download PDF

Info

Publication number
JP2020526018A
JP2020526018A JP2019571579A JP2019571579A JP2020526018A JP 2020526018 A JP2020526018 A JP 2020526018A JP 2019571579 A JP2019571579 A JP 2019571579A JP 2019571579 A JP2019571579 A JP 2019571579A JP 2020526018 A JP2020526018 A JP 2020526018A
Authority
JP
Japan
Prior art keywords
layer
dielectric layer
dielectric
gate structure
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019571579A
Other languages
English (en)
Other versions
JP7123986B2 (ja
Inventor
カーベ、ゴーリー
ホー、ホン
モンタニーニ、ピエトロ
ミラー、エリック
カナカサバパシー、シバナンダ
グリーン、アンドリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2020526018A publication Critical patent/JP2020526018A/ja
Application granted granted Critical
Publication of JP7123986B2 publication Critical patent/JP7123986B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】多層ゲート・スペーサを含むFinFETデバイス、ならびに多層ゲート・スペーサを利用して、ゲート・スペーサを形成する際の垂直半導体フィンの腐食を防止さもなければ最小化するFinFETデバイスを製造するための方法を提供する。【解決手段】例えば、半導体デバイスを製造するための方法は、FinFETデバイスの垂直半導体フィンの一部の上にダミー・ゲート構造を形成し、ダミー・ゲート構造上に多層ゲート・スペーサを形成することを含む。多層ゲート・スペーサは、第1の誘電体層および第2の誘電体層を含み、第1の誘電体層は、垂直半導体フィンおよび第2の誘電体層に対してエッチング選択性を有する。一実施形態において、第1の誘電体層は、シリコン酸炭窒化物(SiOCN)を含み、第2の誘電体層は、シリコン硼炭窒化物(SiBCN)を含む。【選択図】図1

Description

本開示は、一般に、半導体製造技法に関し、詳細には、FET(電界効果トランジスタ)デバイスを製造するための技法に関する。
半導体製造技術がより小さな設計ルールおよびより高い集積密度(例えば、14nmテクノロジ・ノード以上)に向かって進化し続けるにつれ、集積回路デバイスおよび部品は、ますます小さくなり、レイアウト形成およびデバイス最適化に課題が生じる。現在、FinFETテクノロジは、そのようなテクノロジが14nmテクノロジ・ノード以下のFET製造に効果的なCMOSスケーリング・ソリューションを提供するため、典型的には、FET製造に対して実施されている。FinFETデバイスは、基板上に形成された少なくとも1つの垂直半導体フィン構造、垂直半導体フィンの一部の上に形成されたゲート構造、およびゲート構造の両側から延在する、垂直半導体フィンの一部から形成されたソース/ドレイン領域を含む三次元フィン形状FET構造を含む。ソース/ドレイン領域間のゲート構造によって覆われた垂直半導体フィンの部分は、FinFETデバイスのチャネル領域を構成する。
FinFETデバイスのゲート構造は、様々な技法を使用して形成することができる。例えば、FinFETゲート構造は、「ゲートラスト」プロセスを使用して製造することができ、このプロセスは、例えば、垂直半導体フィンの一部の上にダミー・ゲート構造およびゲート・スペーサを形成し、他のFinFETデバイス要素(例えば、ソース/ドレイン領域)を製造し、次いで、置換金属ゲート(RMG)プロセスを使用してダミー・ゲート構造を金属ゲート構造に置き換えることを含む。先端のFinFET技術では、誘電体層をエッチングしてゲート・スペーサを形成する際の垂直半導体フィンに対するエッチング損傷を防ぐことが重要である。
従来の方法では、ゲート・スペーサは、誘電体材料の層を付着させ、例えば、RIE(反応性イオン・エッチング)を使用して、パターニングすることによって形成されている。RIEプロセスの選択性が制限されているため、垂直半導体フィンは、誘電体材料の層をパターニングしてゲート・スペーサを形成する際に著しいエッチング損傷を受ける可能性がある。スペーサRIEプロセスは、シリコン(Si)またはシリコンゲルマニウム(SiGe)で形成された垂直半導体フィンのエッチング腐食を最小限に抑えるように調整することができるが、RIEプロセスの選択性は、フィン・ピッチのスケーリング(例えば、フィン間の間隔の減少)に伴い、フィン高さが増加する(例えば、スペーサRIEに対するアスペクト比の増加)とともに減少する。
したがって、当技術分野において、前述の問題に対処する必要がある。
本発明の実施形態は、多層ゲート・スペーサを含むFinFETデバイス、ならびに多層ゲート・スペーサを利用して、ゲート・スペーサを形成する際の垂直半導体フィンの腐食を防止さもなければ最小化するFinFETデバイスを製造するための方法を含む。例えば、一実施形態において、半導体デバイスを製造するための方法は、FinFETデバイスの垂直半導体フィンの一部の上にダミー・ゲート構造を形成し、ダミー・ゲート構造上に多層ゲート・スペーサを形成することを含む。多層ゲート・スペーサは、第1の誘電体層および第2の誘電体層を含み、第1の誘電体層は、垂直半導体フィンおよび第2の誘電体層に対してエッチング選択性を有する。一実施形態において、第1の誘電体層は、シリコン酸炭窒化物(SiOCN)を含み、第2の誘電体層は、シリコン硼炭窒化物(SiBCN)を含む。
別の実施形態は、FinFETデバイスの垂直半導体フィンの一部の上に形成されたゲート構造を含む半導体デバイス、およびゲート構造の側壁上に形成された多層ゲート・スペーサを含む。多層ゲート・スペーサは、第1の誘電体層および第2の誘電体層を含み、第1の誘電体層は、垂直半導体フィンおよび第2の誘電体層に対してエッチング選択性を有する。一実施形態において、第1の誘電体層は、SiOCNを含み、第2の誘電体層は、SiBCNを含む。
他の実施形態は、添付の図と併せて読まれる実施形態の以下の詳細な説明において説明される。
図1〜図19は、本発明の実施形態によるFinFETデバイスを製造するプロセスを概略的に示す。
FinFETデバイスの垂直半導体フィン上にダミー・ゲート構造が形成された製造の中間段階における半導体デバイスの概略図である。 FinFETデバイスの垂直半導体フィン上にダミー・ゲート構造が形成された製造の中間段階における半導体デバイスの概略図である。 FinFETデバイスの垂直半導体フィン上にダミー・ゲート構造が形成された製造の中間段階における半導体デバイスの概略図である。 FinFETデバイスの垂直半導体フィン上にダミー・ゲート構造が形成された製造の中間段階における半導体デバイスの概略図である。 ダミー・ゲート構造上に第1および第2の誘電体層を付着させ、その後このダミー・ゲート構造をパターニングして多層ゲート・スペーサを形成した後の図1の半導体デバイスの概略図である。 ダミー・ゲート構造上に第1および第2の誘電体層を付着させ、その後このダミー・ゲート構造をパターニングして多層ゲート・スペーサを形成した後の図2の半導体デバイスの概略図である。 ダミー・ゲート構造上に第1および第2の誘電体層を付着させ、その後このダミー・ゲート構造をパターニングして多層ゲート・スペーサを形成した後の図3の半導体デバイスの概略図である。 第2の誘電体層を第1の誘電体層に対して選択的にエッチングした後の図5の半導体デバイスの概略図である。 第2の誘電体層を第1の誘電体層に対して選択的にエッチングした後の図6の半導体デバイスの概略図である。 第2の誘電体層を第1の誘電体層に対して選択的にエッチングした後の図7の半導体デバイスの概略図である。 第1の誘電体層を第2の誘電体層および垂直半導体フィンに対して選択的にエッチングした後の、およびFinFETデバイスの垂直半導体フィンの露出部分にエピタキシャル・ソース/ドレイン層を形成した後の図8の半導体デバイスの概略図である。 第1の誘電体層を第2の誘電体層および垂直半導体フィンに対して選択的にエッチングした後の、およびFinFETデバイスの垂直半導体フィンの露出部分にエピタキシャル・ソース/ドレイン層を形成した後の図9の半導体デバイスの概略図である。 第1の誘電体層を第2の誘電体層および垂直半導体フィンに対して選択的にエッチングした後の、およびFinFETデバイスの垂直半導体フィンの露出部分にエピタキシャル・ソース/ドレイン層を形成した後の図10の半導体デバイスの概略図である。 図11、図12、および図13に示す半導体デバイスの概略平面図である。 誘電体材料の層を付着および平坦化してレベル間誘電体(ILD)層を形成した後の図11の半導体デバイスの概略図である。 誘電体材料の層を付着および平坦化してレベル間誘電体(ILD)層を形成した後の図12の半導体デバイスの概略図である。 誘電体材料の層を付着および平坦化してレベル間誘電体(ILD)層を形成した後の図13の半導体デバイスの概略図である。 置換金属ゲートプロセスを実行してダミー・ゲート構造を高k金属ゲート構造に置き換えた後の図15の半導体デバイスの概略図である。 置換金属ゲートプロセスを実行してダミー・ゲート構造を高k金属ゲート構造に置き換えた後の図16の半導体デバイスの概略図である。
ここで、多層ゲート・スペーサを含むFinFETデバイス、ならびに多層ゲート・スペーサを利用して、ゲート・スペーサを形成する際の垂直半導体フィンの腐食を防止さもなければ最小化するFinFETデバイスを製造するための方法に関して、実施形態をさらに詳細に説明する。添付の図面に示す様々な層、構造、および領域は、縮尺通りには描かれない概略図あることを理解されたい。加えて、説明を簡単にするために、半導体デバイスまたは構造を形成するために一般的に使用されるタイプの1つまたは複数の層、構造、および領域は、所与の図面に明示的に示されていない場合がある。これは、明示的に示されていない任意の層、構造、および領域が実際の半導体構造から省略されていることを意味するものではない。
さらに、本明細書で論じる実施形態は、本明細書に示され説明される特定の材料、機能、および処理ステップに限定されないことを理解されたい。特に、半導体処理ステップに関して、本明細書で提供される説明は、機能性半導体集積回路デバイスを形成するために必要とされる可能性があるすべての処理ステップを包含することは意図されていないことが強調されるべきである。むしろ、例えば、ウェット洗浄およびアニーリング・ステップなどの、半導体デバイスの形成に一般的に使用されるある特定の処理ステップは、説明を効率化するために本明細書では意図的に説明されていない。
さらに、同じまたは同様の特徴、要素、もしくは構造を示すために、図面全体を通して同じまたは同様の参考番号が使用され、したがって、同じまたは同様の特徴、要素、もしくは構造の詳細な説明は、それぞれの図面に対して繰り返されない。厚さ、幅、百分率、範囲などに関して本明細書で使用されるような用語「約」または「実質的に」は、厳密ではないが、近いまたは近似していることを意味することを理解されたい。例えば、本明細書で使用されるような用語「約」または「実質的に」は、指定された量の1%以下などのわずかな誤差範囲が存在する可能性があることを意味する。
空間コンテクストを提供するために、XYZデカルト座標が半導体構造の図面に示されている。本明細書で使用されるような用語「垂直」は、図面に示すデカルト座標のZ方向を示し、本明細書で使用されるような用語「水平」または「横方向」は、図面に示すデカルト座標のZ方向に垂直なX方向またはY方向あるいはその両方を示すことを理解されたい。
図1〜図19は、本発明の実施形態による、多層ゲート・スペーサ構造を備えるFinFETデバイスを製造するためのプロセスを概略的に示す。初めに、図1、図2、図3、および図4は、製造の中間段階における半導体デバイス100の概略図であり、ダミー・ゲート構造がFinFETデバイスの垂直半導体フィン上に形成されている。図4は、半導体デバイス100の概略平面図(X−Y平面)であり、一方、図1、図2、および図3は、図4に示すそれぞれの線によって表される平面に沿った半導体デバイス100の側部断面図である。特に、図1は、図4の線1A−1Aに沿った半導体デバイス100の側部断面図(Y−Z平面)である。図2は、図4の線1B−1Bに沿った半導体デバイス100の側部断面図(X−Z平面)であり、図3は、図4の線1C−1Cに沿った半導体デバイス100の側部断面図(X−Z平面)である。
図1、図2、図3、および図4に示すように、半導体デバイス100は、半導体基板110、分離層120、複数の垂直半導体フィン130、ダミー・ゲート構造G1およびG2、ならびにダミー・ゲート構造G1およびG2の頂部に形成されたキャッピング層150を含む。ダミー・ゲート構造G1およびG2は、それぞれ、垂直半導体フィン130のそれぞれのチャネル(C)領域の上に形成されたダミー・ゲート電極層140およびダミー・ゲート酸化物層142を含む。以下でさらに詳細に説明するように、ダミー・ゲート構造は、RMGプロセスの一部として金属ゲート構造に置き換えられる。図4に示すように、例えば、ダミー・ゲート構造G1およびG2の側面から延在する垂直半導体フィン130の部分は、FinFETデバイスD1およびD2のためのソース/ドレイン(S/D)領域として働く。図2および図4の例示的な実施形態に示すように、各FinFETデバイスD1およびD2は、3つの垂直半導体フィン130の一部の上に形成された共通ゲート構造を含む。この点に関して、各FinFETデバイスD1およびD2は、ゲート構造の各側面のそれぞれのソース/ドレイン領域がそれぞれの単一の垂直ソース/ドレイン・コンタクトに共通に接続されている場合、マルチフィンFinFET構造(すなわち、並列に接続されてマルチフィンFinFETデバイスを形成する3つのFinFETセグメント)として構成され得る。さらに、図4に示す例では、FinFETデバイスD1およびD2は、ダミー・ゲート構造G1とG2との間に共通のソース/ドレイン(S/D)領域を共有し、それにより、FinFETデバイスD1およびD2が直列に接続される。
図1、図2、図3、および図4に示す半導体デバイス100は、公知の半導体製造技法および適切な半導体材料を使用して製造することができる。例えば、半導体基板110は、一般的な基板層として示されており、半導体材料の様々な構造および層を含むことができる。一実施形態において、半導体基板110は、例えば、シリコン(Si)、あるいはゲルマニウム(Ge)、シリコン・ゲルマニウム(SiGe)合金、炭化ケイ素(SiC)、シリコン・ゲルマニウム炭化物合金、または化合物半導体材料(例えば、III−V族またはII−VI族など)などのバルク半導体製造プロセスで一般的に使用される他のタイプの半導体基板材料で形成されたバルク半導体基板(例えば、ウエハ)を含む。III−V族化合物半導体材料の非限定的な例には、ガリウム砒素、インジウム砒素、およびインジウム・リンが含まれる。別の実施形態では、半導体基板110は、ベース基板層(例えば、シリコン基板)と、能動回路構成要素がFEOL(前工程)構造の一部として形成された活性半導体層(例えば、活性SiまたはSiGe層)との間に配置された絶縁層(例えば、酸化物層)を含むSOI(シリコン・オン・インシュレータ)基板を含む。
分離層120および垂直半導体フィン130は、様々な方法を使用して製造することができる。例えば、バルクおよびSOI基板の実施形態については、垂直半導体フィン130は、バルク半導体基板またはSOI基板の表面の活性シリコン層(例えば、結晶シリコン、結晶SiGe、III−V族化合物半導体材料など)をパターニングして、半導体ウエハ全体にわたって異なるデバイス領域に垂直半導体フィンのパターンを形成することによって形成することができ、説明を簡単にするために、そのうちの3つが図2、図3、および図4に示されている。一実施形態において、垂直半導体フィン130は、バルク・シリコン基板またはバルク・ゲルマニウム基板の頂部にエピタキシャル成長させた結晶SiGe層からパターニングされてもよい。エピタキシャル成長プロセスを使用して形成された結晶SiGe層は、緩和SiGe層または歪みSiGe層を含むことができる。当技術分野で知られているように、MOSトランジスタのキャリア移動度を高めるために歪みエンジニアリングが利用されており、異なるタイプのSi−SiGeヘテロ構造を製造して、CMOS FETデバイスの異なる特性を取得または最適化あるいはその両方を行うことができる。例えば、シリコンをSiGe基板層上にエピタキシャル成長させて、歪みSi層を形成することができる。さらに、歪みSiGe層をシリコン基板層上にエピタキシャル成長させることができる。歪みSi/緩和SiGe構造は、主にn型FETデバイスの電子移動度を改善する引張り歪みを生成するが、歪みSiGe/緩和Si構造は、主にp型FETデバイスの正孔移動度を改善する圧縮歪みを生成する。
垂直半導体フィン130を形成した後、絶縁材料の層を付着させて垂直半導体フィン130を覆い、次いで、垂直半導体フィン130の頂部まで(化学機械平坦化(CMP)により)平坦化し、次いで、エッチバック・プロセス(例えば、選択RIEプロセス)を使用して、さらに凹まして分離層120を形成することができる。図3に示すように、絶縁材料の層を目標レベルまでエッチングして垂直半導体フィン構造130の上方部分を露出させ、この上方部分がFinFETデバイスD1およびD2のベースライン・アクティブ・フィン高さHを規定する。本発明の一実施形態において、分離層120は、RIEを使用して選択的にエッチングされ得るが、他のエッチング・プロセスを用いてもよい。時限エッチングを行って、所望の量の絶縁材料を除去し垂直半導体フィン構造130の上方部分を露出させることができる。
他の実施形態では、垂直半導体フィン130は、分離層120を最初に付着させ、次いで、RIEまたはディープRIEを使用してエッチングし、半導体基板110に至るまで分離層120にトレンチのパターンを形成するプロセスを使用して形成することができ、このトレンチのパターンが、形成される垂直半導体フィンのパターンに対応する。次いで、ART(アスペクト比トラッピング)技法を使用して、トレンチの底部の半導体基板110の露出表面から結晶質半導体材料をエピタキシャル成長させることによって垂直半導体フィン130が形成される。ARTは、結晶Si、SiGe、またはIII−V族化合物半導体材料の選択エピタキシャル成長を可能にし、例えば、絶縁層に形成された高アスペクト比トレンチを充填し、それによって、FinFETデバイスの高品質活性チャネル層を形成する。結晶SiGe層(または他のタイプのエピタキシャル半導体層)は、CVD(化学気相付着)、MOCVD(金属有機化学気相付着)、LPCVD(低圧化学気相付着)、MBE(分子線エピタキシ)、VPE(気相エピタキシ)、MOMBE(金属有機分子線エピタキシ)、または他の公知のエピタキシャル成長技法などの公知の技法を使用してエピタキシャル成長させることができる。
次に、公知のプロセス・フローを使用してダミー・ゲート構造G1およびG2を製造することができる。例えば、ダミー・ゲート構造G1およびG2は、半導体基板の表面上に共形の酸化物層を形成して垂直半導体フィン130を覆うことによって製造することができる。共形の酸化物層は、公知の技法を使用して半導体フィン材料(例えば、SiまたはSiGe表面など)の露出表面上に酸化物層(例えば、酸化ケイ素)を成長させることによって、または原子層付着(ALD)、化学気相付着(CVD)などの公知のプロセスを使用して半導体基板の表面上に酸化物材料(例えば、二酸化ケイ素)の共形層を付着させることによって形成することができる。次いで、ポリシリコンまたはアモルファス・シリコンなどの犠牲シリコン材料の層を半導体基板上にブランケット付着させ、次いで、平坦化する。犠牲シリコン材料の層は、CVD、物理的気相付着(PVD)、電気化学付着、および他の適切な付着方法などの公知の方法を使用して付着させることができる。犠牲シリコン材料の層は、CMPを使用して平坦化することができる。
次いで、共形の酸化物層および犠牲シリコン層をパターニングして、ダミー・ゲート構造G1およびG2のダミー・ゲート酸化物層142とダミー・ゲート電極層140とを形成する。例えば、絶縁材料の1つまたは複数の層を犠牲シリコン層の平坦化された表面上に付着させ、次いで、フォトリソグラフィ・プロセスを使用してパターニングしてキャッピング層150を形成する。図1に示す例示的な実施形態では、例えば、キャッピング層150は、第1の絶縁層152および第2の絶縁層154を含む。一実施形態において、第1の絶縁層152は、窒化ケイ素を含み、第2の絶縁層154は、酸化ケイ素を含むが、キャッピング層150は、実施される製造プロセス・フローに応じて絶縁材料の任意の適切な組合せから形成することができる。キャッピング層150は、ダミー・ゲート構造G1およびG2の象を画定し、下にある共形の酸化物層および犠牲シリコン層をエッチングするためのエッチング・マスクとして利用することができる。
ドライ・エッチング・プロセス(例えば、RIE)を使用して、エッチング・マスク(キャッピング層150)を通して露出した犠牲シリコン層の部分をエッチングし、それによってダミー・ゲート構造G1およびG2のダミー・ゲート電極層140を形成することができる。このプロセスでは、犠牲シリコン層(例えば、ポリシリコン層)をエッチングする際に、下にある酸化物層がエッチング・バッファ層(またはエッチ・ストップ層)として働き、ポリ・エッチング・プロセスが酸化物層を貫通してエッチングして垂直半導体フィン130の半導体材料を損傷するのを防ぐ。次に、下にある酸化物層の露出部分をエッチングして、ダミー・ゲート構造G1およびG2のダミー・ゲート酸化物層142を形成し、結果として図1、図2、図3、および図4に示す中間半導体デバイが得られる。
ダミー・ゲート構造G1およびG2を形成した後、製造プロセスは、FinFETデバイスD1およびD2のゲート構造のための多層ゲート・スペーサの形成へと続く。例えば、図5、図6、および図7は、それぞれ、基板の表面上に第1の誘電体層160および第2の誘電体層170を連続的に付着させて、ダミー・ゲート構造G1およびG2、ならびに垂直半導体フィン130の露出部分を覆った後の図1、図2、および図3の半導体デバイスの概略図である。続いて、第1の誘電体層160および第2の誘電体層170は、以下で詳細に論じるようなプロセス・フローを使用してパターニングされ、FinFETデバイスD1およびD2のための絶縁ゲート・スペーサを形成する。
一実施形態において、第1の誘電体層160は、約2nm〜約5nmの範囲の厚さで形成された共形の誘電体膜を含み、第2の誘電体層170は、約5nm〜約20nmの範囲の厚さで形成された共形の誘電体膜を含む。第1の誘電体層160および第2の誘電体層170は、プラズマ化学気相付着(PECVD)、原子層付着(ALD)、または高い共形性で第1の誘電体層160および第2の誘電体層170の付着を可能にする他の適切な付着方法などの公知の技法を使用して付着させることができる。
本発明の一実施形態において、第2の誘電体層170は、第1の誘電体層160に対して選択的に(例えば、約10:1以上のエッチング選択性で)エッチングすることが可能な誘電体材料で形成され、第1の誘電体層160は、(i)第2の誘電体層170に対して(例えば、約10:1以上のエッチング選択性で)、および(ii)垂直半導体フィン130を形成する半導体材料に対して(例えば、約10:1以上のエッチング選択性で)選択的にエッチングすることが可能な誘電体材料で形成されている。例えば、一実施形態では、第1の誘電体層160は、薄いシリコン酸炭窒化物(SiOCN)膜で形成され、第2の誘電体層170は、薄いシリコン硼炭窒化物(SiBCN)膜で形成されている。FinFETデバイスのゲート構造のためのゲート絶縁スペーサとして使用するのに適した他の低k誘電体材料を、そのような誘電体材料が上で論じたようにエッチング選択性を提供すると仮定すると、第1の誘電体層160および第2の誘電体層170を形成するために使用することができる。第1の誘電体層160および第2の誘電体層170は、図8、図9、図10、図11、図12、および図13で概略的に示されるようなプロセス・フローを使用してパターニングされる。
特に、図8、図9、および図10は、それぞれ、第2の誘電体層170を第1の誘電体層160に対して選択的にエッチングした後の図5、図6、および図7の半導体デバイスの概略図である。図8および図9に示すように、エッチング・プロセスにより、結果として第2の誘電体層170の水平部分が水平面特徴から除去され、ダミー・ゲート/キャッピング層スタックG1/150およびG2/150の垂直の側壁上の第2の誘電体層170の一部が引き下げられる(凹まされる)。図10に示すように、第2の誘電体層170は、垂直半導体フィン130のS/D領域上のダミー・ゲート構造G1とG2との間の領域で完全に除去されている。一実施形態において、第2の誘電体層170は、第1の誘電体層160がエッチング・プロセスのためのエッチ・ストップとして働くように、第2の誘電体層170を第1の誘電体層160に対して高度に選択的にエッチングするように構成されたエッチング化学およびエッチング環境を備えた指向性(例えば、異方性)ドライ・エッチング・プロセス(例えば、RIE)を使用してエッチングされる。このエッチング・プロセスでは、第2の誘電体層170は、垂直半導体フィン130の活性S/D領域の側壁に隣接して配置された第2の誘電体層170を完全にエッチング除去するのに十分な目標レベルまで、ダミー・ゲート/キャッピング層スタックG1/150およびG2/150の垂直側壁上で垂直に凹まされるが(図10参照)、第2の誘電体層170は、確実に各ダミー・ゲート構造G1およびG2の頂部のキャッピング層150の第1の絶縁層152よりも下には凹まされないようにする。例えば、図8の例示的な実施形態に示すように、第2の誘電体層170は、ダミー・ゲート構造G1およびG2上のキャッピング層150の第1の絶縁層152の上方のレベルまで垂直に凹まされる。
次に、図11、図12、および図13は、それぞれ、第1の誘電体層160を第2の誘電体層170および垂直半導体フィン130に対して選択的にエッチングした後の、そしてFinFETデバイスD1およびD2の垂直半導体フィン130のS/D領域の露出部分上にエピタキシャル・ソース/ドレイン層180を形成した後の図8、図9、および図10の半導体デバイスの概略図である。図14は、図11、図12、および図13に示す半導体デバイスの概略平面図であり、図11は、図14の線4A−4Aに沿った半導体デバイス100の側部断面図(Y−Z平面)であり、図12は、図14の線4B−4Bに沿った半導体デバイス100の側部断面図(X−Z平面)であり、図13は、図14の線4C−4C線に沿った半導体デバイス100の側部断面図(X−Z平面)である。
一実施形態において、第1の誘電体層160の露出部分を選択的にエッチング除去するために、等方性エッチング・プロセスが行われるが、第2の誘電体層170によって覆われた第1の誘電体層160の他の部分は、エッチングされない。エッチング・プロセスは、垂直半導体フィン130の半導体材料に対しても選択的であり、第1の誘電体層160をエッチングする際の垂直半導体フィン130のS/D領域へのいかなるエッチング損傷も防止さもなければ最小化する。本発明の一実施形態において、第1の誘電体層160がSiOCNで形成され、第2の誘電体層170がSiBCNで形成されると仮定すると、フッ化水素酸(HF)ベースのウェット・エッチング・プロセスを使用して等方性エッチング・プロセスを実施して、第1の誘電体層160の露出部分を第2の誘電体層170および垂直半導体フィン130に対して高度に選択的にエッチング除去することができる。本発明の一実施形態において、HFベースのエッチング化学は、他の鉱酸を使用して酸性度を高めた希釈HFベースのエッチング化学を含む。
図11、図12、および図14に示すように、第1の誘電体層160のエッチングにより、結果として、ダミー・ゲート構造G1およびG2の垂直側壁上に配置された、ダミー・ゲート構造G1およびG2を取り囲む多層ゲート・スペーサ175が形成される。本発明の実施形態による多層ゲート・スペーサの製造は、例えば、SiNまたはSiBCNの単一層から形成された従来のスペーサと比較して、複数の薄い低k誘電体層の付着およびパターニングを可能にし、均一なプロファイルおよび低い実効誘電率を有する絶縁ゲート・スペーサを形成する。さらに、上で示したように、異なるエッチング特性を有する異なる低k誘電体層の使用は、低k誘電体層のパターニングを可能にし、スペーサ製造中の垂直半導体フィン130のエッチングを防止さもなければ最小化しながら、ゲート・スペーサ175を形成する。
多層ゲート・スペーサ175を形成した後、プロセス・フローは、垂直半導体フィン130の露出したS/D領域上にソース/ドレイン層180を形成することへと続く。本発明の一実施形態において、図11、図13、および図14に示すように、ソース/ドレイン層180は、ダミー・ゲート構造G1およびG2に隣接する、垂直半導体フィン130のS/D領域の露出表面上にエピタキシャル半導体材料を成長させることよって形成される。ソース/ドレイン層180を形成するために使用されるエピタキシャル材料およびドーピングのタイプは、FinFETデバイスD1およびD2がP型デバイスであるか、またはN型デバイスであるかに応じて異なる。別の実施形態では、ソース/ドレイン層180は、公知の方法を使用して垂直半導体フィン130のS/D領域の露出表面上に形成されるシリサイド層であってもよい。
次に、図15、図16、および図17は、それぞれ、誘電体材料の層を付着および平坦化してILD層190を形成した後の図11、図12、および図13の半導体デバイスの概略図である。ILD層190は、例えば、ダミー・ゲート構造G1およびG2を覆うように半導体基板の表面上に絶縁材料の1つまたは複数の層を付着させ、次いで、ダミー・ゲート構造G1およびG2上のキャッピング層150の第1の絶縁層152の上面を露出させるレベルまで半導体基板の表面を平坦化することによって形成される。ILD層190は、酸化ケイ素、水素化炭化ケイ素酸化物(SiCOH)、SiCH、SiCNH、または他のタイプのシリコン・ベースの低k誘電体(例えば、約4.0未満のk)、多孔性誘電体、あるいは(約2.5未満のkを有する)公知のULK(超低k)誘電体材料を含むが、これらに限定されない適切な誘電体材料を使用して形成することができる。例えば、ILD層190は、絶縁材料の単一の付着層、または絶縁材料の複数の層(例えば、流動性酸化物の第1の層と、第1の層上に形成された絶縁材料の第2の層)を含むことができる。ILD層190は、例えば、ALD、CVD、PECVD(プラズマ促進CVD)、またはPVD、あるいはスピン・オン付着などの公知の付着技法を使用して付着させることができる。
ILD層190の形成に続いて、プロセス・フローは、ダミー・ゲート構造G1およびG2を金属ゲート構造に置き換えるためのRMGプロセスへと続く。例えば、図18および図19は、それぞれ、ダミー・ゲート構造G1およびG2を除去し、高k金属ゲート構造200を形成するために置換金属ゲートプロセスを実行した後の図15および図16の半導体デバイスの概略図である。一実施形態において、高k金属ゲート構造200はそれぞれ、高kゲート誘電体層202および金属ゲート電極204を含む。ダミー・ゲート構造G1およびG2を除去することができ、様々な方法を使用して高k金属ゲート構造200を形成することができる。
例えば、各キャッピング層150の第1の絶縁層152をILD層190およびゲート・スペーサ175の材料に対して選択的にエッチング除去して、ダミー・ゲート構造G1およびG2のダミー・ゲート電極層140を露出させることができる。次いで、ダミー・ゲート電極層140をウェット・エッチング・プロセス(例えば、テトラメチル水酸化アンモニウム(TMAH)化学エッチング溶液)またはドライ・エッチング・プロセス(例えば、NF+H気相化学エッチング)を使用して除去し、ダミー・ゲート構造G1およびG2の犠牲シリコン材料をILD層190の誘電体および絶縁材料、ゲート・スペーサ175、ならびにダミー・ゲート酸化物層142に対して選択的にエッチング除去する。このエッチング・プロセスは、ダミー・ゲート構造G1およびG2のダミー・ゲート酸化物層142を露出させる。次いで、ダミー・ゲート酸化物層142は、酸化物層142が、垂直半導体フィン130、ゲート・スペーサ175、およびILD層190の材料に対して選択的にエッチングされるエッチング化学およびエッチング環境を使用してエッチング除去される。例えば、ダミー・ゲート酸化物層142が酸化ケイ素で形成されている本発明の一実施形態では、酸化物エッチング・プロセスは、NH(アンモニア)とHF(フッ化水素)反応ガスの混合物(またはエッチャント・ガス)を使用して実行することができる。
ダミー・ゲート酸化物層142の除去に続いて、半導体構造の表面上にゲート誘電体材料の1つまたは複数の共形層を付着させ、ゲート誘電体材料上に導電性材料の1つまたは複数の層を付着させることによって金属ゲート構造200が形成される。次いで、平坦化プロセス(例えば、CMP)を行い、半導体構造の表面をILD層190に至るまで研磨し、それによって、ゲート誘電体および導電性材料の過剰部分を除去し、結果として金属ゲート構造200を有する図18および図19に示す半導体構造が得られる。
ゲート誘電体層202は、例えば、窒化物、酸窒化物、または酸化物を含む任意の適切な誘電体材料、あるいは約3.9を上回る誘電率を有する高k誘電体材料で形成される。特に、ゲート誘電体材料202の共形層は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、窒化ホウ素、高k材料、またはこれらの材料の任意の組合せを含むことができる。高k材料の例には、ハフニウム酸化物、ハフニウム酸化ケイ素、ハフニウム酸窒化ケイ素、ランタン酸化物、ランタン・アルミニウム酸化物、ジルコニウム酸化物、ジルコニウム酸化ケイ素、ジルコニウム酸窒化シリコン、タンタル酸化物、チタン酸化物、バリウム・ストロンチウム酸化チタン、バリウム・チタン酸化物、ストロンチウム・チタン酸化物、イットリウム酸化物、アルミニウム酸化物、鉛スカンジウム・タンタル酸化物、および亜鉛ニオブ酸塩などの金属酸化物が含まれるが、これらに限定されない。高kゲート誘電体材料は、ランタン、アルミニウムなどのドーパントをさらに含むことができる。本発明の一実施形態において、ゲート誘電体材料の共形層は、約0.5nm〜約2.5nmの範囲の厚さで形成され、この厚さは、ターゲット用途に応じて異なる。ゲート誘電体層202を形成する誘電体材料は、ゲート誘電体材料の高い共形性を可能にする、ALDなどの公知の方法を使用して付着させる。
ゲート電極層204は、例えば、ドープされた多結晶またはアモルファス・シリコン、ゲルマニウム、シリコン・ゲルマニウム、金属(例えば、タングステン、チタン、タンタル、ルテニウム、ジルコニウム、コバルト、銅、アルミニウム、鉛、プラチナ、錫、銀、金)、導電性金属化合物材料(例えば、タンタル窒化物、チタン窒化物、炭化タンタル、炭化チタン、チタン炭化アルミニウム、タングステン・シリサイド、窒化タングステン、酸化ルテニウム、コバルト・シリサイド、ニッケル・シリサイド)、カーボン・ナノチューブ、導電性炭素、グラフェン、またはそのような導電性材料の任意の適切な組合せを含む、任意の適切な導電性材料で形成される。導電性材料の層は、付着中にまたは付着後に組み込まれるドーパントをさらに含むことができる。導電性材料の層は、適切な付着プロセス、例えばCVD、PECVD、PVD、めっき、熱または電子ビーム蒸着、スパッタリングなどを使用して付着させる。
別の実施形態では、仕事関数金属(WFM)の薄い共形層を、導電性材料204の層を付着させる前に、ゲート誘電体材料202の共形層上に付着させることができる。薄い共形のWFM層は、TiN、TaN、TiAlC、Zr、W、Hf、Ti、Al、Ru、Pa、TiAl、ZrAl、WAl、TaAl、HfAl、TiAlC、TaC、TiC、TaMgC、または形成される縦型FETデバイスのタイプ(例えば、n型またはp型)に適したターゲット仕事関数を得るために一般的に使用される他の仕事関数金属もしくは合金を含むが、これらに限定されない1つまたは複数のタイプの金属材料から形成することができる。共形のWFM層は、ALD、CVDなどの公知の方法を使用して付着させる。一実施形態において、共形のWFM層は、約2nm〜約5nmの範囲の厚さで形成される。別の実施形態では、ゲート電極層204を形成する導電性材料は、WFM層として働くことができる。
金属ゲート構造200の形成に続いて、任意の適切な一連の処理ステップを実施して、n型またはp型あるいはその両方のFinFETデバイス、ならびにFEOL層の一部として製造される半導体集積回路の他の要素の製造を完了することができ、これらの詳細は、本発明の実施形態を理解するのに必要ではない。さらに、MOL(中間工程)プロセスを行って、ILD層190(およびILD層190上に形成されることがある絶縁材料の1つまたは複数の他の層)に導電性ビア・コンタクトを形成する。ビア・コンタクトは、ILD層190(およびその上にある任意の絶縁層)の開口部を、垂直半導体フィン130のS/D領域上に形成されたソース/ドレイン層180および金属ゲート構造200に至るまでエッチングし、次いで、開口部を導電性材料で充填してILD層190のデバイス・コンタクトを形成することによって形成される。MOLデバイス・コンタクトの形成に続いて、よく知られている製造プロセス・フローを使用してBEOL(後工程)相互接続構造が形成され、FinFETデバイスとFEOL層の一部として形成された他のアクティブまたはパッシブ・デバイスとの間の接続を行う。
多層ゲート構造を備えたFinFETデバイスを製造するための本明細書で論じる方法は、様々なアナログおよびデジタル回路または混合信号回路を備えた他のタイプの半導体デバイスならびに集積回路を製造するための様々な半導体処理フローの一部として組み込み込むことができることを理解されたい。集積回路のダイは、電界効果トランジスタ、バイポーラ・トランジスタ、金属酸化物半導体トランジスタ、ダイオード、コンデンサ、インダクタなどの様々なデバイスを用いて製造することができる。本発明による集積回路は、アプリケーション、ハードウェアまたは電子システムあるいはその組合せにおいて用いることができる。本発明を実施するのに適したハードウェアおよびシステムには、パーソナル・コンピュータ、通信ネットワーク、電子商取引システム、携帯用通信機器(例えば、携帯電話)、固体媒体記憶装置、機能回路などが含まれることがあるが、これらに限定されない。そのような集積回路を組み込むシステムおよびハードウェアは、本明細書に記載された実施形態の一部と考えられる。本明細書に提供された本発明の教示が与えられると、当業者は、本発明の技法の他の実施態様および適用を考えることができるであろう。
添付図を参照して例示的な実施形態を本明細書で説明したが、本発明は、それらの正確な実施形態に限定されず、添付の特許請求の範囲から逸脱することなく、当業者によって様々な他の変更および修正を行うことができることを理解されたい。

Claims (20)

  1. FinFET(電界効果トランジスタ)デバイスの垂直半導体フィンの一部の上にダミー・ゲート構造を形成することと、
    前記ダミー・ゲート構造上に多層ゲート・スペーサを形成することと、
    を含む半導体デバイスを製造するための方法であって、
    前記多層ゲート・スペーサが第1の誘電体層および第2の誘電体層を含み、
    前記第1の誘電体層が前記垂直半導体フィンおよび前記第2の誘電体層に対してエッチング選択性を有する、
    方法。
  2. 前記第1の誘電体層がシリコン酸炭窒化物(SiOCN)を含む、請求項1に記載の方法。
  3. 前記第1の誘電体層が2nm〜5nmの範囲の厚さを有する、請求項2に記載の方法。
  4. 前記第2の誘電体層がシリコン硼炭窒化物(SiBCN)を含む、請求項2に記載の方法。
  5. 前記第2の誘電体層が5nm〜20nmの範囲の厚さを有する、請求項4に記載の方法。
  6. 前記ダミー・ゲート構造上に前記多層ゲート・スペーサを形成することが、
    前記ダミー・ゲート構造および前記垂直半導体フィン上に誘電体材料の第1の共形層を付着させることと、
    誘電体材料の前記第1の共形層上に誘電体材料の第2の共形層を付着させることと、
    誘電体材料の前記第2の共形層を誘電体材料の前記第1の共形層に対して選択的にエッチングして、前記多層ゲート・スペーサの前記第2の誘電体層を形成することと、
    誘電体材料の前記第1の共形層の露出部分を前記垂直半導体フィンおよび前記多層ゲート・スペーサの前記第2の誘電体層に対して選択的にエッチングして、前記多層ゲート・スペーサの前記第1の誘電体層を形成し、かつ前記垂直半導体フィンのソース/ドレイン領域を露出させることと、
    を含む、請求項1に記載の方法。
  7. 誘電体材料の前記第1の共形層がシリコン酸炭窒化物(SiOCN)を含む、請求項6に記載の方法。
  8. 誘電体材料の前記第1の共形層が2nm〜5nmの範囲の厚さで形成されている、請求項7に記載の方法。
  9. 誘電体材料の前記第2の共形層がシリコン硼炭窒化物(SiBCN)を含む、請求項6に記載の方法。
  10. 誘電体材料の前記第2の共形層が5nm〜20nmの範囲の厚さで形成されている、請求項9に記載の方法。
  11. 前記垂直半導体フィンの前記露出したソース/ドレイン領域上にエピタキシャル半導体材料の層をエピタキシャル成長させることをさらに含む、請求項6に記載の方法。
  12. 前記ダミー・ゲート構造を除去し、前記ダミー・ゲート構造の代わりに金属ゲート構造を形成することをさらに含む、請求項11に記載の方法。
  13. 前記金属ゲート構造が高k金属ゲート構造を含む、請求項12に記載の方法。
  14. FinFET(電界効果トランジスタ)デバイスの垂直半導体フィンの一部の上に形成されたゲート構造と、
    前記ゲート構造の側壁上に形成された多層ゲート・スペーサと、
    を含む半導体デバイスであって、
    前記多層のゲート・スペーサが第1の誘電体層および第2の誘電体層を含み、
    前記第1の誘電体層が前記垂直半導体フィンおよび前記第2の誘電体層に対してエッチング選択性を有する、
    半導体デバイス。
  15. 前記第1の誘電体層がシリコン酸炭窒化物(SiOCN)を含む、請求項14に記載の半導体デバイス。
  16. 前記第1の誘電体層が2nm〜5nmの範囲の厚さを有する、請求項15に記載の半導体デバイス。
  17. 前記第2の誘電体層がシリコン硼炭窒化物(SiBCN)を含む、請求項14に記載の半導体デバイス。
  18. 前記第2の誘電体層が5nm〜20nmの範囲の厚さを有する、請求項17に記載の半導体デバイス。
  19. 前記ゲート構造に隣接する前記垂直半導体フィンのソース/ドレイン領域上に形成されたエピタキシャル半導体材料をさらに含む、請求項18に記載の半導体デバイス。
  20. 前記ゲート構造が高k金属ゲート構造を含む、請求項14に記載の半導体デバイス。
JP2019571579A 2017-06-30 2018-06-25 半導体デバイスを製造するための方法および半導体デバイス Active JP7123986B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/639,721 US10243079B2 (en) 2017-06-30 2017-06-30 Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US15/639,721 2017-06-30
PCT/IB2018/054653 WO2019003078A1 (en) 2017-06-30 2018-06-25 USE OF A MULTILAYER GRID SPACER TO REDUCE THE EROSION OF A SEMICONDUCTOR FIN IN THE MODELING OF A SPACER

Publications (2)

Publication Number Publication Date
JP2020526018A true JP2020526018A (ja) 2020-08-27
JP7123986B2 JP7123986B2 (ja) 2022-08-23

Family

ID=64734929

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019571579A Active JP7123986B2 (ja) 2017-06-30 2018-06-25 半導体デバイスを製造するための方法および半導体デバイス

Country Status (6)

Country Link
US (2) US10243079B2 (ja)
JP (1) JP7123986B2 (ja)
CN (1) CN110603647A (ja)
DE (1) DE112018003323T5 (ja)
GB (1) GB2579463B (ja)
WO (1) WO2019003078A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
KR102532118B1 (ko) * 2018-03-20 2023-05-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11038036B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11869891B2 (en) * 2018-09-28 2024-01-09 Intel Corporation Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process
US11658212B2 (en) * 2019-02-13 2023-05-23 Intel Corporation Quantum dot devices with conductive liners
CN112466945B (zh) * 2019-09-06 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11424165B2 (en) 2019-10-16 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor
US11417750B2 (en) * 2020-01-31 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Gate air spacer for fin-like field effect transistor
US20230119827A1 (en) * 2021-10-20 2023-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Structure And Method For Forming The Same
USD1028505S1 (en) 2022-03-11 2024-05-28 Magpul Industries Corp. Long gun case

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160042952A1 (en) * 2014-08-11 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Semiconductor Device Fabrication
US20160343861A1 (en) * 2015-05-22 2016-11-24 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US20160372567A1 (en) * 2015-06-18 2016-12-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043778A (en) 1986-08-11 1991-08-27 Texas Instruments Incorporated Oxide-isolated source/drain transistor
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US6849193B2 (en) 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6306702B1 (en) 1999-08-24 2001-10-23 Advanced Micro Devices, Inc. Dual spacer method of forming CMOS transistors with substantially the same sub 0.25 micron gate length
KR100416377B1 (ko) 2001-06-02 2004-01-31 삼성전자주식회사 ㄴ 자형 스페이서를 이용하는 반도체 트랜지스터 및 그제조 방법
JP4343571B2 (ja) 2002-07-31 2009-10-14 株式会社ルネサステクノロジ 半導体装置の製造方法
US7902029B2 (en) 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US6632745B1 (en) 2002-08-16 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of forming almost L-shaped spacer for improved ILD gap fill
US20060074232A1 (en) 2004-10-04 2006-04-06 Stowers Institute For Medical Research Matrimony gene and protein
US7365378B2 (en) 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
US20070196991A1 (en) 2006-02-01 2007-08-23 Texas Instruments Incorporated Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor
US7495280B2 (en) 2006-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
US7456068B2 (en) 2006-06-08 2008-11-25 Intel Corporation Forming ultra-shallow junctions
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US7652332B2 (en) 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
US7919379B2 (en) 2007-09-10 2011-04-05 International Business Machines Corporation Dielectric spacer removal
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US7919792B2 (en) 2008-12-18 2011-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell architecture and methods with variable design rules
US8383503B2 (en) 2009-08-05 2013-02-26 GlobalFoundries, Inc. Methods for forming semiconductor structures using selectively-formed sidewall spacers
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US9263276B2 (en) 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US9595477B2 (en) * 2011-01-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including an epitaxy region
US8445334B1 (en) 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling
US8637371B2 (en) * 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
US20130214364A1 (en) * 2012-02-16 2013-08-22 International Business Machines Corporation Replacement gate electrode with a tantalum alloy metal layer
US8906760B2 (en) 2012-03-22 2014-12-09 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
US9224840B2 (en) 2012-07-10 2015-12-29 GlobalFoundries, Inc. Replacement gate FinFET structures with high mobility channel
US9136177B2 (en) * 2012-07-30 2015-09-15 Globalfoundries Inc. Methods of forming transistor devices with high-k insulation layers and the resulting devices
US8741701B2 (en) 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8946791B2 (en) 2012-08-31 2015-02-03 International Business Machines Corporation Finfet with reduced parasitic capacitance
US8937369B2 (en) 2012-10-01 2015-01-20 United Microelectronics Corp. Transistor with non-uniform stress layer with stress concentrated regions
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US8809920B2 (en) 2012-11-07 2014-08-19 International Business Machines Corporation Prevention of fin erosion for semiconductor devices
US8865549B2 (en) 2012-12-07 2014-10-21 Texas Instruments Incorporated Recessed channel insulated-gate field effect transistor with self-aligned gate and increased channel length
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9306036B2 (en) 2013-07-30 2016-04-05 Globalfoundries Inc. Nitride spacer for protecting a fin-shaped field effect transistor (finFET) device
US9349835B2 (en) 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US20150076654A1 (en) 2013-09-17 2015-03-19 Global Foundries Inc. Enlarged fin tip profile for fins of a field effect transistor (finfet) device
US9209178B2 (en) 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
US9406778B2 (en) * 2014-01-15 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
CN104795362B (zh) 2014-01-16 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9064801B1 (en) 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9312354B2 (en) 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9252233B2 (en) 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
US9202751B2 (en) 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US9640625B2 (en) 2014-04-25 2017-05-02 Globalfoundries Inc. Self-aligned gate contact formation
US9660057B2 (en) * 2014-06-17 2017-05-23 Stmicroelectronics, Inc. Method of forming a reduced resistance fin structure
US20160005868A1 (en) 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
US9536879B2 (en) 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
US9412820B2 (en) * 2014-08-11 2016-08-09 Stmicroelectronics, Inc. Semiconductor device with thinned channel region and related methods
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
CN105679824B (zh) * 2014-11-18 2018-09-07 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
US10950722B2 (en) 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9337094B1 (en) 2015-01-05 2016-05-10 International Business Machines Corporation Method of forming contact useful in replacement metal gate processing and related semiconductor structure
CN105845627A (zh) 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9330983B1 (en) * 2015-02-16 2016-05-03 International Business Machines Corporation CMOS NFET and PFET comparable spacer width
US9514997B2 (en) 2015-03-25 2016-12-06 International Business Machines Corporation Silicon-germanium FinFET device with controlled junction
US10032910B2 (en) 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
KR102394938B1 (ko) 2015-05-21 2022-05-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US9397003B1 (en) * 2015-05-27 2016-07-19 Globalfoundries Inc. Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques
US9455331B1 (en) 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US9620644B2 (en) 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9576954B1 (en) * 2015-09-23 2017-02-21 International Business Machines Corporation POC process flow for conformal recess fill
US9443848B1 (en) 2015-09-24 2016-09-13 International Business Machines Corporation Methods for contact formation for 10 nanometers and beyond with minimal mask counts
US9577102B1 (en) 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET
CN106611709B (zh) * 2015-10-15 2019-09-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9887289B2 (en) * 2015-12-14 2018-02-06 International Business Machines Corporation Method and structure of improving contact resistance for passive and long channel devices
US9577038B1 (en) * 2015-12-15 2017-02-21 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US9634009B1 (en) * 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US9899526B2 (en) 2016-01-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9450095B1 (en) 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US9893171B2 (en) * 2016-06-03 2018-02-13 International Business Machines Corporation Fin field effect transistor fabrication and devices having inverted T-shaped gate
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
US9647112B1 (en) * 2016-09-22 2017-05-09 International Business Machines Corporation Fabrication of strained vertical P-type field effect transistors by bottom condensation
KR102310079B1 (ko) * 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10134859B1 (en) * 2017-11-09 2018-11-20 International Business Machines Corporation Transistor with asymmetric spacers
US10361125B2 (en) * 2017-12-19 2019-07-23 International Business Machines Corporation Methods and structures for forming uniform fins when using hardmask patterns
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160042952A1 (en) * 2014-08-11 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Semiconductor Device Fabrication
US20160343861A1 (en) * 2015-05-22 2016-11-24 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US20160372567A1 (en) * 2015-06-18 2016-12-22 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
GB202001032D0 (en) 2020-03-11
US10790393B2 (en) 2020-09-29
US20190172940A1 (en) 2019-06-06
US20190006506A1 (en) 2019-01-03
JP7123986B2 (ja) 2022-08-23
DE112018003323T5 (de) 2020-03-12
US10243079B2 (en) 2019-03-26
WO2019003078A1 (en) 2019-01-03
GB2579463A (en) 2020-06-24
GB2579463B (en) 2022-03-02
CN110603647A (zh) 2019-12-20

Similar Documents

Publication Publication Date Title
US10566430B2 (en) Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10090303B2 (en) Fabrication of vertical field effect transistors with uniform structural profiles
JP7123986B2 (ja) 半導体デバイスを製造するための方法および半導体デバイス
US10658484B2 (en) Non-planar field effect transistor devices with wrap-around source/drain contacts
US10340363B2 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
US11728340B2 (en) Single diffusion break isolation for gate-all-around field-effect transistor devices
US10692772B2 (en) Integrating metal-insulator-metal capacitors with fabrication of vertical field effect transistors
US20170186748A1 (en) FinFET Device Having Flat-Top Epitaxial Features and Method of Making the Same
US10679995B2 (en) Semiconductor device and method
US10770361B2 (en) Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
US10770562B1 (en) Interlayer dielectric replacement techniques with protection for source/drain contacts
US10242882B2 (en) Cyclic etch process to remove dummy gate oxide layer for fin field effect transistor fabrication
US10867860B2 (en) Methods of forming FinFET device
CN114975591A (zh) 具有寄生沟道结构的半导体器件
US11810948B2 (en) Semiconductor device and method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201130

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211214

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20211221

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20220502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220511

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220802

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220810

R150 Certificate of patent or registration of utility model

Ref document number: 7123986

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150