CN110603647A - 利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的腐蚀 - Google Patents

利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的腐蚀 Download PDF

Info

Publication number
CN110603647A
CN110603647A CN201880028471.XA CN201880028471A CN110603647A CN 110603647 A CN110603647 A CN 110603647A CN 201880028471 A CN201880028471 A CN 201880028471A CN 110603647 A CN110603647 A CN 110603647A
Authority
CN
China
Prior art keywords
layer
dielectric layer
dielectric
gate structure
vertical semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880028471.XA
Other languages
English (en)
Inventor
G·卡夫
何虹
P·蒙塔尼尼
E·米勒
S·卡纳卡萨巴帕赛
A·格林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN110603647A publication Critical patent/CN110603647A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

提供了包括多层栅极隔离的FinFET器件,以及制造FinFET器件的方法,其中在形成栅极隔离时,利用多层栅极隔离来防止或最小化垂直半导体鳍的腐蚀。例如,一种用于制造半导体器件的方法,包括:在FinFET器件的垂直半导体鳍的一部分上形成伪栅极结构;以及在伪栅极结构上形成多层栅极隔离。多层栅极隔离包括第一电介质层和第二电介质层,其中第一电介质层相对于垂直半导体鳍和第二电介质层具有蚀刻选择性。在一个实施例中,第一电介质层包括碳氮氧化硅(SiOCN),第二电介质层包括碳氮化硼硅(SiBCN)。

Description

利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的 腐蚀
技术领域
本公开总体上涉及半导体制造技术,并且具体地,涉及用于制造FET(场效应晶体管)器件的技术。
背景技术
随着半导体制造技术继续朝着更小的设计规则和更高的集成密度(例如14nm技术节点及更高)发展,集成电路器件和组件变得越来越小,在布局形成和器件优化中提出了挑战。当前,FinFET技术通常用于FET制造,因为此类技术为14nm及以下技术节点的FET制造提供了有效的CMOS缩放解决方案。FinFET器件包括三维鳍状FET结构,该结构包括至少一个在衬底上形成的垂直半导体鳍结构,在垂直半导体鳍的一部分上形成的栅极结构以及由从栅极结构的两侧延伸的垂直半导体鳍的一部分形成的源/漏区。垂直半导体鳍片的被源极/漏极区域之间的栅极结构覆盖的部分包括FinFET器件的沟道区域。
可以使用各种技术来形成FinFET器件的栅极结构。例如,可以使用“后栅极(gate-last)”工艺来制造FinFET栅极结构,该工艺包括例如在垂直半导体鳍的一部分上形成伪栅极结构和栅极隔离层,从而制造其他FinFET器件元件(例如,源极/漏极区域),然后使用替代金属栅极(RMG)工艺用金属栅极结构替代伪栅极结构。对于先进的FinFET技术,在蚀刻电介质层以形成栅极隔离层时,防止对垂直半导体鳍片的蚀刻损坏非常重要。
在常规方法中,通过使用例如RIE(反应离子蚀刻)沉积和图案化电介质材料层来形成栅极隔离。由于RIE工艺的选择性有限,因此在对电介质材料层进行图案化以形成栅极隔离时,垂直半导体鳍片可能会遭受明显的蚀刻损坏。虽然可以调整隔离物RIE工艺以最小化由硅(Si)或硅锗(SiGe)形成的垂直半导体鳍片的蚀刻腐蚀,但是RIE工艺的选择性随着鳍片间距的缩放(例如,减小鳍片间的间距),并且随着鳍的高度增加(例如,隔离物RIE的长宽比增加)而减小。
发明内容
本发明的实施例包括包括多层栅极隔离的FinFET器件,以及制造FinFET器件的方法,其中在形成栅极隔离时,利用多层栅极隔离来防止或最小化垂直半导体鳍的腐蚀。例如,在一个实施例中,一种用于制造半导体器件的方法包括:在FinFET器件的垂直半导体鳍的一部分上形成伪栅极结构;以及在伪栅极结构上形成多层栅极隔离。多层栅极隔离包括第一电介质层和第二电介质层,其中第一电介质层相对于垂直半导体鳍和第二电介质层具有蚀刻选择性。在一个实施例中,第一电介质层包括碳氮氧化硅(SiOCN),第二电介质层包括碳氮化硼硅(SiBCN)。
另一个实施例包括一种半导体器件,该半导体器件包括:在FinFET器件的垂直半导体鳍的一部分上形成的栅极结构;以及在该栅极结构的侧壁上形成的多层栅极隔离。多层栅极隔离包括第一电介质层和第二电介质层,其中第一电介质层相对于垂直半导体鳍和第二电介质层具有蚀刻选择性。在一个实施例中,第一电介质层包括SiOCN,第二电介质层包括SiBCN。
将在下面的实施例的详细描述中描述其他实施例,该详细描述将结合附图来阅读。
附图说明
图1A至图6B示意性地示出了根据本发明实施例的制造FinFET器件的工艺,其中:
图1A、1B、1C和1D是处于制造中间阶段的半导体器件的示意图,其中在FinFET器件的垂直半导体鳍片上形成伪栅极结构;
图2A、2B和2C分别是图1A、1B和1C的半导体器件在伪栅极结构上沉积第一和第二电介质层之后的示意图,随后将其图案化以形成多层栅极隔离;
图3A、3B和3C分别是在对第一电介质层有选择性地蚀刻第二电介质层之后的图2A、2B和2C的半导体器件的示意图;
图4A、图4B和图4C分别是图3A、图3B和图3C的半导体器件在对第二电介质层和垂直半导体鳍选择性地蚀刻第一电介质层之后以及在FinFET器件的垂直半导体鳍片的暴露部分上形成外延源极/漏极层之后的示意图;
图4D是图4A、4B和4C中所示的半导体器件的示意性俯视平面图;图5A、5B和5C分别是图4A、4B和4C的半导体器件在经过沉积并平坦化电介质材料层以形成层间电介质(ILD)层之后的示意图;和
图6A和6B分别是图5A和5B的半导体器件在执行替换金属栅工艺以用高k金属栅结构替换伪栅结构之后的示意图。
具体实施方式
现在将关于包括多层栅极隔离的FinFET器件以及用于制造FinFET器件的方法进一步详细地描述实施例,其中在形成栅极隔离时,利用多层栅极隔离来防止或最小化垂直半导体鳍的腐蚀。应当理解,附图中示出的各种层、结构和区域是未按比例绘制的示意图。另外,为了便于解释,在给定附图中可能未明确示出通常用于形成半导体器件或结构的一种或多种层、结构和区域。这并不意味着未从实际的半导体结构中省略未明确示出的任何层、结构和区域。
此外,应当理解,本文讨论的实施例不限于本文示出和描述的特定材料、特征和处理步骤。特别地,关于半导体处理步骤,要强调的是,本文提供的描述并非旨在涵盖形成功能性半导体集成电路器件可能需要的所有处理步骤。而是,出于描述的经济目的,在此没有故意地描述在形成半导体器件中通常使用的某些处理步骤,例如湿法清洁和退火步骤。
此外,在整个附图中使用相同或相似的附图标记表示相同或相似的特征、元件或结构,因此,将不再对于每个图纸重复对相同或相似的特征、元件或结构的详细说明。应当理解,本文所用的关于厚度、宽度、百分比、范围等的术语“大约”或“基本上”旨在表示接近或近似但并非精确。例如,如本文所使用的术语“大约”或“基本上”意味着可能存在小的误差范围,例如小于或等于所述量的1%。
为了提供空间背景,在半导体结构的附图中示出了XYZ笛卡尔坐标。应当理解,本文所用的术语“垂直”表示附图中所示的笛卡尔坐标的Z方向,本文所用的术语“水平”或“横向”表示X方向和/或如图所示,直角坐标的Y方向垂直于Z方向。
图1A至6B示意性地示出了根据本发明实施例的用于制造具有多层栅极隔离结构的FinFET器件的工艺。首先,图1A、1B、1C和1D是半导体器件100在制造的中间阶段的示意图,其中在FinFET器件的垂直半导体鳍上形成伪栅极结构。图1D是半导体器件100的示意性顶视平面图(X-Y平面),而图1A、1B和1C是沿图1D所示的各个线表示的平面的半导体器件100的截面侧视图。特别地,图1A是沿着图1D中的线1A-1A的半导体器件100的截面侧视图(Y-Z平面)。图1B是沿图1D中的线1B-1B的半导体器件100的截面侧视图(X-Z平面),图1C是沿图1D中的线1C-1C的半导体器件100的截面侧视图(X-Z平面)。
如图1A、1B、1C和1D所示,半导体器件100包括半导体衬底110、隔离层120、多个垂直半导体鳍130、伪栅极结构G1和G2以及在伪栅极结构G1和G2的顶部形成的覆盖层150。伪栅极结构G1和G2每个都包括伪栅极电极层140和伪栅极氧化物层142,它们形成在垂直半导体鳍130的相应的沟道(C)区域上。作为RMG流程的一部分,伪栅极结构被金属栅极结构代替,将在下面进行详细说明。如图1D所示,例如,垂直半导体鳍130的从伪栅极结构G1和G2的侧面延伸的部分用作FinFET器件D1和D2的源极/漏极(S/D)区域。如图1B和1D的示例实施例所示,每个FinFET器件D1和D2包括形成在三个垂直半导体鳍130的一部分上的公共栅极结构。就这一点而言,为当在栅极结构的每一侧上的各个源极/漏极区域共同连接到相应的单个垂直源极/漏极触点时(即,三个FinFET段并联以形成多鳍片FinFET器件),每个FinFET器件D1和D2可以被配置为多鳍片FinFET结构。此外,在图1D所示的示例中,FinFET器件D1和D2在伪栅极结构G1和G2之间共享公共源极/漏极(S/D)区域,使得FinFET器件D1和D2串联连接。
图1A、1B、1C和1D所示的半导体器件100可以使用已知的半导体制造技术和合适的半导体材料来制造。例如,半导体衬底110被示为通用衬底层,并且可以包括半导体材料的各种结构和层。在一个实施例中,半导体衬底110包括由例如硅(Si)或块半导体制造工艺中常用的其他类型的半导体衬底材料例如锗(Ge)、硅锗(SiGe)合金、碳化硅(SiC)、硅锗碳化物合金或化合物半导体材料(例如III-V或II-VI等形成的块半导体衬底(例如晶片)。III-V族化合物半导体材料的非限制性实例包括砷化镓、砷化铟和磷化铟。在另一实施例中,半导体衬底110包括SOI(绝缘体上硅)衬底,包括设置在基础衬底层(例如,硅衬底)和有源半导体层(例如,有源Si或SiGe层)之间的绝缘层(例如,氧化物层),其中有源电路组件形成为FEOL(线路前端)结构的一部分。
可以使用各种方法来制造隔离层120和垂直半导体鳍130。例如,对于块和SOI衬底的实施例,可以通过在块状半导体或SOI衬底的表面上图案化有源硅层(例如,晶体硅、晶体SiGe、III-V族化合物半导体材料等)来在整个半导体晶片的不同器件区域中形成垂直半导体鳍130的图案,为了便于说明,在图1B、1C和1D中示出了三个。在一个实施例中,垂直半导体鳍130可以由在块状硅衬底或块状锗衬底上外延生长的晶体SiGe层图案化。使用外延生长工艺形成的晶体SiGe层可以包括松弛的SiGe层或应变的SiGe层。如本领域中已知的,应变工程被用于增强MOS晶体管的载流子迁移率,其中可以制造不同类型的Si-SiGe异质结构以获得和/或优化CMOS FET器件的不同特性。例如,可以在SiGe衬底层上外延生长硅以形成应变Si层。而且,可以在硅衬底层上外延生长应变的SiGe层。应变Si/松弛SiGe结构产生拉伸应变,主要改善n型FET器件的电子迁移率,而应变SiGe/松弛SiGe结构产生压缩应变,主要提高p型FET器件的空穴迁移率。
在形成垂直半导体鳍片130之后,可以沉积绝缘材料层以覆盖垂直半导体鳍片130,然后(通过化学机械平坦化(CMP))向下平坦化至垂直半导体鳍片130的顶部,然后,使用回蚀工艺(例如,选择性RIE工艺)进一步凹陷以形成隔离层120。如图1C所示,将绝缘材料层向下蚀刻至目标水平,以暴露垂直半导体鳍结构130的上部,其限定了FinFET器件D1和D2的基线有源鳍高度H。在本发明的一个实施例中,可以使用RIE选择性地蚀刻隔离层120,尽管可以采用其他蚀刻工艺。可以执行定时蚀刻以去除期望量的绝缘材料以暴露出垂直半导体鳍结构130的上部。
在其他实施例中,垂直半导体鳍片130可以使用以下工艺形成:首先沉积隔离层120,然后使用RIE或深RIE进行蚀刻,以在隔离层120中形成向下到半导体衬底110的沟槽图案,其对应于要形成的垂直半导体鳍的图案。然后,使用ART(长宽比捕获)技术通过在沟槽底部的半导体衬底110的暴露表面上开始外延生长晶体半导体材料来形成垂直半导体鳍130。ART使得例如晶体Si、SiGe或III-V族化合物半导体材料的选择性外延生长能够填充形成在绝缘层中的高纵横比沟槽,从而形成用于FinFET器件的高质量有源沟道层。可以使用诸如CVD(化学气相沉积)、MOCVD(金属有机化学气相沉积)、LPCVD(低压化学气相沉积)、MBE(分子束外延)、VPE(气相外延),MOMBE(金属有机分子束外延)或其他已知的外延生长技术外延生长晶体SiGe层(或其他类型的外延半导体层)。
接下来,可以使用已知的工艺流程来制造伪栅极结构G1和G2。例如,可以通过在半导体衬底的表面上形成共形氧化物层以覆盖垂直半导体鳍130的方式来形成伪栅结构G1和G2。可以通过生长氧化物层(例如,硅)来形成共形氧化物层。使用已知技术在半导体鳍片材料(例如Si或SiGe表面等)的暴露表面上生长氧化物(例如,氧化硅),或使用已知诸如原子层沉积(ALD)、化学气相沉积(CVD)等的已知工艺在半导体衬底的表面上沉积氧化物材料(例如二氧化硅)的共形层来形成共形氧化物层。然后在半导体衬底表面上将诸如多晶硅或非晶硅之类的牺牲硅材料层毯覆沉积在半导体衬底上,然后平坦化。牺牲硅材料层可以使用已知方法沉积,例如CVD、物理气相沉积(PVD)、电化学沉积和其他合适的沉积方法。牺牲硅材料层可以使用CMP平坦化。
然后,图案化共形氧化物层和牺牲硅层,以形成伪栅极结构Gl和G2的伪栅氧化物层142和伪栅电极层140。例如,在牺牲硅层的平坦化表面上沉积一层或多层绝缘材料,然后使用光刻工艺将其图案化以形成覆盖层150。在图1A所示的示例实施例中,例如,覆盖绝缘层150包括第一绝缘层152和第二绝缘层154。在一个实施例中,第一绝缘层152包括氮化硅,第二绝缘层154包括氧化硅,但是取决于所实施的制造工艺流程覆盖层150可以由绝缘材料的任何合适的组合形成。覆盖层150限定伪栅极结构G1和G2的图案,并且可以用作蚀刻掩模以蚀刻下面的共形氧化物层和牺牲硅层。
干蚀刻工艺(例如,RIE)可以用于蚀刻通过蚀刻掩模暴露的牺牲硅层的部分(覆盖层150),从而形成伪栅极结构G1和G2的伪栅电极层140。通过这种工艺,当蚀刻牺牲硅层(例如多晶硅层)时,下面的氧化物层将用作蚀刻缓冲层(或蚀刻停止层),以防止多晶硅蚀刻工艺蚀刻穿过氧化物层并损坏半导体材料。接下来,蚀刻下面的氧化物层的暴露部分,以形成伪栅极结构G1和G2的伪栅极氧化物层142,得到图1A、1B、1C和1D所示的中间半导体器件。
在形成伪栅极结构G1和G2之后,继续制造过程,形成用于FinFET器件D1和D2的栅极结构的多层栅极隔离。例如,图2A、2B和2C分别是在衬底的表面上顺序沉积第一电介质层160和第二电介质层170以覆盖伪栅极结构G1和G2以及垂直半导体鳍130的暴露部分之后的图1A、1B和1C的半导体器件的示意图。随后,使用下面将进一步详细讨论的工艺流程对第一电介质层160和第二电介质层170进行图案化,以形成用于FinFET器件D1和D2的绝缘栅极隔离。
在一个实施例中,第一电介质层160包括以约2nm至约5nm的范围内的厚度形成的共形电介质膜,并且第二电介质层170包括以约5nm至约20nm的范围内的厚度形成的共形电介质膜。可以使用已知技术来沉积第一电介质层160和第二电介质层170,例如等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)或其他能够使第一电介质层和第二电介质层160和170具有高度一致性的合适的沉积方法。
在本发明的一个实施例中,第二电介质层170由可以相对于第一电介质层160选择性地蚀刻(例如,具有大约10:1或更大的蚀刻选择性)的电介质材料形成,并且第一电介质层160由可以相对于(i)第二电介质层170(例如,具有大约10:1或更大的蚀刻选择性的),以及(ii)形成垂直半导体鳍130的半导体材料(例如,具有大约10:1或更大的蚀刻选择性)选择性地蚀刻电介质材料形成。例如,在一个实施例中,第一电介质层160由碳氮氧化硅(SiOCN)薄膜形成,并且第二电介质层170由碳氮化硼硅(SiBCN)薄膜形成。假设这样的电介质材料提供如上所述的蚀刻选择性,则可以使用适合用作FinFET器件的栅极结构的栅极绝缘隔离的其他低k电介质材料来形成第一电介质层160和第二电介质层170。如图3A、3B、3C,4A、4B和4C所示,使用工艺流程对第一和第二电介质层160和170进行图案化。
特别地,图3A、3B和3C分别是在相对于第一电介质层160选择性地蚀刻第二电介质层170之后的图2A、2B和2C的半导体器件的示意图。参照图3A和图3B,蚀刻工艺导致从水平表面特征去除第二电介质层170的水平部分,并且在伪栅极/覆盖层堆叠G1/150和G2/150的垂直侧壁下拉(凹陷)第二电介质层170的部分。如图3C所示,在垂直半导体鳍130的S/D区域上的伪栅极结构G1和G2之间的区域中,第二电介质层170被完全去除。在一个实施例中,使用以下方法蚀刻第二电介质层170:具有蚀刻化学和蚀刻环境的定向(例如,各向异性)干法蚀刻工艺(例如,RIE),其被配置为蚀刻相对于第一电介质层160高度选择性地蚀刻第二电介质层170,使得第一电介质层160用作蚀刻过程的蚀刻停止。在该蚀刻工艺中,第二电介质层170在伪栅极/覆盖层堆叠G1/150和G2/150的垂直侧壁上垂直地凹陷到目标水平,该目标水平足以完全蚀刻掉与垂直半导体鳍130的有源S/D区的侧壁(见图3C)相邻的第二电介质层170,同时确保第二电介质层170不凹陷在每个伪栅极结构G1和G2顶部的覆盖层150的第一绝缘层152下方。例如,如图3A的示例实施例所示,第二电介质层170垂直地凹陷到在伪栅极结构G1和G2上的覆盖层150的第一绝缘层152之上的水平。
接下来,图4A、图4B和图4C分别是在相对于第一电介质层160选择性地蚀刻第二电介质层170和垂直半导体鳍片130之后进行了蚀刻并且在FinFET器件D1和D2的垂直半导体鳍130的S/D区域的暴露部分上形成外延源极/漏极层180之后图3A、图3B和图3C的半导体器件的示意图。图4D是图4A、4B和4C中所示的半导体器件的示意性俯视平面图,其中图4A是沿着图4D中的线4A-4A的半导体器件100的截面侧视图(Y-Z平面),图4B是沿着图4D中的线4B-4B的半导体器件100的截面侧视图(X-Z平面),并且其中图4C是沿着图4D中的线4C-4C的半导体器件100的截面侧视图(X-Z平面)。
在一个实施例中,执行各向同性蚀刻工艺以选择性地蚀刻掉第一电介质层160的暴露部分,而第一电介质层160的被第二电介质层170覆盖的其他部分未被蚀刻。蚀刻工艺对于垂直半导体鳍130的半导体材料也是选择性的,以在蚀刻第一电介质层160时防止或以其他方式最小化对垂直半导体鳍130的S/D区域的任何蚀刻损伤。假设第一电介质层160由SiOCN形成并且第二电介质层170由SiBCN形成,则可以使用基于氢氟酸(HF)的湿法蚀刻工艺来实施各向同性蚀刻工艺以蚀刻掉硅的暴露部分。第一电介质层160对第二电介质层170和垂直半导体鳍130具有高度选择性。在本发明的一个实施例中,基于HF的蚀刻剂包括使用其他无机酸具有增强的酸度的稀释的基于HF的蚀刻剂。
如图4A、4B和4D所示,对第一电介质层160的蚀刻导致形成多层栅极隔离175,该多层栅极隔离175设置在伪栅极结构G1和G2的垂直侧壁上并且围绕伪栅极结构G1和G2。与例如单层SiN或SiBCN形成的常规隔离相比,根据本发明实施例的多层栅极隔离的制造使得能够沉积和图案化多个薄的低k电介质层,以形成具有均匀轮廓且具有较低有效电介质常数的绝缘栅极隔离。此外,如上所述,使用具有不同蚀刻特性的不同低k电介质层能够对低k电介质层进行图案化以形成栅极隔离175,同时防止或最小化隔离制造过程中垂直半导体鳍130的蚀刻。
在形成多层栅极隔离175之后,工艺流程继续在垂直半导体鳍130的暴露的S/D区域上形成源极/漏极层180。在本发明的一个实施例中,如图4A、4C和图4D所示,通过在与伪栅极结构G1和G2相邻的垂直半导体鳍130的S/D区域的暴露表面上生长外延半导体材料来形成源极/漏极层180。用于形成源极/漏极层180的外延材料的类型和掺杂将取决于FinFET器件D1和D2是P型还是N型器件而变化。在另一实施例中,源极/漏极层180可以是使用已知方法在垂直半导体鳍130的S/D区域的暴露表面上形成的硅化物层。
接下来,图5A、5B和5C分别是在沉积和平坦化电介质材料层以形成ILD层190之后的图4A、4B和4C的半导体器件的示意图。ILD层190例如通过在半导体衬底的表面上沉积一层或多层绝缘材料以覆盖伪栅极结构G1和G2,然后将半导体衬底的表面平坦化至暴露出伪装栅极结构G1和G2上的覆盖层150的第一绝缘层152中的第一绝缘层152的上表面的水平来形成。ILD层190可以使用合适的电介质材料形成,包括但不限于氧化硅、氢化硅碳氧化物(SiCOH)、SiCH、SiCNH或其他类型的硅基低k电介质(例如,k小于约4.0)、多孔电介质或已知的ULK(超低k)电介质材料(k小于约2.5)。例如,ILD层190可以包括绝缘材料的单层沉积,或者绝缘材料的多层(例如,可流动氧化物的第一层和在第一层上形成的第二层绝缘材料)。可以使用诸如ALD、CVD、PECVD(等离子体增强CVD)或PVD之类的已知沉积技术或旋涂沉积来沉积ILD层190。
在形成ILD层190之后,工艺流程以RMG工艺继续进行,以用金属栅极结构代替伪栅极结构G1和G2。例如,图6A和6B分别是在执行替换金属栅极工艺以去除伪栅极结构G1和G2并形成高k金属栅极结构200之后的图5A和5B的半导体器件的示意图。在一个实施例中,高k金属栅极结构200均包括高k栅极电介质层202和金属栅电极204。可以使用各种方法去除伪栅极结构G1和G2,并且可以形成高k金属栅极结构200。
例如,可以对ILD层190和栅极隔离175的材料选择性地蚀刻掉每个覆盖层150的第一绝缘层152,以暴露伪栅极结构G1和G2的伪栅极层140。
然后,相对于ILD层190、栅极隔离175和伪栅极氧化物层142使用湿蚀刻工艺(例如,四甲基氢氧化铵(TMAH)化学蚀刻溶液)或干蚀刻工艺(例如,NF3+H2气相化学蚀刻)蚀刻掉伪栅极结构G1和G2的牺牲硅。该蚀刻工艺暴露出伪栅极结构G1和G2的伪栅极氧化物层142。然后,相对于垂直半导体鳍130、栅极隔离175和ILD层190的材料,使用蚀刻化学和蚀刻环境选择性地蚀刻掉伪栅极氧化物层142。在伪栅极氧化物层142由氧化硅形成的本发明的一个实施例中,可以使用NH3(氨)和HF(氟化氢)反应气体(或蚀刻剂气体)的混合物来执行氧化物蚀刻工艺。
在去除伪栅氧化物层142之后,通过在半导体结构的表面上沉积一层或多层栅级电介质材料的共形层,并且在栅极电介质材料上沉积一层或多层导电材料来形成金属栅极结构200。然后执行平坦化工艺(例如,CMP)以将半导体结构的表面向下抛光至ILD层190,从而去除栅极电介质和导电材料的覆盖部分,从而得到图6A和6B所示的具有金属栅极结构200半导体结构。
栅级电介质层202由任何合适的电介质材料形成,包括例如氮化物、氧氮化物或氧化物或电介质常数约为3.9或更大的高k电介质材料。特别地,栅极电介质材料202的共形层可以包括氧化硅、氮化硅、氮氧化硅、氮化硼、高k材料或这些材料的任何组合。高k材料的示例包括但不限于金属氧化物,例如氧化铪、氧化硅铪、氮氧化铪硅、氧化镧、氧化铝镧、氧化锆、氧化硅锆、氧化氮氧化锆硅、氧化钽、钛氧化物、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铌酸铅锌。高k栅极电介质材料可以进一步包括掺杂剂,例如镧、铝。在本发明的一个实施例中,栅极电介质材料的共形层形成的厚度在大约0.5nm至大约2.5nm的范围内,该厚度将根据目标应用而变化。使用诸如ALD的已知方法沉积形成栅极电介质层202的电介质材料允许栅极电介质材料的高共形性。
栅电极层204由任何合适的导电材料形成,包括例如掺杂的多晶硅或非晶硅、锗、硅锗、金属(例如钨、钛、钽、钌、锆、钴、铜、铝、铅、铂、锡、银、金)、导电的金属化合物(例如氮化钽、氮化钛、碳化钽、碳化钛、碳化钛铝、硅化钨、氮化钨、氧化钌、硅化钴、镍硅化物)、碳纳米管、导电碳、石墨烯或此类导电材料的任何合适组合。导电材料层可以进一步包括在沉积期间或之后结合的掺杂剂。导电材料层使用合适的沉积工艺沉积,例如CVD、PECVD、PVD、电镀、热或电子束蒸发、溅射等。
在另一实施例中,可以在沉积导电材料层204之前在栅极电介质材料202的共形层上沉积功函数金属(WFM)的共形薄层。共形WFM薄层可以由一或多种类型的金属材料形成,包括但不限于TiN、TaN、TiAlC、Zr、W、Hf、Ti、Al、Ru、Pa、TiAl、ZrAl、Wal、TaAl、HfAl、TiAlC、TaC、TiC、TaMgC或通常用于获得适合于将要形成的垂直FET器件的类型(例如,n型或p型)的目标功函数的其他功函数金属或合金。使用诸如ALD、CVD等的已知方法沉积共形WFM层。在一个实施例中,共形WFM层形成为具有约2nm至约5nm范围内的厚度。在另一实施例中,形成栅电极层204的导电材料可以用作WFM层。
在金属栅极结构200形成之后,可以实施任何适当顺序的处理步骤,以完成n型和/或p型FinFET器件以及作为FEOL层的一部分正在制造的半导体集成电路的其他元件的制造,其细节对理解本发明的实施例不需要。此外,执行MOL(线间)工艺以在ILD层190(以及可以在ILD层190上形成的绝缘材料的一个或多个其他层)中形成导电通孔接触。通过蚀刻ILD层190(和任何上覆的绝缘层)中的开口,直至垂直半导体鳍片130的S/D区域上形成的源极/漏极层180以及金属栅极结构200形成通孔接触,然后用导电材料填充开口以在ILD层190中形成器件触点。在形成MOL器件触点之后,使用众所周知的制造工艺流程形成BEOL(线后端)互连结构,以提供FinFET器件以及其他作为FEOL层一部分的有源或无源器件。
应当理解,本文讨论的用于制造具有多层栅极结构的FinFET器件的方法可以作为各种半导体处理流程的一部分并入,以用于制造其他类型的半导体器件和具有各种模拟和数字电路或混合信号电路的集成电路。集成电路管芯可以用各种器件制造,例如场效应晶体管、双极晶体管、金属氧化物半导体晶体管、二极管、电容器、电感器等。根据本发明的集成电路可以用于各种应用、硬件和/或电子系统中。用于实施本发明的合适的硬件和系统可以包括但不限于个人计算机、通信网络、电子商务系统、便携式通信设备(例如,蜂窝电话)、固态媒体存储设备、功能电路等。包含这种集成电路的硬件和硬件被认为是本文描述的实施例的一部分。给定本文提供的本发明的教导,本领域的普通技术人员将能够考虑本发明的技术的其他实施方式和应用。
尽管这里已经参考附图描述了示例性实施例,但是应该理解,本发明不限于那些精确的实施例,并且本领域的技术人员可以不脱离所附权利要求书的范围的情况下做出各种其他改变和修改。

Claims (20)

1.一种制造半导体器件的方法,包括:
在FinFET(场效应晶体管)器件的垂直半导体鳍的一部分上形成伪栅极结构;以及
在伪栅极结构上形成多层栅极隔离;
其中,多层栅极隔离包括第一电介质层和第二电介质层;以及
其中第一电介质层相对于垂直半导体鳍和第二电介质层具有蚀刻选择性。
2.根据权利要求1所述的方法,其中,第一电介质层包括碳氮氧化硅(SiOCN)。
3.根据权利要求2所述的方法,其中,第一电介质层的厚度在约2nm至约5nm的范围内。
4.根据权利要求2所述的方法,其中,第二电介质层包括氮化硅硼碳(SiBCN)。
5.根据权利要求4所述的方法,其中,第二电介质层的厚度在约5nm至约20nm的范围内。
6.根据权利要求1所述的方法,其中,在伪栅极结构上形成多层栅极隔离包括:
在伪栅极结构和垂直半导体鳍上沉积电介质材料的第一共形层;
在电介质材料的第一共形层上沉积电介质材料的第二共形层;
相对于电介质材料的第一共形层选择性地蚀刻电介质材料的第二共形层,以形成多层栅极隔离的第二电介质层;和
相对于垂直半导体鳍选择性地刻蚀电介质材料的第一共形层的暴露部分和多层栅极隔离的第二电介质层,以形成多层栅极隔离的第一电介质层并暴露垂直半导体鳍的源/漏区。
7.根据权利要求6所述的方法,其中,电介质材料的第一共形层包括碳氮氧化硅(SiOCN)。
8.根据权利要求7所述的方法,其中,电介质材料的第一共形层形成的厚度在约2nm至约5nm的范围内。
9.根据权利要求6所述的方法,其中,电介质材料的第二共形层包括氮化硅硼碳(SiBCN)。
10.根据权利要求9所述的方法,其中,电介质材料的第二共形层形成的厚度在约5nm至约20nm范围内。
11.根据权利要求6所述的方法,还包括,在垂直半导体鳍暴露的源/漏区域上外延生长外延半导体材料层。
12.根据权利要求11所述的方法,还包括,去除伪栅极结构;以及形成金属栅极结构代替伪栅极结构。
13.根据权利要求12所述的方法,其中,金属栅极结构包括高k金属栅极结构。
14.一种半导体器件,包括:
在FinFET(场效应晶体管)器件的垂直半导体鳍的一部分上形成的栅极结构;以及
在栅极结构的侧壁上形成的多层栅极隔离;
其中,多层栅极隔离包括第一电介质层和第二电介质层;以及
其中第一电介质层相对于垂直半导体鳍和第二电介质层具有蚀刻选择性。
15.根据权利要求14所述的半导体器件,其中,第一电介质层包括碳氧氮化硅(SiOCN)。
16.根据权利要求15所述的半导体器件,其中,第一电介质层的厚度在约2nm至约5nm的范围内。
17.根据权利要求14所述的半导体器件,其中,第二电介质层包括氮化硅硼碳(SiBCN)。
18.根据权利要求17所述的半导体器件,其中,第二电介质层的厚度在约5nm至约20nm的范围内。
19.根据权利要求18所述的半导体器件,还包括,形成在垂直半导体鳍的与金属栅极结构相邻的源/漏区上的外延半导体材料。
20.根据权利要求14所述的半导体器件,其中,栅极结构包括高k金属栅极结构。
CN201880028471.XA 2017-06-30 2018-06-25 利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的腐蚀 Pending CN110603647A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/639,721 2017-06-30
US15/639,721 US10243079B2 (en) 2017-06-30 2017-06-30 Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
PCT/IB2018/054653 WO2019003078A1 (en) 2017-06-30 2018-06-25 USE OF A MULTILAYER GRID SPACER TO REDUCE THE EROSION OF A SEMICONDUCTOR FIN IN THE MODELING OF A SPACER

Publications (1)

Publication Number Publication Date
CN110603647A true CN110603647A (zh) 2019-12-20

Family

ID=64734929

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880028471.XA Pending CN110603647A (zh) 2017-06-30 2018-06-25 利用多层栅极隔离减少隔离物图案化过程中半导体鳍片的腐蚀

Country Status (6)

Country Link
US (2) US10243079B2 (zh)
JP (1) JP7123986B2 (zh)
CN (1) CN110603647A (zh)
DE (1) DE112018003323T5 (zh)
GB (1) GB2579463B (zh)
WO (1) WO2019003078A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113284951A (zh) * 2020-01-31 2021-08-20 台湾积体电路制造股份有限公司 晶体管及其形成方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10483372B2 (en) * 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
KR102532118B1 (ko) * 2018-03-20 2023-05-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11038036B2 (en) * 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11869891B2 (en) * 2018-09-28 2024-01-09 Intel Corporation Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process
US11658212B2 (en) * 2019-02-13 2023-05-23 Intel Corporation Quantum dot devices with conductive liners
CN112466945B (zh) * 2019-09-06 2023-10-20 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11424165B2 (en) 2019-10-16 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having different gate dielectric thickness within one transistor
US12009395B2 (en) 2021-08-17 2024-06-11 International Business Machines Corporation Self-aligned block for vertical FETs
US20230119827A1 (en) * 2021-10-20 2023-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Structure And Method For Forming The Same
USD1028505S1 (en) 2022-03-11 2024-05-28 Magpul Industries Corp. Long gun case

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US20110147846A1 (en) * 2009-12-22 2011-06-23 Taiwan Semiconductor Manufacturing Company, Ltd Method for incorporating impurity element in epi silicon process
CN102623317A (zh) * 2011-01-20 2012-08-01 台湾积体电路制造股份有限公司 包括外延区域的半导体器件
US20140159142A1 (en) * 2012-12-07 2014-06-12 Texas Instruments Incorporated Recessed Channel Insulated-Gate Field Effect Transistor with Self-Aligned Gate and Increased Channel Length
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US20160042952A1 (en) * 2014-08-11 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Semiconductor Device Fabrication
CN105679824A (zh) * 2014-11-18 2016-06-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN106611709A (zh) * 2015-10-15 2017-05-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5043778A (en) 1986-08-11 1991-08-27 Texas Instruments Incorporated Oxide-isolated source/drain transistor
US5635102A (en) 1994-09-28 1997-06-03 Fsi International Highly selective silicon oxide etching method
US6849193B2 (en) 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6306702B1 (en) 1999-08-24 2001-10-23 Advanced Micro Devices, Inc. Dual spacer method of forming CMOS transistors with substantially the same sub 0.25 micron gate length
KR100416377B1 (ko) 2001-06-02 2004-01-31 삼성전자주식회사 ㄴ 자형 스페이서를 이용하는 반도체 트랜지스터 및 그제조 방법
JP4343571B2 (ja) 2002-07-31 2009-10-14 株式会社ルネサステクノロジ 半導体装置の製造方法
US7902029B2 (en) 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US6632745B1 (en) 2002-08-16 2003-10-14 Chartered Semiconductor Manufacturing Ltd. Method of forming almost L-shaped spacer for improved ILD gap fill
US20060074232A1 (en) 2004-10-04 2006-04-06 Stowers Institute For Medical Research Matrimony gene and protein
US7365378B2 (en) 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
US20070196991A1 (en) 2006-02-01 2007-08-23 Texas Instruments Incorporated Semiconductor device having a strain inducing sidewall spacer and a method of manufacture therefor
US7495280B2 (en) 2006-05-16 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with corner spacers
US7456068B2 (en) 2006-06-08 2008-11-25 Intel Corporation Forming ultra-shallow junctions
US7488659B2 (en) 2007-03-28 2009-02-10 International Business Machines Corporation Structure and methods for stress concentrating spacer
US7652332B2 (en) 2007-08-10 2010-01-26 International Business Machines Corporation Extremely-thin silicon-on-insulator transistor with raised source/drain
US7919379B2 (en) 2007-09-10 2011-04-05 International Business Machines Corporation Dielectric spacer removal
US7795097B2 (en) 2007-11-20 2010-09-14 Texas Instruments Incorporated Semiconductor device manufactured by removing sidewalls during replacement gate integration scheme
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US7919792B2 (en) 2008-12-18 2011-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell architecture and methods with variable design rules
US8383503B2 (en) 2009-08-05 2013-02-26 GlobalFoundries, Inc. Methods for forming semiconductor structures using selectively-formed sidewall spacers
US20110061810A1 (en) 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US9263276B2 (en) 2009-11-18 2016-02-16 International Business Machines Corporation High-k/metal gate transistor with L-shaped gate encapsulation layer
US8445334B1 (en) 2011-12-20 2013-05-21 International Business Machines Corporation SOI FinFET with recessed merged Fins and liner for enhanced stress coupling
US8637371B2 (en) * 2012-02-16 2014-01-28 International Business Machines Corporation Non-planar MOSFET structures with asymmetric recessed source drains and methods for making the same
US20130214364A1 (en) * 2012-02-16 2013-08-22 International Business Machines Corporation Replacement gate electrode with a tantalum alloy metal layer
US8906760B2 (en) 2012-03-22 2014-12-09 Tokyo Electron Limited Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
US9224840B2 (en) 2012-07-10 2015-12-29 GlobalFoundries, Inc. Replacement gate FinFET structures with high mobility channel
US9136177B2 (en) * 2012-07-30 2015-09-15 Globalfoundries Inc. Methods of forming transistor devices with high-k insulation layers and the resulting devices
US8741701B2 (en) 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
KR101921465B1 (ko) 2012-08-22 2018-11-26 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
US8946791B2 (en) 2012-08-31 2015-02-03 International Business Machines Corporation Finfet with reduced parasitic capacitance
US8937369B2 (en) 2012-10-01 2015-01-20 United Microelectronics Corp. Transistor with non-uniform stress layer with stress concentrated regions
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US8809920B2 (en) 2012-11-07 2014-08-19 International Business Machines Corporation Prevention of fin erosion for semiconductor devices
US8815668B2 (en) 2012-12-07 2014-08-26 International Business Machines Corporation Preventing FIN erosion and limiting Epi overburden in FinFET structures by composite hardmask
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US9306036B2 (en) 2013-07-30 2016-04-05 Globalfoundries Inc. Nitride spacer for protecting a fin-shaped field effect transistor (finFET) device
US9349835B2 (en) 2013-09-16 2016-05-24 Globalfoundries Inc. Methods for replacing gate sidewall materials with a low-k spacer
US20150076654A1 (en) 2013-09-17 2015-03-19 Global Foundries Inc. Enlarged fin tip profile for fins of a field effect transistor (finfet) device
US9209178B2 (en) 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
US9406778B2 (en) * 2014-01-15 2016-08-02 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
CN104795362B (zh) 2014-01-16 2018-03-30 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9064801B1 (en) 2014-01-23 2015-06-23 International Business Machines Corporation Bi-layer gate cap for self-aligned contact formation
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9412656B2 (en) 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse tone self-aligned contact
US9312354B2 (en) 2014-02-21 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact etch stop layers of a field effect transistor
US9123744B1 (en) 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9252233B2 (en) 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
US9202751B2 (en) 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US9640625B2 (en) 2014-04-25 2017-05-02 Globalfoundries Inc. Self-aligned gate contact formation
US9660057B2 (en) * 2014-06-17 2017-05-23 Stmicroelectronics, Inc. Method of forming a reduced resistance fin structure
US20160005868A1 (en) 2014-07-01 2016-01-07 Globalfoundries Inc. Finfet with confined epitaxy
US9536879B2 (en) 2014-07-09 2017-01-03 International Business Machines Corporation FinFET with constrained source-drain epitaxial region
KR102264542B1 (ko) * 2014-08-04 2021-06-14 삼성전자주식회사 반도체 장치 제조 방법
US9412820B2 (en) * 2014-08-11 2016-08-09 Stmicroelectronics, Inc. Semiconductor device with thinned channel region and related methods
US10164108B2 (en) 2014-10-17 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US10950722B2 (en) 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9337094B1 (en) 2015-01-05 2016-05-10 International Business Machines Corporation Method of forming contact useful in replacement metal gate processing and related semiconductor structure
CN105845627A (zh) 2015-01-14 2016-08-10 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9330983B1 (en) * 2015-02-16 2016-05-03 International Business Machines Corporation CMOS NFET and PFET comparable spacer width
US9514997B2 (en) 2015-03-25 2016-12-06 International Business Machines Corporation Silicon-germanium FinFET device with controlled junction
US10032910B2 (en) 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
KR102394938B1 (ko) 2015-05-21 2022-05-09 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US9876074B2 (en) * 2015-05-22 2018-01-23 International Business Machines Corporation Structure and process to tuck fin tips self-aligned to gates
US9397003B1 (en) * 2015-05-27 2016-07-19 Globalfoundries Inc. Method for forming source/drain contacts during CMOS integration using confined epitaxial growth techniques
KR102396111B1 (ko) * 2015-06-18 2022-05-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US9455331B1 (en) 2015-07-10 2016-09-27 International Business Machines Corporation Method and structure of forming controllable unmerged epitaxial material
US9576980B1 (en) * 2015-08-20 2017-02-21 International Business Machines Corporation FinFET devices having gate dielectric structures with different thicknesses on same semiconductor structure
US9620644B2 (en) 2015-09-02 2017-04-11 International Business Machines Corporation Composite spacer enabling uniform doping in recessed fin devices
US9576954B1 (en) * 2015-09-23 2017-02-21 International Business Machines Corporation POC process flow for conformal recess fill
US9443848B1 (en) 2015-09-24 2016-09-13 International Business Machines Corporation Methods for contact formation for 10 nanometers and beyond with minimal mask counts
US9577102B1 (en) 2015-09-25 2017-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming gate and finFET
US9887289B2 (en) * 2015-12-14 2018-02-06 International Business Machines Corporation Method and structure of improving contact resistance for passive and long channel devices
US9577038B1 (en) * 2015-12-15 2017-02-21 International Business Machines Corporation Structure and method to minimize junction capacitance in nano sheets
US9634009B1 (en) * 2015-12-18 2017-04-25 International Business Machines Corporation System and method for source-drain extension in FinFETs
US9899526B2 (en) 2016-01-15 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor structure and manufacturing method thereof
US9450095B1 (en) 2016-02-04 2016-09-20 International Business Machines Corporation Single spacer for complementary metal oxide semiconductor process flow
US9508604B1 (en) 2016-04-29 2016-11-29 Globalfoundries Inc. Methods of forming punch through stop regions on FinFET devices on CMOS-based IC products using doped spacers
US9893171B2 (en) * 2016-06-03 2018-02-13 International Business Machines Corporation Fin field effect transistor fabrication and devices having inverted T-shaped gate
US10297614B2 (en) * 2016-08-09 2019-05-21 International Business Machines Corporation Gate top spacer for FinFET
US9647112B1 (en) * 2016-09-22 2017-05-09 International Business Machines Corporation Fabrication of strained vertical P-type field effect transistors by bottom condensation
KR102310079B1 (ko) * 2017-03-03 2021-10-08 삼성전자주식회사 반도체 소자
US10243079B2 (en) * 2017-06-30 2019-03-26 International Business Machines Corporation Utilizing multilayer gate spacer to reduce erosion of semiconductor fin during spacer patterning
US10134859B1 (en) * 2017-11-09 2018-11-20 International Business Machines Corporation Transistor with asymmetric spacers
US10361125B2 (en) * 2017-12-19 2019-07-23 International Business Machines Corporation Methods and structures for forming uniform fins when using hardmask patterns
US10431495B1 (en) * 2018-07-23 2019-10-01 International Business Machines Corporation Semiconductor device with local connection

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102024761A (zh) * 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US20110147846A1 (en) * 2009-12-22 2011-06-23 Taiwan Semiconductor Manufacturing Company, Ltd Method for incorporating impurity element in epi silicon process
CN102623317A (zh) * 2011-01-20 2012-08-01 台湾积体电路制造股份有限公司 包括外延区域的半导体器件
US20140159142A1 (en) * 2012-12-07 2014-06-12 Texas Instruments Incorporated Recessed Channel Insulated-Gate Field Effect Transistor with Self-Aligned Gate and Increased Channel Length
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US20160042952A1 (en) * 2014-08-11 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Semiconductor Device Fabrication
CN105679824A (zh) * 2014-11-18 2016-06-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN106611709A (zh) * 2015-10-15 2017-05-03 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113284951A (zh) * 2020-01-31 2021-08-20 台湾积体电路制造股份有限公司 晶体管及其形成方法
CN113284951B (zh) * 2020-01-31 2023-08-25 台湾积体电路制造股份有限公司 晶体管及其形成方法

Also Published As

Publication number Publication date
WO2019003078A1 (en) 2019-01-03
US10790393B2 (en) 2020-09-29
JP2020526018A (ja) 2020-08-27
US20190006506A1 (en) 2019-01-03
JP7123986B2 (ja) 2022-08-23
DE112018003323T5 (de) 2020-03-12
GB202001032D0 (en) 2020-03-11
US10243079B2 (en) 2019-03-26
GB2579463B (en) 2022-03-02
GB2579463A (en) 2020-06-24
US20190172940A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
US10090303B2 (en) Fabrication of vertical field effect transistors with uniform structural profiles
US10566430B2 (en) Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US10790393B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor Fin during spacer patterning
US10658484B2 (en) Non-planar field effect transistor devices with wrap-around source/drain contacts
US10340363B2 (en) Fabrication of vertical field effect transistors with self-aligned bottom insulating spacers
US11894423B2 (en) Contact resistance reduction in nanosheet device structure
US11728340B2 (en) Single diffusion break isolation for gate-all-around field-effect transistor devices
US10692772B2 (en) Integrating metal-insulator-metal capacitors with fabrication of vertical field effect transistors
US10679995B2 (en) Semiconductor device and method
US10770562B1 (en) Interlayer dielectric replacement techniques with protection for source/drain contacts
US10867860B2 (en) Methods of forming FinFET device
US11527621B2 (en) Gate electrode deposition and structure formed thereby
WO2023040424A1 (en) Semiconductor structure having bottom isolation and enhanced carrier mobility
US20220293731A1 (en) Semiconductor Device and Method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20191220

RJ01 Rejection of invention patent application after publication