DE102019117007A1 - Dielektrischer spaltfüllungsprozess für halbleitervorrichtung - Google Patents

Dielektrischer spaltfüllungsprozess für halbleitervorrichtung Download PDF

Info

Publication number
DE102019117007A1
DE102019117007A1 DE102019117007.9A DE102019117007A DE102019117007A1 DE 102019117007 A1 DE102019117007 A1 DE 102019117007A1 DE 102019117007 A DE102019117007 A DE 102019117007A DE 102019117007 A1 DE102019117007 A1 DE 102019117007A1
Authority
DE
Germany
Prior art keywords
silicon
precursor
forming
layer
cycles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019117007.9A
Other languages
English (en)
Inventor
Cheng-I Lin
Bang-Tai Tang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019117007A1 publication Critical patent/DE102019117007A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es werden eine Halbleitervorrichtung und ein Verfahren zu deren Bildung bereitgestellt. Das Verfahren umfasst das Bilden eines Grabens in einem Substrat. Eine Auskleidungsschicht wird entlang von Seitenwänden und einem Boden des Grabens gebildet. Eine siliziumreiche Schicht wird über der Auskleidungsschicht gebildet. Das Bilden der siliziumreichen Schicht umfasst das Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während eines ersten Zeitintervalls und das Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während eines zweiten Zeitintervalls. Das zweite Zeitintervall unterscheidet sich von dem ersten Zeitintervall. Das Verfahren umfasst ferner das Bilden einer dielektrischen Schicht über der siliziumreichen Schicht.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Halbleitervorrichtungen werden in vielerlei elektronischen Anwendungen, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Ausrüstungen, verwendet. Halbleitervorrichtungen werden typischerweise durch aufeinanderfolgendes Abscheiden von isolierenden oder dielektrischen Schichten, leitfähigen Schichten und Halbleiterschichten aus Material über einem Halbleitersubstrat und Strukturieren der verschiedenen Materialschichten unter Verwendung von Lithographie zum Bilden von Schaltungsbauelementen und Elementen darauf hergestellt.
  • Die Halbleiterbranche setzt die Verbesserung der Integrationsdichte von verschiedenen elektronischen Bauelementen (z. B. Transistoren, Dioden, Widerständen, Kondensatoren usw.) durch kontinuierliche Verkleinerungen der Mindestmerkmalsgröße fort, die es ermöglichen, mehr Bauelemente in eine gegebene Fläche zu integrieren. Bei verkleinerten Mindestmerkmalsgrößen entstehen indes zusätzliche Probleme, die behandelt werden sollten.
  • Figurenliste
  • Gesichtspunkte der vorliegenden Offenbarung sind bei der Lektüre der nachfolgenden detaillierten Beschreibung im Zusammenhang mit den begleitenden Figuren am besten verständlich. Es sei erwähnt, dass verschiedene Merkmale gemäß der Standardpraxis in der Branche nicht maßstabsgetreu sind. Tatsächlich kann es sein, dass die Abmessungen der verschiedenen Merkmale der Verständlichkeit der Erörterung halber beliebig vergrößert oder verkleinert wurden.
    • 1 ist eine perspektivische Ansicht einer Fin-Feldeffekttransistorvorrichtung („FinFET“) gemäß einigen Ausführungsformen.
    • 2A bis 6A sind Querschnittsansichten von Zwischenstufen bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 7 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess gemäß einigen Ausführungsformen veranschaulicht.
    • 8 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess gemäß einigen Ausführungsformen veranschaulicht.
    • 9 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess gemäß einigen Ausführungsformen veranschaulicht.
    • 10 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess gemäß einigen Ausführungsformen veranschaulicht.
    • 11 veranschaulicht Strukturformeln von Vorläufern gemäß einigen Ausführungsformen.
    • 12A und 13A sind Querschnittsansichten von Zwischenstufen bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 14A und 14B sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 15A, 15B und 15C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 16A, 16B und 16C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 17A, 17B und 17C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 18A, 18B und 18C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 19A, 19B und 19C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 20C ist eine Querschnittsansicht einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 21A, 21B und 21C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 22A, 22B und 22C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 23A, 23B und 23C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 24A, 24B und 24C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 25A, 25B und 25C sind Querschnittsansichten einer Zwischenstufe bei der Herstellung einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 26A, 26B und 26C sind Querschnittsansichten einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 27A, 27B und 27C sind Querschnittsansichten einer FinFET-Vorrichtung gemäß einigen Ausführungsformen.
    • 28 ist ein Ablaufdiagramm, das ein Verfahren zum Bilden einer FinFET-Vorrichtung gemäß einigen Ausführungsformen veranschaulicht.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Ausführung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Bauelementen und Anordnungen sind in der Folge beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich lediglich um Beispiele und damit wird keine Einschränkung beabsichtigt. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, derart dass es möglich ist, dass das erste und das zweite Merkmal nicht in direktem Kontakt stehen. Zusätzlich ist es möglich, dass in der vorliegenden Offenbarung Bezugsziffern und/oder -buchstaben sich in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient den Zwecken der Einfachheit und Deutlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen vor.
  • Ferner kann es sein, dass Begriffe, die eine räumliche Beziehung beschreiben, wie beispielsweise „unterhalb“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen, hier der Einfachheit der Beschreibung halber verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) andere/n Element/en oder Merkmal/en zu beschreiben, wie in den Figuren veranschaulicht. Es wird beabsichtigt, dass Begriffe, die eine räumliche Beziehung beschreiben, zusätzlich zu der in den Figuren bildlich dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder beim Betrieb umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder in anderen Ausrichtungen) ausgerichtet sein und die Bezeichnungen für räumliche Beziehungen, die hier verwendet werden, können ebenfalls dementsprechend ausgelegt werden.
  • Ausführungsformen werden unter Bezugnahme auf einen spezifischen Zusammenhang, nämlich einen dielektrischen Spaltfüllungsprozess für eine Halbleitervorrichtung, beschrieben. In einigen Ausführungsformen kann der dielektrischen Spaltfüllungsprozess zum Bilden von Isolationsgebieten einer Halbleitervorrichtung verwendet werden. In anderen Ausführungsformen kann der dielektrischen Spaltfüllungsprozess zum Bilden einer dielektrischen Zwischenschicht über einer Halbleitervorrichtung verwendet werden. In einigen Ausführungsformen umfasst der dielektrische Spaltfüllungsprozess das Bilden einer Vorläufer-Soak-Schicht in einem Graben oder einer Vertiefung vor dem Füllen des Grabens oder der Vertiefung mit einem dielektrischen Material. In anderen Ausführungsformen umfasst der dielektrische Spaltfüllungsprozess ferner das Durchführen einer Ultraviolett/ Sauerstoffbehandlung, gefolgt von einer thermischen Behandlung. Verschiedene hier dargestellte Ausführungsformen ermöglichen das Bilden von dielektrischen Schichten, die eine verbesserte Filmqualität in der Nähe von Nahtgebieten der dielektrischen Schichten aufweisen, und ermöglichten die Verringerung oder Vermeidung von Oxidation eines Substrats. Verschiedene Ausführungsformen ermöglichen ferner die Vermeidung von langwierigen Temperprozessen mit hoher Temperatur, wodurch folglich eine Ausbeute an Wafern pro Stunde (Wafer-Per-Hour - WPH) verbessert wird und Herstellungskosten gesenkt werden. Einige hier dargestellte Ausführungsformen werden im Zusammenhang einer FinFET-Vorrichtung erörtert, die unter Verwendung eines Gate-Last-Prozesses gebildet wird. In anderen Ausführungsformen kann ein Gate-First-Prozess verwendet werden. Auch fassen einige Ausführungsformen Gesichtspunkte ins Auge, die in Planartransistorvorrichtungen, Transistorvorrichtungen mit mehreren Gates, 2D-Transistorvorrichtungen, Gate-allaround-Transistorvorrichtungen, Nanodraht-Transistorvorrichtungen oder dergleichen verwendet werden.
  • 1 veranschaulicht ein Beispiel einer Fin-Feldeffekttransistorvorrichtung (FinFET) 100 in einer dreidimensionalen Ansicht. Die FinFET-Vorrichtung 100 umfasst eine Finne 105 auf einem Substrat 101. Das Substrat 101 umfasst Isolationsgebiete 103 und die Finne 105 steht über und von zwischen benachbarten Isolationsgebieten 103 hervor. Ein Gate-Dielektrikum 107 befindet sich entlang von Seitenwänden und über einer oberen Fläche der Finne 105 und eine Gate-Elektrode 109 befindet sich über dem Gate-Dielektrikum 107. Die Source/Drain-Gebiete 111 und 113 sind in Bezug zum Gate-Dielektrikum 107 und zur Gate-Elektrode 109 auf entgegengesetzten Seiten der Finne 105 angeordnet. Die in 1 veranschaulichte FinFET-Vorrichtung 100 wird nur zu veranschaulichenden Zwecken bereitgestellt und mit ihr wird keine Einschränkung des Schutzbereichs der vorliegenden Offenbarung beabsichtigt. An sich sind viele Varianten möglich, wie beispielsweise epitaktisches Source/Drain-Gebiete, mehrere Finnen, mehrschichtige Finnen usw.
  • 2A bis 6A, 12A bis 19A, 21A bis 25A, 14B bis 19B, 21B bis 25B und 15C bis 25C sind Querschnittsansichten von Zwischenstufen bei der Herstellung einer FinFET-Vorrichtung 200 gemäß einigen Ausführungsformen. In 2A bis 6A, 12A bis 19A, 21A bis 25A, 14B bis 19B, 21B bis 25B und 15C bis 25C sind Figuren, deren Bezeichnung mit einem „A“ endet, bis auf mehrere FinFETs und mehrere Finnen pro FinFET, entlang des in 1 gezeigten Bezugsquerschnitts A-A veranschaulicht; Figuren, deren Bezeichnung mit einem „B“ endet, sind entlang des in 1 gezeigten Bezugsquerschnitts B-B veranschaulicht; und Figuren, deren Bezeichnung mit einem „C“ endet, sind entlang des in 1 gezeigten Querschnitts C-C veranschaulicht.
  • 2A veranschaulicht ein Substrat 201. Das Substrat 201 kann ein Halbleitersubstrat, wie beispielsweise ein Volumenhalbleiter, ein Semiconductor-On-Insulator (SOI) Substrat oder dergleichen sein, das dotiert (z. B. mit einem p-Typ oder einem n-Typ-Dotierstoff) oder undotiert sein kann. Das Substrat 201 kann ein Wafer sein, wie beispielsweise ein Silizium-Wafer. Allgemein umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolationsschicht gebildet ist. Die Isolationsschicht kann zum Beispiel eine Schicht mit vergrabenem Oxid (Buried Oxide - BOX), eine Siliziumoxidschicht oder dergleichen sein. Die Isolationsschicht ist auf einem Substrat, typischerweise einem Silizium- oder Glassubstrat, bereitgestellt. Es können auch andere Substrate verwendet werden, wie beispielsweise ein mehrschichtiges oder Gradient-Substrat. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 201 Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; Kombinationen davon; oder dergleichen umfassen.
  • Das Substrat 201 kann ferner integrierte Schaltungsvorrichtungen (nicht gezeigt) umfassen. Wie ein Durchschnittsfachmann erkennen wird, kann eine große Vielzahl von integrierten Schaltungsvorrichtungen, wie beispielsweise Transistoren, Dioden, Kondensatoren, Widerstände, dergleichen oder Kombinationen davon in und/oder auf dem Substrat 201 gebildet werden, um die strukturellen und funktionellen Anforderungen der Gestaltung für die FinFET-Vorrichtung 200 zu erzeugen. Die integrierten Schaltungsvorrichtungen können unter Verwendung von irgendwelchen zweckmäßigen Verfahren gebildet werden.
  • In einigen Ausführungsformen können geeignete Wannen (nicht gezeigt) in dem Substrat 201 gebildet werden. In einigen Ausführungsformen, wo die FinFET-Vorrichtung 200 eine n-Typ-Vorrichtung ist, sind die Wannen p-Wannen. In einigen Ausführungsformen, wo die FinFET-Vorrichtung 200 eine p-Typ-Vorrichtung ist, sind die Wannen n-Wannen. In anderen Ausführungsformen sind sowohl p-Wannen als auch n-Wannen in dem Substrat 201 gebildet. In einigen Ausführungsformen werden p-Typ-Störstellen in das Substrat 201 implantiert, um die p-Wannen zu bilden. Die p-Typ-Störstellen können Bor, BF2 oder dergleichen sein und können zu einer Konzentration in einem Bereich von etwa 1017 cm-3 bis etwa 1022 cm-3 implantiert werden. In einigen Ausführungsformen werden n-Typ-Störstellen in das Substrat 201 implantiert, um die n-Wannen zu bilden. Die p-Typ-Störstellen können Phosphor, Arsen oder dergleichen sein und können zu einer Konzentration in einem Bereich von etwa 1017 cm-3 bis etwa 1018 cm-3 implantiert werden. Nach dem Implantieren der geeigneten Störstellen kann ein Temperprozess auf dem Substrat durchgeführt werden, um die p-Typ- und n-Typ-Störstellen, die implantiert wurden, zu aktivieren.
  • 2A veranschaulicht ferner die Bildung einer Maske 203 über dem Substrat 201. In einigen Ausführungsformen kann die Maske 203 in einem anschließenden Ätzschritt verwendet werden, um das Substrat 201 zu strukturieren (siehe 3A). In einigen Ausführungsformen kann die Maske 203 eine oder mehrere Maskenschichten umfassen. Wie in 2A gezeigt, kann in einigen Ausführungsformen die Maske 203 eine erste Maskenschicht 203A und eine zweite Maskenschicht 203B über der ersten Maskenschicht 203A umfassen. Die erste Maskenschicht 203A kann eine Hartmaskenschicht sein, kann Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumcarbid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen umfassen und kann unter Verwendung von irgendeinem geeigneten Prozess, wie beispielsweise thermischer Oxidation, thermischer Nitrierung, Atomlagenabscheidung (Atomic Layer Deposition - ALD), physikalischer Gasphasenabscheidung (Physical Vapor Deposition - PVD), chemischer Gasphasenabscheidung (Chemical Vapor Deposition - CVD), einer Kombination davon oder dergleichen gebildet werden. Die erste Maskenschicht 203A kann verwendet werden, um das Ätzen des Substrats 201, das unter der ersten Maskenschicht 203A liegt, in dem anschließenden Ätzschritt (siehe 3A) zu minimieren. Die zweite Maskenschicht 203B kann ein Photoresist umfassen und kann in einigen Ausführungsformen verwendet werden, um die erste Maskenschicht 203A zur Verwendung in dem anschließenden Ätzschritt zu strukturieren. Die zweite Maskenschicht 203B kann unter Verwendung einer Aufschleudertechnik gebildet werden und kann unter Verwendung von annehmbaren Photolithographietechniken strukturiert werden. In einigen Ausführungsformen kann die Maske 203 drei oder mehr Maskenschichten umfassen.
  • 3A veranschaulicht die Bildung von Halbleiterstreifen 303 in dem Substrat 201. Zuerst werden die Maskenschichten 203A und 203B strukturiert, wo Öffnungen in den Maskenschichten 203A und 203B Bereiche des Substrats 201 freilegen, wo Gräben 301 gebildet werden. Als Nächstes wird ein Ätzprozess durchgeführt, wobei der Ätzprozess die Gräben 301 in dem Substrat 201 durch die Öffnungen in der Maske 203 erzeugt. Die übrigen Abschnitte des Substrats 201, die unter einer strukturierten Maske 203 liegen, bilden mehrere Halbleiterstreifen 303. Das Ätzen kann irgendein annehmbarer Ätzprozess, wie beispielsweise ein reaktives Ionenätzen (Reactive Ion Etch - RIE), Neutralstrahlätzen (Neutral Beam Etch - NBE), eine Kombination davon oder dergleichen sein. Der Ätzprozess kann anisotrop sein. In einigen Ausführungsformen können nach dem Bilden der Halbleiterstreifen 303 etwaige verbleibende Abschnitte der Maske 203 durch irgendeinen geeigneten Prozess entfernt werden. In anderen Ausführungsformen können Abschnitte der Maske 203, wie beispielsweise die erste Maskenschicht 203A, über den Halbleiterstreifen 303 bleiben. In einigen Ausführungsformen können die Halbleiterstreifen 303 eine Höhe H1 von zwischen etwa 45 nm und etwa 55 nm aufweisen. In einigen Ausführungsformen können die Halbleiterstreifen 303 eine Breite W1 von zwischen etwa 5 nm und etwa 10 nm aufweisen.
  • 4A bis 6A veranschaulichen einen dielektrischen Spaltfüllungsprozess zum Bilden von einem oder mehreren dielektrischen Materialien in den Gräben 301. 4A veranschaulicht die Bildung einer konformalen Auskleidungsschicht 401 an Seitenwänden und unteren Flächen der Gräben 301. 5A veranschaulicht die Bildung einer Vorläufer-Soak-Schicht 501 über der Auskleidungsschicht 401. 6A veranschaulicht die Bildung einer dielektrischen Schicht 601 in den Gräben 301. Die Details des dielektrischen Spaltfüllungsprozesses werden nachfolgend unter Bezugnahme auf 7 bis 11 bereitgestellt.
  • 7 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess 700 gemäß einigen Ausführungsformen veranschaulicht. Unter Bezugnahme auf 4A und 7 wird in Schritt 701 die Auskleidungsschicht 401 an den Seitenwänden und den unteren Flächen der Gräben 301 gebildet. In einigen Ausführungsformen kann die Auskleidungsschicht 401 ein Halbleiter (z. B. Silizium) Nitrid, ein Halbleiter (z. B. Silizium) Oxid, ein thermisches Halbleiter (z. B. Silizium) Oxid, ein Halbleiter (z. B. Silizium) Oxinitrid, ein Polymer, Kombinationen davon oder dergleichen umfassen. Die Bildung der Auskleidungsschicht 401 kann irgendein geeignetes Verfahren, wie beispielsweise ALD, CVD, chemische Gasphasenabscheidung mit Plasma hoher Dichte (High Density Plasma Chemical Vapor Deposition - HDP-CVD), PVD, eine Kombination davon oder dergleichen umfassen. In einer Ausführungsform, in der die Auskleidungsschicht 401 Siliziumnitrid umfasst, wird die Auskleidungsschicht 401 durch einen ALD-Prozess unter Verwendung eines Vorläufers, wie beispielsweise DCS (SiCl2H2), eines Siliziumtetrachlorids, einer Kombination davon oder dergleichen gebildet. In einer Ausführungsform, in der die Auskleidungsschicht 401 Siliziumoxid umfasst, wird die Auskleidungsschicht 401 durch einen ALD-Prozess unter Verwendung eines Vorläufers, wie beispielsweise LTO520, SAM24, 3DMAS, einer Kombination davon oder dergleichen gebildet. In einigen Ausführungsformen weist die Auskleidungsschicht 401 eine Dicke von zwischen etwa 20 Å und etwa 40 Å, wie beispielsweise etwa 20 Å, auf.
  • Unter Bezugnahme auf 5A und 7 wird in Schritt 703 die Vorläufer-Soak-Schicht 501 über der Auskleidungsschicht 401 gebildet. In einigen Ausführungsformen kann die Vorläufer-Soak-Schicht 501 ein Oxid, wie beispielsweise Siliziumoxid, oder dergleichen umfassen. Die Bildung der Vorläufer-Soak-Schicht 501 kann irgendein geeignetes Verfahren, wie beispielsweise ALD, CVD, HDP-CVD, eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen, wo die Vorläufer-Soak-Schicht 501 Siliziumoxid umfasst, das unter Verwendung von ALD gebildet wird, kann die Bildung der Vorläufer-Soak-Schicht 501 die Schritte 707 und 709 umfassen. In einigen Ausführungsformen wird das Substrat 201, das die Struktur von 4A umfasst, auf einer Tragstruktur (wie beispielsweise einem Spannfutter) innerhalb einer Prozesskammer platziert. Die Tragstruktur kann ausgestaltet sein, um das Substrat 201 während der Bildung der Vorläufer-Soak-Schicht 501 zu drehen, wobei eine volle Drehung des Substrats 201 ein Zyklus des Abscheidungsprozesses ist. In einigen Ausführungsformen weist jeder Zyklus eine Dauer von zwischen etwa 6 Sekunden und etwa 60 Sekunden auf.
  • In Schritt 707 wird nach dem Platzieren des Substrats 201 innerhalb der Prozesskammer ein erster Siliziumvorläufer in die Prozesskammer strömen gelassen. Der erste Siliziumvorläufer kann LTO520, SAM24, 3DMAS, eine Kombination davon oder dergleichen umfassen. 11 veranschaulicht eine Strukturformel 1101 von LTO520, wo R C1-C5-Alkyl, C2-C5-Alkenyl, C2-C20-Alkynyl oder dergleichen umfassen kann. 11 veranschaulicht ferner eine Strukturformel 1103 von 3DMAS und eine Strukturformel 1105 von SAM24. In einigen Ausführungsformen wird der erste Siliziumvorläufer während N1 Zyklen in die Prozesskammer strömen gelassen. In einigen Ausführungsformen weist der erste Siliziumvorläufer eine Strömungsrate von zwischen etwa 50 sccm und etwa 100 sccm auf. In einigen Ausführungsformen wird der erste Siliziumvorläufer während einer Dauer von zwischen etwa 60 Sekunden und etwa 90 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N1 zwischen 1 und 5, wie beispielsweise 5.
  • In Schritt 709 können ein zweiter Siliziumvorläufer und ein erster Sauerstoffvorläufer während N2 Zyklen in die Prozesskammer strömen gelassen werden. Der zweite Siliziumvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 707 beschriebene erste Siliziumvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der erste Siliziumvorläufer und der zweite Siliziumvorläufer unterschiedliche Chemikalien umfassen. In einigen Ausführungsformen kann der erste Sauerstoffvorläufer O2 , O3 , eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen, in denen der erste Sauerstoffvorläufer O3 ist, kann der erste Sauerstoffvorläufer eine Dichte von zwischen etwa 50 g/m3 und etwa 400 g/m3, wie beispielsweise etwa 300 g/m3, aufweisen. In einigen Ausführungsformen weist der zweite Siliziumvorläufer eine Strömungsrate von zwischen etwa 10 sscm und etwa 300 sscm auf. In einigen Ausführungsformen weist der erste Sauerstoffvorläufer eine Strömungsrate von zwischen etwa 10 sccm und etwa 100 sccm auf. In einigen Ausführungsformen werden der zweite Siliziumvorläufer und der erste Sauerstoffvorläufer während einer Dauer von zwischen etwa 6 Sekunden und etwa 120 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N2 zwischen 1 und 20, wie beispielsweise 5. In einigen Ausführungsformen kann sich N2 von N1 unterscheiden.
  • In einigen Ausführungsformen können die Zyklusanzahlen N1 und N2 variiert werden, um den Siliziumgehalt in der Vorläufer-Soak-Schicht 501 anzupassen. In einigen Ausführungsformen ist die Vorläufer-Soak-Schicht 501 eine siliziumreiche Schicht, die einen Siliziumgehalt von zwischen etwa 30 Atomprozent und etwa 40 Atomprozent aufweist. In einigen Ausführungsformen können die Zyklusanzahlen N1 und N2 ferner variiert werden, um eine Dicke der Vorläufer-Soak-Schicht 501 anzupassen. In einigen Ausführungsformen kann die Vorläufer-Soak-Schicht 501 eine Dicke von zwischen etwa 3 Å und etwa 5 Å aufweisen.
  • Unter Bezugnahme auf 6A und 7 wird in Schritt 705 nach dem Bilden der Vorläufer-Soak-Schicht 501 die dielektrische Schicht 601 in den Gräben 301 gebildet (siehe 5A). Die dielektrische Schicht 601 kann ein Oxid, wie beispielsweise Siliziumoxid, ein Nitrid, wie beispielsweise Siliziumnitrid, eine Kombination davon oder dergleichen umfassen und kann durch ALD, CVD, HDP-CVD, fließfähige CVD (FCVD) (z. B. eine CVD-basierte Materialabscheidung in einem entfernten Plasmasystem und Nachhärtung, um sie in ein anderes Material, wie beispielsweise ein Oxid, umzuwandeln), eine Kombination davon oder dergleichen gebildet werden. Es können auch andere Isolationsmaterialien verwendet werden, die durch irgendwelche annehmbaren Prozesse gebildet werden. In einigen Ausführungsformen, in denen die dielektrische Schicht 601 ein unter Verwendung von ALD gebildetes Siliziumoxid umfasst, werden ein dritter Siliziumvorläufer und ein zweiter Sauerstoffvorläufer während Nd Zyklen in die Prozesskammer strömen gelassen. Der dritte Siliziumvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 707 beschriebene erste Siliziumvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Siliziumvorläufer, der zweite Siliziumvorläufer und der dritte Siliziumvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der dritte Siliziumvorläufer und mindestens einer von dem ersten Siliziumvorläufer und dem zweiten Siliziumvorläufer unterschiedliche Chemikalien umfassen. Der zweite Sauerstoffvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 709 beschriebene erste Sauerstoffvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Sauerstoffvorläufer und der zweite Sauerstoffvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der erste Sauerstoffvorläufer und der zweite Sauerstoffvorläufer unterschiedliche Chemikalien umfassen.
  • In einigen Ausführungsformen kann der Abscheidungsprozess zum Bilden der dielektrischen Schicht 601 ein plasmaunterstützter Prozess oder ein plasmaverbesserter Prozess sein. In solchen Ausführungsformen wird ein sauerstoffhaltiges Plasma, wie beispielsweise ein O2-Plasma, zusätzlich zu dem dritten Siliziumvorläufer und dem zweiten Sauerstoffvorläufer in die Prozesskammer strömen gelassen. Hochfrequenzleistung (HF) zur Erzeugung des sauerstoffhaltigen Plasmas kann zwischen etwa 2 KW und etwa 3 KW liegen. In einigen Ausführungsformen weist der dritte Siliziumvorläufer eine Strömungsrate von zwischen etwa 10 sscm und etwa 300 sscm auf. In einigen Ausführungsformen weist der zweite Sauerstoffvorläufer eine Strömungsrate von zwischen etwa 10 sccm und etwa 100 sccm auf. In einigen Ausführungsformen weist das sauerstoffhaltige Plasma eine Strömungsrate von zwischen etwa 10 sccm und etwa 100 sccm auf. In einigen Ausführungsformen werden der dritte Siliziumvorläufer und der zweite Sauerstoffvorläufer während einer Dauer von zwischen etwa 6 Sekunden und etwa 120 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt Nd zwischen 1 und 20, wie beispielsweise 5.
  • Ferner kann unter Bezugnahme auf 6A die dielektrische Schicht 601 aufgrund der Abscheidungsprozesseigenschaften eine Naht 603 innerhalb von jedem von den Gräben 301 umfassen (siehe 5A). In einigen Ausführungsformen können Gebiete der dielektrischen Schicht 601 in der Nähe der Nähte 603 schwächer sein als der Rest der dielektrischen Schicht 601. Zum Beispiel können die Gebiete der dielektrischen Schicht 601 in der Nähe der Nähte 603 eine höhere Ätzrate aufweisen als der Rest der dielektrischen Schicht 601 und während und/oder nach dem Durchführen anschließender Prozesse auf der dielektrischen Schicht 601, wie beispielsweise eines Polierprozesses, eines Ätzprozesses oder dergleichen, können Leerstellen gebildet werden. Durch Bilden der Vorläufer-Soak-Schicht 501 vor dem Bilden der dielektrischen Schicht 601 können die Gebiete der dielektrischen Schicht 601 in der Nähe der Nähte 603 gestärkt werden und die Bildung von Leerstellen in der dielektrischen Schicht 601 kann vermindert oder vermieden werden. In einigen Ausführungsformen, in denen die Vorläufer-Soak-Schicht 501 und die dielektrische Schicht 601 ein gleiches Material umfassen, kann eine Grenzfläche zwischen der Vorläufer-Soak-Schicht 501 und der dielektrischen Schicht 601 nicht feststellbar sein.
  • 8 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess 800 gemäß einigen Ausführungsformen veranschaulicht. Unter Bezugnahme auf 4A und 8 wird in Schritt 801 die Auskleidungsschicht 401 an den Seitenwänden und den unteren Flächen der Gräben 301 gebildet. In einigen Ausführungsformen ist Schritt 801 dem vorhergehend unter Bezugnahme auf 7 beschriebenen Schritt 701 ähnlich und die Beschreibung wird hier nicht wiederholt.
  • Unter Bezugnahme auf 5A und 8 wird in Schritt 803 die Vorläufer-Soak-Schicht 501 über der Auskleidungsschicht 401 gebildet. In einigen Ausführungsformen, wo die Vorläufer-Soak-Schicht 501 Siliziumoxid umfasst, das unter Verwendung von ALD gebildet wird, kann die Bildung der Vorläufer-Soak-Schicht 501 eine oder mehrere Abscheidungsschleifen umfassen, wobei jede Abscheidungsschleife die Schritte 807, 809, 811 und 813 umfasst. In einigen Ausführungsformen kann der Schritt 803 N7 Abscheidungsschleifen umfassen. In einigen Ausführungsformen beträgt N7 zwischen etwa 1 und etwa 5. In einigen Ausführungsformen wird das Substrat 201, das die Struktur von 4A umfasst, auf einer Tragstruktur (wie beispielsweise einem Spannfutter) innerhalb einer Prozesskammer platziert.
  • In Schritt 807 wird nach dem Platzieren des Substrats 201 innerhalb der Prozesskammer ein erster Siliziumvorläufer in die Prozesskammer strömen gelassen. Der erste Siliziumvorläufer kann LTO520, SAM24, 3DMAS, eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen wird der erste Siliziumvorläufer während N3 Zyklen in die Prozesskammer strömen gelassen. In einigen Ausführungsformen weist der erste Siliziumvorläufer eine Strömungsrate von zwischen etwa 50 sccm und etwa 100 sccm auf. In einigen Ausführungsformen wird der erste Siliziumvorläufer während einer Dauer von zwischen etwa 60 Sekunden und etwa 90 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N3 zwischen 1 und 20, wie beispielsweise 5.
  • In Schritt 809 können ein zweiter Siliziumvorläufer und ein erster Sauerstoffvorläufer während N4 Zyklen in die Prozesskammer strömen gelassen werden. Der zweite Siliziumvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 807 beschriebene erste Siliziumvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der erste Siliziumvorläufer und der zweite Siliziumvorläufer unterschiedliche Chemikalien umfassen. In einigen Ausführungsformen kann der erste Sauerstoffvorläufer O2, O3, eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen, in denen der erste Sauerstoffvorläufer O3 ist, kann der erste Sauerstoffvorläufer eine Dichte von zwischen etwa 100 g/m3 und etwa 300 g/m3, wie beispielsweise etwa 300 g/m3, aufweisen. In einigen Ausführungsformen weist der zweite Siliziumvorläufer eine Strömungsrate von zwischen etwa 50 sscm und etwa 300 sscm auf. In einigen Ausführungsformen weist der erste Sauerstoffvorläufer eine Strömungsrate von zwischen etwa 10 sccm und etwa 100 sccm auf. In einigen Ausführungsformen werden der zweite Siliziumvorläufer und der erste Sauerstoffvorläufer während einer Dauer von zwischen etwa 6 Sekunden und etwa 60 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N4 zwischen 1 und 20, wie beispielsweise 5. In einigen Ausführungsformen kann sich N4 von N3 unterscheiden.
  • In Schritt 811 wird ein dritter Siliziumvorläufer während N5 Zyklen in die Prozesskammer strömen gelassen. Der dritte Siliziumvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 807 beschriebene erste Siliziumvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Siliziumvorläufer, der zweite Siliziumvorläufer und der dritte Siliziumvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der dritte Siliziumvorläufer und mindestens eines von dem ersten Siliziumvorläufer und dem zweiten Siliziumvorläufer unterschiedliche Chemikalien umfassen. In einigen Ausführungsformen weist der dritte Siliziumvorläufer eine Strömungsrate von zwischen etwa 50 sscm und etwa 100 sscm auf. In einigen Ausführungsformen wird der dritte Siliziumvorläufer während einer Dauer von zwischen etwa 12 Sekunden und etwa 24 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N5 zwischen 1 und 5, wie beispielsweise 2. In einigen Ausführungsformen kann sich N5 von mindestens einem von N3 und N4 unterscheiden.
  • In Schritt 813 können ein vierter Siliziumvorläufer und ein zweiter Sauerstoffvorläufer während N6 Zyklen in die Prozesskammer strömen gelassen werden. Der vierte Siliziumvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 807 beschriebene erste Siliziumvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Siliziumvorläufer, der zweite Siliziumvorläufer, der dritte Siliziumvorläufer und der vierte Siliziumvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der vierte Siliziumvorläufer und mindestens eines von dem ersten Siliziumvorläufer, dem zweiten Siliziumvorläufer und dem dritten Siliziumvorläufer unterschiedliche Chemikalien umfassen. Der zweite Sauerstoffvorläufer kann von den gleichen Kandidatenchemikalien ausgewählt werden wie der vorhergehend unter Bezugnahme auf Schritt 809 beschriebene erste Sauerstoffvorläufer und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen können der erste Sauerstoffvorläufer und der zweite Sauerstoffvorläufer eine gleiche Chemikalie umfassen. In anderen Ausführungsformen können der erste Sauerstoffvorläufer und der zweite Sauerstoffvorläufer unterschiedliche Chemikalien umfassen. In einigen Ausführungsformen, wo der zweite Sauerstoffvorläufer O3 ist, kann der zweite Sauerstoffvorläufer eine Dichte von zwischen etwa 100 g/m3 und etwa 300 g/m3, wie beispielsweise etwa 300 g/m3, aufweisen. In einigen Ausführungsformen weist der vierte Siliziumvorläufer eine Strömungsrate von zwischen etwa 10 sscm und etwa 300 sscm auf. In einigen Ausführungsformen weist der zweite Sauerstoffvorläufer eine Strömungsrate von zwischen etwa 10 sccm und etwa 100 sccm auf. In einigen Ausführungsformen werden der vierte Siliziumvorläufer und der zweite Sauerstoffvorläufer während einer Dauer von zwischen etwa 6 Sekunden und etwa 120 Sekunden in die Prozesskammer strömen gelassen. In einigen Ausführungsformen beträgt N6 zwischen 1 und 5, wie beispielsweise 3. In einigen Ausführungsformen kann sich N6 von mindestens einem von N3, N4 und N5 unterscheiden.
  • In einigen Ausführungsformen können die Zyklusanzahlen N3, N4, N5, N6 und N7 variiert werden, um den Siliziumgehalt in der Vorläufer-Soak-Schicht 501 anzupassen. In einigen Ausführungsformen ist die Vorläufer-Soak-Schicht 501 eine siliziumreiche Schicht, die einen Siliziumgehalt von zwischen etwa 30 Atomprozent und etwa 40 Atomprozent aufweist. In einigen Ausführungsformen können die Zyklusanzahlen N3, N4, N5, N6 und N7 ferner variiert werden, um eine Dicke der Vorläufer-Soak-Schicht 501 anzupassen. In einigen Ausführungsformen kann die Vorläufer-Soak-Schicht 501 eine Dicke von zwischen etwa 8 Å und etwa 12 Å aufweisen. In einigen Ausführungsformen kann die Vorläufer-Soak-Schicht 501, die im Schritt 803 des dielektrischen Spaltfüllungsprozesses 800 gebildet wird, dicker sein als die Vorläufer-Soak-Schicht 501, die im Schritt 703 des dielektrischen Spaltfüllungsprozesses 700 gebildet wird (siehe 7). Durch Erhöhen der Dicke der Vorläufer-Soak-Schicht 501 kann Oxidation des Substrats 201 verhindert oder vermindert werden.
  • Unter Bezugnahme auf 6A und 7 wird in Schritt 805 nach dem Bilden der Vorläufer-Soak-Schicht 501 die dielektrische Schicht 601 in den Gräben 301 gebildet (siehe 5A). In einigen Ausführungsformen kann Schritt 805 dem vorhergehend unter Bezugnahme auf 7 beschriebenen Schritt 705 des dielektrischen Spaltfüllungsprozesses 700 ähnlich sein und die Beschreibung wird hier nicht wiederholt.
  • 9 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess 900 gemäß einigen Ausführungsformen veranschaulicht. Unter Bezugnahme auf 9 und 6A wird nach dem Durchführen des dielektrischen Spaltfüllungsprozesses 700, der vorhergehend unter Bezugnahme auf 7 veranschaulicht wurde, der dielektrische Spaltfüllungsprozess 900 mit Schritt 901 fortgesetzt, wo eine Ultraviolett/Sauerstoffbehandlung auf der dielektrischen Schicht 601 durchgeführt wird. In einigen Ausführungsformen umfasst die Ultraviolett/Sauerstoffbehandlung das Aussetzen der dielektrischen Schicht 601 gegenüber ultravioletter (UV) Strahlung in einer Sauerstoffumgebung. In einigen Ausführungsformen liegt eine Intensität der UV-Strahlung zwischen etwa 15 mW/cm2 und etwa 25 mW/cm2. In einigen Ausführungsformen kann die Sauerstoffumgebung ein molekulares Sauerstoffgas (O2 ) oder dergleichen umfassen. In einigen Ausführungsformen bricht die UV-Strahlung schwache Bindungen (wie zum Beispiel Si-H-Bindungen) und Vorläufer-Nebenprodukte in der Nähe der Nähte 603 der dielektrischen Schicht 601, während die Sauerstoffumgebung die Sauerstoffquelle zum Bilden stärkerer Bindungen (wie zum Beispiel Si-O-Bindungen) in der Nähe der Nähte 603 der dielektrischen Schicht 601 bereitstellt.
  • Im Schritt 903 wird nach dem Durchführen der Ultraviolett/Sauerstoffbehandlung eine thermische Behandlung auf der dielektrischen Schicht 601 durchgeführt. In einigen Ausführungsformen kann die thermische Behandlung eine trockene thermische Behandlung, eine nasse thermische Behandlung, eine Kombination davon oder dergleichen sein. In einigen Ausführungsformen, in denen die thermische Behandlung eine trockene thermische Behandlung ist, kann die thermische Behandlung bei einer Temperatur von zwischen etwa 400 °C und etwa 700 °C während einer Dauer von zwischen etwa 1 Stunde und etwa 2 Stunden durchgeführt werden. In einigen Ausführungsformen, in denen die thermische Behandlung eine nasse thermische Behandlung ist, kann die thermische Behandlung bei einer Temperatur von zwischen etwa 400 °C und etwa 700 °C während einer Dauer von zwischen etwa 1 Stunde und etwa 2 Stunden durchgeführt werden. Ferner wird in einigen Ausführungsformen, in denen die thermische Behandlung eine nasse thermische Behandlung ist, die thermische Behandlung in einer Umgebung durchgeführt, die Wasserdampf (H2O) umfasst. In einigen Ausführungsformen kann der Wasserdampf einen Druck von zwischen etwa 600 mmHg und etwa 1200 mmHg aufweisen. In einigen Ausführungsformen verdichtet die thermische Behandlung die dielektrische Schicht 601 und erleichtert die Bildung von starken Bindungen (wie zum Beispiel Si-O-Bindungen) an den Nähten 603 der dielektrischen Schicht 601.
  • 10 ist ein Ablaufdiagramm, das einen dielektrischen Spaltfüllungsprozess 1000 gemäß einigen Ausführungsformen veranschaulicht. Unter Bezugnahme auf 10 und 6A wird nach dem Durchführen des dielektrischen Spaltfüllungsprozesses 800, der vorhergehend unter Bezugnahme auf 8 veranschaulicht wurde, der dielektrische Spaltfüllungsprozess 1000 mit Schritt 1001 fortgesetzt, wo eine Ultraviolett/Sauerstoffbehandlung auf der dielektrischen Schicht 601 durchgeführt wird. In einigen Ausführungsformen ist der Schritt 1001 dem vorhergehend unter Bezugnahme auf 9 beschriebenen Schritt 901 des dielektrischen Spaltfüllungsprozesses 900 ähnlich und die Beschreibung wird hier nicht wiederholt. Im Schritt 1003 wird nach dem Durchführen der Ultraviolett/Sauerstoffbehandlung eine thermische Behandlung auf der dielektrischen Schicht 601 durchgeführt. In einigen Ausführungsformen ist der Schritt 1003 dem vorhergehend unter Bezugnahme auf 9 beschriebenen Schritt 903 des dielektrischen Spaltfüllungsprozesses 900 ähnlich und die Beschreibung wird hier nicht wiederholt.
  • Unter Bezugnahme auf 12A kann ein Planarisierungsprozess, wie beispielsweise ein chemisch-mechanisches Polieren (CMP), etwaige überschüssige Abschnitte der dielektrischen Schicht 601, der Vorläufer-Soak-Schicht 501 und der Auskleidungsschicht 401 entfernen, derart dass obere Flächen der dielektrischen Schicht 601 und obere Flächen der Halbleiterstreifen 303 koplanar sind. In einigen Ausführungsformen, wo Abschnitte der Maske 203 (siehe 6A) nach dem Bilden der Halbleiterstreifen 303 über den Halbleiterstreifen 303 bleiben, kann der Planarisierungsprozess auch die übrigen Abschnitte der Maske 203 entfernen.
  • 13A veranschaulicht das Vertiefen der dielektrischen Schicht 601, der Vorläufer-Soak-Schicht 501 und der Auskleidungsschicht 401, derart das übrige Abschnitte der dielektrischen Schicht 601, der Vorläufer-Soak-Schicht 501 und der Auskleidungsschicht 401 Isolationsgebiete 1301 bilden. Die Isolationsgebiete 1301 können auch als Grabenisolationsgebiete (Shallow Trench Isolation - STI) bezeichnet werden. Die dielektrische Schicht 601, die Vorläufer-Soak-Schicht 501 und die Auskleidungsschicht 401 werden derart vertieft, dass Finnen 1303 von zwischen benachbarten Isolationsgebieten 1301 hervorstehen. Ferner können die oberen Flächen der Isolationsgebiete 1301 eine flache Fläche, wie veranschaulicht, eine konvexe Fläche, eine konkave Fläche (wie beispielsweise Dishing) oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsgebiete 1301 können durch ein zweckmäßiges Ätzen flach, konvex und/oder konkav gebildet werden. Die dielektrischen Schicht 601, die Vorläufer-Soak-Schicht 501 und die Auskleidungsschicht 401 können unter Verwendung von einem oder mehreren annehmbaren Ätzprozessen vertieft werden.
  • Ein Durchschnittsfachmann wird ohne Weiteres verstehen, dass der unter Bezugnahme auf 2A bis 6A, 12A und 13A beschriebene Prozess lediglich ein Beispiel dafür ist, wie Finnen 1303 gebildet werden können. In anderen Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 201 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaktische Strukturen können epitaktisch in den Gräben gewachsen werden; und die dielektrische Schicht kann derart vertieft werden, dass die homoepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um Finnen zu bilden. In noch anderen Ausführungsformen können heteroepitaktische Strukturen für die Finnen verwendet werden. Zum Beispiel können die Halbleiterstreifen 303 in 12A vertieft werden und ein oder mehrere Materialien, die sich von den Halbleiterstreifen 303 unterscheiden, kann/können an ihrer Stelle epitaktisch gewachsen werden. In sogar noch weiteren Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 201 gebildet werden; Gräben können durch die dielektrische Schicht geätzt werden; heteroepitaktische Strukturen können unter Verwendung von einem oder mehreren Materialien, die sich von dem Substrat 201 unterscheiden, epitaktisch in den Gräben gewachsen werden; und die dielektrische Schicht kann derart vertieft werden, dass die heteroepitaktischen Strukturen von der dielektrischen Schicht hervorstehen, um Finnen 1303 zu bilden.
  • In einigen Ausführungsformen, in denen homoepitaktische oder heteroepitaktische Strukturen epitaktisch gewachsen werden, können die gewachsenen Materialien während des Wachstums vor Ort dotiert werden. In anderen Ausführungsformen können homoepitaktische oder heteroepitaktische Strukturen unter Verwendung von zum Beispiel Ionenimplantation dotiert werden, nachdem die homoepitaktischen oder heteroepitaktischen Strukturen epitaktisch gewachsen wurden. In verschiedenen Ausführungsformen können die Finnen 1303 Siliziumgermanium (SixGe1-x, wo x zwischen ungefähr 0 und 1 betragen kann), Siliziumkarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen umfassen. Zum Beispiel umfassen die verfügbaren Materialien zum Bilden von III-V-Verbindungshalbleitern InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen, sind aber nicht darauf beschränkt.
  • Unter Bezugnahme auf 14A und 14B wird eine dielektrische Schicht 1401 auf Seitenwänden und oberen Flächen der Finnen 1303 gebildet. In einigen Ausführungsformen kann die dielektrische Schicht 1401 auch über den Isolationsgebieten 1301 gebildet werden. In anderen Ausführungsformen können obere Flächen der Isolationsgebiete 1301 frei von der dielektrischen Schicht 1401 sein. Die dielektrische Schicht 1401 kann ein Oxid, wie beispielsweise Siliziumoxid, oder dergleichen sein und kann (unter Verwendung von zum Beispiel ALD, CVD, PVD, einer Kombination davon oder dergleichen) abgeschieden oder (unter Verwendung von zum Beispiel thermischer Oxidation oder dergleichen) gemäß annehmbaren Techniken thermisch gewachsen werden. Eine Gate-Elektrodenschicht 1403 wird über der dielektrischen Schicht 1401 gebildet und eine Maske 1405 wird über der Gate-Elektrodenschicht 1403 gebildet. In einigen Ausführungsformen kann die Gate-Elektrodenschicht 1403 über der dielektrischen Schicht 1401 abgeschieden werden und dann unter Verwendung von zum Beispiel einem CMP-Prozess planarisiert werden. Die Maske 1405 kann über der Gate-Elektrodenschicht 1403 abgeschieden werden. Die Gate-Elektrodenschicht 1403 kann zum Beispiel aus Polysilizium hergestellt werden, obgleich auch andere Materialien verwendet werden können, die eine hohe Ätzselektivität gegenüber dem Material der Isolationsgebiete 1301 umfassen. Die Maske 1405 kann eine oder mehrere Schichten aus zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumcarbid, Siliziumcarbonitrid, eine Kombination davon oder dergleichen umfassen und kann unter Verwendung von irgendeinem geeigneten Prozess, wie beispielsweise thermischer Oxidation, thermischer Nitrierung, ALD, PVD, CVD, einer Kombination davon oder dergleichen gebildet werden.
  • Unter Bezugnahme auf 15A, 15B und 15C kann die Maske 1405 (siehe 14A und 14B) unter Verwendung annehmbarer Photolithographie- und Ätztechniken strukturiert werden, um eine strukturierte Maske 1501 zu bilden. Die Struktur der strukturierten Maske 1501 wird durch eine annehmbare Ätztechnik auf die Gate-Elektrodenschicht 1403 übertragen, um die Gates 1503 zu bilden. Die Gates 1503 bedecken jeweilige Kanalgebiete der Finnen 1303 (siehe 15B), während sie Source/Drain-Gebiete der Finnen 1303 freilegen (siehe 15B und 15C). Die Gates 1503 können auch eine Längsrichtung aufweisen, die innerhalb von Prozessschwankungen im Wesentlichen senkrecht zur Längsrichtung der entsprechenden Finnen 1303 ist (siehe 15A). Eine Größe der Gates 1503 und ein Pitch zwischen den Gates 1503 kann von einem Gebiet eines Dies abhängen, in dem die Gates 1503 gebildet werden. In einigen Ausführungsformen können die Gates 1503 eine größere Größe und einen größeren Pitch aufweisen, wenn sie sich zum Beispiel in einem Eingangs/Ausgangs-Gebiet eines Dies befinden (z. B. wo Eingangs/Ausgangsschaltungen angeordnet sind) als wenn sie sich in zum Beispiel einem Logikgebiet eines Dies befinden (z. B. wo Logikschaltungen angeordnet sind). Wie nachfolgend mit mehr Details beschrieben, sind die Gates 1503 Opfer-Gates und werden anschließend durch Replacement-Gates ersetzt. Dementsprechend können die Gates 1503 auch als Opfer-Gates bezeichnet werden.
  • Ferner unter Bezugnahme auf 15A, 15B und 15C können leicht dotierte Source/Drain-Gebiete (LDD) 1505 in dem Substrat 201 gebildet werden. Ähnlich wie beim vorhergehend unter Bezugnahme auf 2A erörterten Implantationsprozess werden geeignete Störstellen in die Finnen 1303 implantiert, um die LDD-Gebiete 1505 zu bilden. In einigen Ausführungsformen, in denen die FinFET-Vorrichtung 200 eine p-Typ-Vorrichtung ist, werden p-Typ-Störstellen in die Finnen 1303 implantiert, um p-Typ-LDD-Gebiete 1505 zu bilden. In einigen Ausführungsformen, in denen die FinFET-Vorrichtung 200 eine n-Typ-Vorrichtung ist, werden n-Typ-Störstellen in die Finnen 1303 implantiert, um n-Typ-LDD-Gebiete 1505 zu bilden. Während der Implantation der LDD-Gebiete 1505 können die Gates 1503 und die strukturierte Maske 1501 als eine Maske wirken, um zu verhindern, dass Dotierstoffe in Kanalgebieten der Finnen 1303 implantiert werden (oder dies zumindest zu vermindern). So können die LDD-Gebiete 1505 im Wesentlichen in Source/Drain-Gebieten der Finnen 1303 gebildet werden. Die n-Typ-Störstellen können irgendeine von den vorhergehend erörterten n-Typ-Störstellen sein und die p-Typ-Störstellen können irgendeine von den vorhergehend erörterten p-Typ-Störstellen sein. Die LDD-Gebiete 1505 können eine Störstellenkonzentration von zwischen etwa 1020 cm-3 bis etwa 1021 cm-3 aufweisen. Nach dem Implantationsprozess kann ein Temperprozess durchgeführt werden, um die implantierten Störstellen zu aktivieren.
  • 16A bis 16C und 17A bis 17C veranschaulichen die Bildung von Spacern 1701 auf Seitenwänden der Gates 1503 und Seitenwänden der Finnen 1303 gemäß einigen Ausführungsformen. Zuerst unter Bezugnahme auf 16A, 16B und 16C, wird eine dielektrische Schicht 1601 ganzflächig auf freiliegenden Flächen der Gates 1503, der strukturierten Maske 1501 und der dielektrischen Schicht 1401 gebildet. In einigen Ausführungsformen kann die dielektrische Schicht 1601 Siliziumnitrid (SiN), Siliziumoxinitrid (SiON), Siliziumoxicarbid (SiOC), Siliziumcarbonitrid (SiCN), Siliziumcarboxynitrid (SiOCN), eine Kombination davon oder dergleichen umfassen und kann unter Verwendung von CVD, ALD, einer Kombination davon oder dergleichen gebildet werden.
  • Als Nächstes unter Bezugnahme auf 17A, 17B und 17C werden horizontale Abschnitte der dielektrischen Schicht 1601 entfernt, derart dass verbleibende vertikale Abschnitte der dielektrischen Schicht 1601 Spacer 1701 auf den Seitenwänden der Gates 1503 und den Seitenwänden der Finnen 1303 bilden. In einigen Ausführungsformen können die horizontalen Abschnitte der dielektrischen Schicht 1601 unter Verwendung eines geeigneten Ätzprozesses, wie beispielsweise eines anisotropen Trockenätzprozesses, entfernt werden.
  • Unter Bezugnahme auf 18A, 18B und 18C wird nach dem Bilden der Spacer 1701 ein Strukturierungsprozess auf den Finnen 1303 durchgeführt, um Vertiefungen 1801 in den Source/Drain-Gebieten der Finnen 1303 zu bilden. In einigen Ausführungsformen kann der Strukturierungsprozess einen geeigneten anisotropen Trockenätzprozess umfassen, während die strukturierte Maske 1501, die Gates 1503, die Spacer 1701 und/oder Isolationsgebiete 1301 als eine kombinierte Maske verwendet werden. Der geeignete anisotrope Trockenätzprozess kann ein reaktives Ionenätzen (Reactive Ion Etch - RIE), ein Neutralstrahlätzen (Neutral Beam Etch - NBE), eine Kombination davon oder dergleichen umfassen. In einigen Ausführungsformen können Abschnitte der dielektrischen Schicht 1401 während des Strukturierungsprozesses über den Isolationsgebieten 1301 entfernt werden.
  • Unter Bezugnahme auf 19A, 19B und 19C werden epitaktische Source/Drain-Gebiete 1901 in den Vertiefungen 1801 gebildet (siehe 18B und 18C). In einigen Ausführungsformen werden die epitaktischen Source/Drain-Gebiete 1901 epitaktisch in den Vertiefungen 1801 unter Verwendung von metallorganischer Gasphasenabscheidung (Metal-Organic CVD - MOCVD), Molekularstrahlepitaxie (Molecular Beam Epitaxy - MBE), Flüssigphasenepitaxie (Liquid Phase Epitaxy - LPE), Gasphasenepitaxie (Vapor Phase Epitaxy - VPE), selektivem epitaktischen Wachstum (Selective Epitaxial Growth - SEG), einer Kombination davon oder dergleichen gewachsen. In einigen Ausführungsformen, in denen die FinFET-Vorrichtung 200 eine n-Typ-Vorrichtung ist und die Finnen 1303 aus Silizium gebildet werden, können die epitaktischen Source/Drain-Gebiete 1901 Silizium, SiC, SiCP, SiP oder dergleichen umfassen. In einigen Ausführungsformen, in denen die FinFET-Vorrichtung 200 eine p-Typ-Vorrichtung ist und die Finnen 1303 aus Silizium gebildet werden, können die epitaktischen Source/Drain-Gebiete 1901 SiGe, SiGeB, Ge, GeSn oder dergleichen umfassen. Die epitaktischen Source/Drain-Gebiete 1901 können Flächen aufweisen, die von entsprechenden Flächen der Finnen 1303 erhaben sind und Facetten aufweisen können. In einigen Ausführungsformen können sich die epitaktischen Source/Drain-Gebiete 1901 über die Finnen 1303 hinaus und in die Halbleiterstreifen 303 erstrecken. In einigen Ausführungsformen kann das Material der epitaktischen Source/Drain-Gebiete 1901 mit geeigneten Dotierstoffen implantiert werden. In einigen Ausführungsformen ist der Implantationsprozess dem Prozess ähnlich, der zum Bilden der LLD-Gebiete 1505 verwendet wird, wie vorhergehend unter Bezugnahme auf 15A, 15B und 15C beschrieben, und die Beschreibung wird hier nicht wiederholt. In anderen Ausführungsformen kann das Material der epitaktischen Source/Drain-Gebiete 1901 während des Wachstums vor Ort dotiert werden.
  • Ferner unter Bezugnahme auf 19A, 19B und 19C ist in der veranschaulichten Ausführungsform jedes von den epitaktischen Source/Drain-Gebieten 1901 physisch von den anderen epitaktischen Source/Drain-Gebieten 1901 getrennt. In anderen Ausführungsformen können benachbarte epitaktische Source/Drain-Gebiete 1901 zusammengeführt werden. Eine solche Ausführungsform ist in 20C bildlich dargestellt, wo benachbarte epitaktische Source/Drain-Gebiete 1901 zusammengeführt sind, um ein gemeinsames epitaktisches Source/Drain-Gebiet 1901 zu bilden.
  • Unter Bezugnahme auf 21A, 21B und 21C wird ein dielektrischer Spaltfüllungsprozess durchgeführt, um Spalten zwischen benachbarten Gates 1503 und Spalten zwischen benachbarten epitaktischen Source/Drain-Gebieten 1901 mit einem oder mehreren dielektrischen Materialien zu fühlen. Der dielektrische Spaltfüllungsprozess umfasst das Bilden einer konformalen Auskleidungsschicht 2101 über den Gates 1503 und den epitaktischen Source/Drain-Gebieten 1901, wodurch eine Vorläufer-Soak-Schicht 2103 über der Auskleidungsschicht 2101 gebildet wird und eine dielektrische Schicht 2105 über der Vorläufer-Soak-Schicht 2103 gebildet wird. In einigen Ausführungsformen kann die Auskleidungsschicht 2101 unter Verwendung ähnlicher Materialien und Verfahren gebildet werden wie die vorhergehend unter Bezugnahme auf 4A beschriebene Auskleidungsschicht 401 und die Beschreibung wird hier wiederholt. In einigen Ausführungsformen kann die Vorläufer-Soak-Schicht 2103 unter Verwendung ähnlicher Materialien und Verfahren gebildet werden wie die vorhergehenden unter Bezugnahme auf 5A beschriebene Vorläufer-Soak-Schicht 501 und die Beschreibung wird hier wiederholt. In einigen Ausführungsformen wird die dielektrische Schicht 2105 aus einem dielektrischen Material, wie beispielsweise Siliziumoxid, SiOC, ZrO2, HfO2, Phosphorsilicatglas (PSG), Borosilicatglas (BSG), mit Bor dotiertem Phosphorsilicatglas (BPSG), undotiertem Silikatglas (USG), Low-k-Dielektrika, Dielektrika mit extrem niedriger Dielektrizitätszahl, High-k-Dielektrika, einer Kombination davon oder dergleichen gebildet und kann durch irgendein geeignetes Verfahren, wie beispielsweise ALD, CVD, PECVD, einen Spin-On-Glass-Prozess, eine Kombination davon oder dergleichen abgeschieden werden. Die dielektrische Schicht 2105 kann auch als eine dielektrische Zwischenschicht (Interlayer Dielectric - ILD) bezeichnet werden. In einigen Ausführungsformen wird die Auskleidungsschicht 2101 während des Strukturierens der dielektrischen Schicht 2105 als eine Ätzstoppschicht verwendet, um Öffnungen für anschließend gebildete Kontaktstifte zu bilden. Dementsprechend kann ein Material für die Auskleidungsschicht 2101 derart gewählt werden, dass das Material der Auskleidungsschicht 2101 eine niedrigere Ätzrate aufweist als das Material der dielektrischen Schicht 2105.
  • In einigen Ausführungsformen kann der dielektrische Spaltfüllungsprozess zum Bilden der Auskleidungsschicht 2101, der Vorläufer-Soak-Schicht 2103 und der dielektrischen Schicht 2105 den dielektrischen Spaltfüllungsprozess 700 umfassen, der vorhergehend unter Bezugnahme auf 7 beschrieben wurde, und die Beschreibung wird hier nicht wiederholt. In anderen Ausführungsformen kann der dielektrische Spaltfüllungsprozess zum Bilden der Auskleidungsschicht 2101, der Vorläufer-Soak-Schicht 2103 und der dielektrischen Schicht 2105 den dielektrischen Spaltfüllungsprozess 800 umfassen, der vorhergehend unter Bezugnahme auf 8 beschrieben wurde, und die Beschreibung wird hier nicht wiederholt. In noch anderen Ausführungsformen kann der dielektrische Spaltfüllungsprozess zum Bilden der Auskleidungsschicht 2101, der Vorläufer-Soak-Schicht 2103 und der dielektrischen Schicht 2105 den dielektrischen Spaltfüllungsprozess 900 umfassen, der vorhergehend unter Bezugnahme auf 9 beschrieben wurde, und die Beschreibung wird hier nicht wiederholt. In noch anderen Ausführungsformen kann der dielektrische Spaltfüllungsprozess zum Bilden der Auskleidungsschicht 2101, der Vorläufer-Soak-Schicht 2103 und der dielektrischen Schicht 2105 den dielektrischen Spaltfüllungsprozess 1000 umfassen, der vorhergehend unter Bezugnahme auf 10 beschrieben wurde, und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen kann ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess, durchgeführt werden, um die obere Fläche der dielektrischen Schicht 2105 mit den oberen Flächen der strukturierten Maske 1501 eben zu machen.
  • Unter Bezugnahme auf 22A, 22B und 22C werden die Gates 1503 (siehe 21A und 21B) entfernt, um die Vertiefungen 2201 zu bilden. In einigen Ausführungsformen können die Gates 1503 unter Verwendung von einem oder mehreren geeigneten Ätzprozessen entfernt werden. Jede von den Vertiefungen 2201 legt ein Kanal-Gebiet einer entsprechenden Finne 1303 frei. In einigen Ausführungsformen kann die dielektrische Schicht 1401 als eine Ätzstoppschicht verwendet werden, wenn die Gates 1503 geätzt werden. In einigen Ausführungsformen können nach dem Entfernen der Gate-Elektrodenschichten 1403 der Gates 1503 freiliegende Abschnitte der dielektrischen Schicht 1401 auch entfernt werden. In einigen Ausführungsformen können die freiliegenden Abschnitte der dielektrischen Schicht 1401 in den Vertiefungen 2201 bleiben.
  • Unter Bezugnahme auf 23A, 23B und 23C werden eine dielektrische Gate-Schicht 2301 und eine Gate-Elektrodenschicht 2303 in den Vertiefungen 2201 gebildet (siehe 22A und 22B). In einigen Ausführungsformen wird die dielektrische Gate-Schicht 2301 konformal in den Vertiefungen 2201 abgeschieden. In einigen Ausführungsformen umfasst die dielektrische Gate-Schicht 2301 Siliziumoxid, Siliziumnitrid oder mehrere Schichten davon. In anderen Ausführungsformen umfasst die dielektrische Gate-Schicht 2301 ein High-k-Dielektrikum und in diesen Ausführungsformen kann die dielektrischen Gate-Schicht 2301 einen k-Wert aufweisen, der höher als etwa 7,0 ist, und kann ein Metalloxid oder ein Silikat aus Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon umfassen. Die Verfahren zur Bildung der dielektrischen Gate-Schicht 2301 können Molekularstrahlabscheidung (Molecular-Beam Deposition - MBD), ALD, PECVD, eine Kombination davon oder dergleichen umfassen.
  • Ferner können unter Bezugnahme auf 23A, 23B und 23C in einigen Ausführungsformen, wo die Abschnitte der dielektrischen Schicht 1401 nicht über den Kanalgebieten der Finnen 1303 entfernt werden, während die Vertiefungen 2201 gebildet werden (siehe 22A und 22B), die Abschnitte der dielektrischen Schicht 1401 über den Kanalgebieten der Finnen 1303 als Grenzflächenschichten zwischen der dielektrischen Gate-Schicht 2301 und den Kanalgebieten der Finnen 1303 wirken. In einigen Ausführungsformen, wo die Abschnitte der dielektrischen Schicht 1401 über den Kanalgebieten der Finnen 1303 während des Bildens der Vertiefungen 2201 entfernt werden, können vor dem Bilden der dielektrischen Gate-Schicht 2301 eine oder mehrere Grenzflächenschichten über den Kanalgebieten der Finnen 1303 gebildet werden und die dielektrische Gate-Schicht 2301 wird über der einen oder den mehreren Grenzflächenschichten gebildet. Die Grenzflächenschichten helfen beim Puffern der anschließend gebildeten High-k-Dielektrikumschicht von dem darunterliegenden Halbleitermaterial. In einigen Ausführungsformen umfassen die Grenzflächenschichten ein chemisches Siliziumoxid, das aus chemischen Reaktionen gebildet werden kann. Zum Beispiel kann ein chemisches Oxid unter Verwendung von deionisiertem Wasser + Ozon (O3 ), NH4OH+H2O2+H2O (APM) oder anderen Verfahren gebildet werden. Andere Ausführungsformen können ein unterschiedliches Material oder unterschiedliche Prozesse (z. B. einen thermischen Oxidations- oder Abscheidungsprozess) zum Bilden der Grenzflächenschichten nutzen.
  • Als Nächstes wird die Gate-Elektrodenschicht 2303 über der dielektrischen Gate-Schicht 2301 abgeschieden und füllt die verbleibenden Abschnitte der Vertiefungen 2201 (siehe 22A und 22B). In einigen Ausführungsformen kann die Gate-Elektrodenschicht 2303 eine oder mehrere Schichten aus geeigneten leitfähigen Materialien umfassen. Die Gate-Elektrodenschicht 2303 kann ein Metall umfassen, das von einer Gruppe aus W, Cu, Ti, Ag, Al, TiAl, TiAlN, TaC, TaCN, TaSiN, Mn, Co, Pd, Ni, Re, Ir, Ru, Pt, Zr und Kombinationen davon ausgewählt ist. In einigen Ausführungsformen kann die Gate-Elektrodenschicht 2303 ein Material umfassen, das von einer Gruppe aus TiN, WN, TaN, Ru und Kombinationen davon ausgewählt ist. Metalllegierungen, wie beispielsweise Ti-Al, Ru-Ta, Ru-Zr, Pt-Ti, Co-Ni und Ni-Ta, können verwendet werden und/oder es können Metallnitride verwendet werden, wie beispielsweise WNx, TiNx, MoNx, TaNx und TaSixNy. Die Gate-Elektrodenschicht 2303 kann unter Verwendung eines geeigneten Prozesses, wie beispielsweise ALD, CVD, PVD, Plattierung, Kombinationen davon oder dergleichen gebildet werden. Nach dem Füllen der Vertiefungen 2201 mit der Gate-Elektrodenschicht 2303 kann ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess, durchgeführt werden, um die überschüssigen Abschnitte der dielektrischen Gate-Schicht 2301 und der Gate-Elektrodenschicht 2303 zu entfernen, wobei die überschüssigen Abschnitte sich über der oberen Fläche der dielektrischen Schicht 2105 befinden. Die übrigen Abschnitte der Gate-Elektrodenschicht 2303 und der dielektrischen Gate-Schicht 2301 bilden somit Replacement-Gates 2305 der FinFET-Vorrichtung 200. In anderen Ausführungsformen können die Gates 1503 (siehe 21A und 21B) bleiben, anstatt durch die Replacement-Gates 2305 ersetzt zu werden.
  • Unter Bezugnahme auf 24A, 24B und 24C wird eine dielektrische Schicht 2401 über der dielektrischen Schicht 2105 und den Replacement-Gates 2305 gebildet. Die dielektrische Schicht 2401 kann auch als eine dielektrische Zwischenschicht (Interlayer Dielectric - ILD) bezeichnet werden. In einigen Ausführungsformen kann die dielektrische Schicht 2401 unter Verwendung ähnlicher Materialien und Verfahren gebildet werden wie die vorhergehend unter Bezugnahme auf 21A, 21B und 21C beschriebene dielektrische Schicht 2105 und die Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen werden die dielektrische Schicht 2105 und die dielektrische Schicht 2401 aus einem gleichen Material gebildet. In anderen Ausführungsformen werden die dielektrische Schicht 2105 und die dielektrische Schicht 2401 aus unterschiedlichen Materialien gebildet. Die Auskleidungsschicht 2101, die Vorläufer-Soak-Schicht 2103 und die dielektrischen Schichten 2105 und 2401 werden strukturiert, um die Öffnungen 2403 und 2405 zu bilden. In einigen Ausführungsformen können die Auskleidungsschicht 2101, die Vorläufer-Soak-Schicht 2103 und die dielektrischen Schichten 2105 und 2401 unter Verwendung von einem oder mehreren geeigneten Ätzprozessen, wie beispielsweise einem anisotropen Trockenätzprozess oder dergleichen, strukturiert werden. Die Öffnungen 2403 legen die entsprechenden Replacement-Gates 2305 frei. Die Öffnungen 2405 legen Abschnitte der entsprechenden epitaktischen Source/Drain-Gebiete 1909 frei.
  • Ferner unter Bezugnahme auf 24A, 24B und 24C, werden selbstausgerichtete Silizid (Salizid) Schichten 2407 durch die Öffnungen 2405 gebildet. In einigen Ausführungsformen wird ein metallisches Material in den Öffnungen 2405 abgeschieden. Das metallische Material kann Ti, Co, Ni, NiCo, Pt, NiPt, Ir, PtIr, Er, Yb, Pd, Rh, Nb, eine Kombination davon oder dergleichen umfassen und kann unter Verwendung von PVD, Sputtern oder dergleichen gebildet werden. Anschließend wird ein Temperprozess durchgeführt, um die Salizid-Schichten 2407 zu bilden. In einigen Ausführungsformen, wo die epitaktischen Source/Drain-Gebiete 1901 Silizium umfassen, bewirkt der Temperprozess, dass das metallische Material mit dem Silizium reagiert, um ein Silizid des metallischen Materials zu bilden.
  • Unter Bezugnahme auf 25A, 25B und 25C werden Kontaktstifte 2501 in den Öffnungen 2403 gebildet (siehe 24A und 24B) und der Kontaktstift 2503 wird in der Öffnung 2405 gebildet (siehe 24B und 24C). In einigen Ausführungsformen werden eine Auskleidung, wie beispielsweise eine Diffusionsbarriereschicht, eine Haftschicht oder dergleichen und ein leitfähiges Material in den Öffnungen 2403 und 2405 abgeschieden. Die Auskleidung kann Titan, Titannitrid, Tantal, Tantalnitrid, eine Kombination davon oder dergleichen umfassen. Anschließend werden die Öffnungen 2403 und 2105 mit dem leitfähigen Material gefüllt. Das leitfähige Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel, eine Kombination davon oder dergleichen sein. Ein Planarisierungsprozess, wie beispielsweise ein CMP-Prozess, kann durchgeführt werden, um überschüssige Materialien von einer oberen Fläche der dielektrischen Schicht 2401 zu entfernen. Die übrigen Abschnitte der Auskleidung und des leitfähigen Materials bilden die Kontaktstifte 2501 und 2503. Die Kontaktstifte 2005 sind physisch und elektrisch an die Replacement-Gates 2305 gekoppelt. Die Kontaktstifte 2503 sind durch die Salizid-Schichten physisch und elektrisch an die epitaktischen Source/Drain-Gebiete 1901 gekoppelt.
  • 26A, 26B und 26C sind Querschnittsansichten einer FinFET-Vorrichtung 2600 gemäß einigen Ausführungsformen. Zur Hervorhebung von Unterschieden zwischen der FinFET-Vorrichtung 2600 und der FinFET-Vorrichtung 200, die in 25A, 25B und 25C veranschaulicht ist, sind die gemeinsamen Merkmale dieser FinFET-Vorrichtungen mit den gleichen Bezugszeichen bezeichnet und ihre Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen kann die FinFET-Vorrichtung 2600 unter Verwendung eines ähnlichen Verfahrens gebildet werden wie die FinFET-Vorrichtung 200 und die Beschreibung wird hier nicht wiederholt. In der veranschaulichten Ausführungsform wird die Bildung der Vorläufer-Soak-Schicht 2103 (siehe 21A, 21B und 21C) weggelassen und die dielektrische Schicht 2105 wird direkt auf der Auskleidungsschicht 2101 gebildet.
  • 27A, 27B und 27C sind Querschnittsansichten einer FinFET-Vorrichtung 2700 gemäß einigen Ausführungsformen. Zur Hervorhebung von Unterschieden zwischen der FinFET-Vorrichtung 2700 und der FinFET-Vorrichtung 200, die in 25A, 25B und 25C veranschaulicht ist, sind die gemeinsamen Merkmale dieser FinFET-Vorrichtungen mit den gleichen Bezugszeichen bezeichnet und ihre Beschreibung wird hier nicht wiederholt. In einigen Ausführungsformen kann die FinFET-Vorrichtung 2700 unter Verwendung eines ähnlichen Verfahrens gebildet werden wie die FinFET-Vorrichtung 200 und die Beschreibung wird hier nicht wiederholt. In der veranschaulichten Ausführungsform wird die Bildung der Vorläufer-Soak-Schicht 501 (siehe 5A) weggelassen und die dielektrische Schicht 601 wird direkt auf der Auskleidungsschicht 401 gebildet.
  • 28 ist ein Ablaufdiagramm, das ein Verfahren 2800 zum Bilden einer FinFET-Vorrichtung gemäß einigen Ausführungsformen veranschaulicht. Das Verfahren 2800 beginnt mit dem Schritt 2801, wo Gräben (wie zum Beispiel die Gräben 301, die in 3A veranschaulicht sind) in einem Substrat (wie zum Beispiel dem Substrat 201, das in 3A veranschaulicht ist) gebildet werden, derart dass Abschnitte des Substrats zwischen benachbarten Gräben Halbleiterstreifen (wie zum Beispiel die Halbleiterstreifen 303, die in 3A veranschaulicht sind) bilden, wie vorhergehend unter Bezugnahme auf 2A und 3A beschrieben. Im Schritt 2803 werden Isolationsgebiete (wie zum Beispiel die Isolationsgebiete 1301, die in 13A veranschaulicht sind) in den Gräben gebildet, derart dass Abschnitte der Halbleiterstreifen, die sich über den Isolationsgebieten erstrecken, die Finnen (wie zum Beispiel die Finnen 1303, die in 13A veranschaulicht sind) bilden, wie vorhergehend unter Bezugnahme auf 4A bis 6A, 12A und 13 beschrieben. In einigen Ausführungsformen kann der Schritt 2803 das Durchführen des vorhergehend unter Bezugnahme auf 7 beschriebenen dielektrischen Spaltfüllungsprozesses 700 umfassen. In anderen Ausführungsformen kann der Schritt 2803 das Durchführen des vorhergehend unter Bezugnahme auf 8 beschriebenen dielektrischen Spaltfüllungsprozesses 800 umfassen. In noch anderen Ausführungsformen kann der Schritt 2803 das Durchführen des vorhergehend unter Bezugnahme auf 9 beschriebenen dielektrischen Spaltfüllungsprozesses 900 umfassen. In noch anderen Ausführungsformen kann der Schritt 2803 das Durchführen des vorhergehend unter Bezugnahme auf 10 beschriebenen dielektrischen Spaltfüllungsprozesses 1000 umfassen. Im Schritt 2805 werden Opfer-Gates (wie zum Beispiel die Gates 1503, die in 15A und 15B veranschaulicht sind) entlang von Seitenwänden und oberen Flächen der Finnen gebildet, wie vorhergehend unter Bezugnahme auf 14A, 14B und 15A bis 15C beschrieben. Im Schritt 2807 werden epitaktische Source/Drain-Gebiete (wie zum Beispiel die epitaktischen Source/Drain-Gebiete 1901, die in 19B und 19C veranschaulicht sind) in den Finnen gebildet, wie vorhergehend unter Bezugnahme auf 18A bis 18C und 19A bis 19C beschrieben. Im Schritt 2809 wird eine erste dielektrische Schicht (wie zum Beispiel die dielektrische Schicht 2105, die in 21B und 21C veranschaulicht ist) zwischen benachbarten Opfer-Gate-Strukturen gebildet, wie vorhergehend unter Bezugnahme auf 21A bis 21C beschrieben. In einigen Ausführungsformen kann der Schritt 2809 das Durchführen des vorhergehend unter Bezugnahme auf 7 beschriebenen dielektrischen Spaltfüllungsprozesses 700 umfassen. In anderen Ausführungsformen kann der Schritt 2809 das Durchführen des vorhergehend unter Bezugnahme auf 8 beschriebenen dielektrischen Spaltfüllungsprozesses 800 umfassen. In noch anderen Ausführungsformen kann der Schritt 2809 das Durchführen des vorhergehend unter Bezugnahme auf 9 beschriebenen dielektrischen Spaltfüllungsprozesses 900 umfassen. In noch anderen Ausführungsformen kann der Schritt 2809 das Durchführen des vorhergehend unter Bezugnahme auf 10 beschriebenen dielektrischen Spaltfüllungsprozesses 1000 umfassen. Im Schritt 2811 werden die Opfer-Gates mit Replacement-Gates (wie zum Beispiel den Replacement-Gates 2305, die in 23A und 23B veranschaulicht sind) ersetzt, wie vorhergehend unter Bezugnahme auf 22A bis 22C und 23A bis 23C beschrieben. Im Schritt 2813 wird eine zweite dielektrische Schicht (wie zum Beispiel die dielektrische Schicht 2401, die in 24A bis 24C veranschaulicht ist) über der ersten dielektrischen Schicht und den Replacement-Gates gebildet, wie vorhergehend unter Bezugnahme auf 24A bis 24C beschrieben. Im Schritt 2815 werden Gate-Kontaktstifte (wie zum Beispiel die Kontaktstifte 2501, die in 25A bis 25C veranschaulicht sind) und Source/Gate-Kontaktstifte (wie zum Beispiel die Kontaktstifte 2503, die in 25A bis 25C veranschaulicht sind) gebildet, wie vorhergehend unter Bezugnahme auf 24A bis 24C und 25A bis 25C beschrieben.
  • Gemäß einer Ausführungsform umfasst ein Verfahren: Bilden eines Grabens in einem Substrat; Bilden einer Auskleidungsschicht entlang von Seitenwänden und einem Boden des Grabens; Bilden einer siliziumreichen Schicht über der Auskleidungsschicht, wobei das Bilden der siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während eines ersten Zeitintervalls; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während eines zweiten Zeitintervalls, wobei das zweite Zeitintervall sich von dem ersten Zeitintervall unterscheidet; und Bilden einer dielektrischen Schicht über der siliziumreichen Schicht. In einer Ausführungsform umfasst das Bilden der siliziumreichen Schicht ferner: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während eines dritten Zeitintervalls; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während eines vierten Zeitintervalls, wobei das vierte Zeitintervall sich von dem dritten Zeitintervall unterscheidet. In einer Ausführungsform umfassen der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie. In einer Ausführungsform umfasst das Verfahren ferner das Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der dielektrischen Schicht. In einer Ausführungsform umfasst das Durchführen der Ultraviolett/Sauerstoff-Behandlung auf der dielektrischen Schicht das Aussetzen der dielektrischen Schicht gegenüber einer ultravioletten Strahlung in einer Sauerstoffumgebung. In einer Ausführungsform umfasst das Verfahren ferner nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung das Durchführen einer thermischen Behandlung auf der dielektrischen Schicht.
  • Gemäß einer anderen Ausführungsform umfasst ein Verfahren: Strukturieren eines Substrats, um Gräben darin zu bilden, wobei Abschnitte des Substrats zwischen benachbarten Gräben Halbleiterstreifen bilden; und Bilden von Isolationsgebieten in den Gräben, wobei Abschnitte der Halbleiterstreifen, die sich über den Isolationsgebieten erstrecken, Finnen bilden, wobei das Bilden der Isolationsgebiete umfasst: konformales Bilden einer ersten Auskleidungsschicht in den Gräben; Bilden einer ersten siliziumreichen Schicht über der ersten Auskleidungsschicht, wobei das Bilden der ersten siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während einer ersten Anzahl von Zyklen; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während einer zweiten Anzahl von Zyklen, wobei die zweite Anzahl von Zyklen sich von der ersten Anzahl von Zyklen unterscheidet; und Bilden einer ersten dielektrischen Schicht über der ersten siliziumreichen Schicht. In einer Ausführungsform umfasst das Bilden der ersten siliziumreichen Schicht ferner: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet. In einer Ausführungsform umfasst das konformale Bilden der ersten Auskleidungsschicht in den Gräben das Abscheiden eines dielektrischen Materials entlang von Seitenwänden und Böden der Gräben unter Verwendung eines Atomlagenabscheidungsverfahrens (Atomic Layer Deposition - ALD). In einer Ausführungsform umfasst das Bilden der ersten dielektrischen Schicht das Strömenlassen eines dritten Siliziumvorläufers, eines zweiten Sauerstoffvorläufers und eines sauerstoffhaltigen Plasmas in die Prozesskammer während einer dritten Anzahl von Zyklen. In einer Ausführungsform umfasst das Verfahren ferner: Bilden von Opfer-Gates entlang von Seitenwänden und oberen Flächen der Finnen; konformales Bilden einer zweiten Auskleidungsschicht über den Opfer-Gates; Bilden einer zweiten siliziumreichen Schicht über der zweiten Auskleidungsschicht, wobei das Bilden der zweiten siliziumreichen Schicht umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet; und Bilden einer zweiten dielektrischen Schicht über der zweiten siliziumreichen Schicht. In einer Ausführungsform umfasst das Verfahren ferner: Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht; und nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung, Durchführen einer thermischen Behandlung auf der ersten dielektrischen Schicht. In einer Ausführungsform umfasst das Durchführen der Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht das Aussetzen der ersten dielektrischen Schicht gegenüber einer ultravioletten Strahlung in einer Sauerstoffumgebung.
  • Gemäß noch einer anderen Ausführungsform umfasst ein Verfahren: Bilden von Isolationsgebieten in einem Substrat, wobei ein Abschnitt des Substrats, der sich zwischen und über benachbarten Isolationsgebieten erstreckt, Finnen bildet; Bilden von Opfer-Gates entlang von Seitenwänden und oberen Flächen der Finnen; Bilden einer ersten Auskleidungsschicht entlang von Seitenwänden und über oberen Flächen der Opfer-Gates; Bilden einer ersten siliziumreichen Schicht über der ersten Auskleidungsschicht, wobei das Bilden der ersten siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während einer ersten Anzahl von Zyklen; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während einer zweiten Anzahl von Zyklen, wobei die zweite Anzahl von Zyklen sich von der ersten Anzahl von Zyklen unterscheidet; und Bilden einer ersten dielektrischen Schicht über der ersten siliziumreichen Schicht. In einer Ausführungsform umfasst das Bilden der ersten siliziumreichen Schicht ferner: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet. In einer Ausführungsform umfasst das Bilden der Isolationsgebiete: Strukturieren des Substrats, um Gräben in dem Substrat zu bilden; konformales Bilden einer zweiten Auskleidungsschicht in den Gräben; Bilden einer zweiten siliziumreichen Schicht über der zweiten Auskleidungsschicht, wobei das Bilden der zweiten siliziumreichen Schicht umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet; und Bilden einer zweiten dielektrischen Schicht über der zweiten siliziumreichen Schicht. In einer Ausführungsform umfasst das Bilden der ersten dielektrischen Schicht das Strömenlassen eines dritten Siliziumvorläufers, eines zweiten Sauerstoffvorläufers und eines sauerstoffhaltigen Plasmas in die Prozesskammer während einer dritten Anzahl von Zyklen. In einer Ausführungsform umfasst das Verfahren ferner das Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht. In einer Ausführungsform umfasst das Verfahren ferner nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung das Durchführen einer thermischen Behandlung auf der ersten dielektrischen Schicht. In einer Ausführungsformen umfassen der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie.
  • Die Finnen können durch irgendein geeignetes Verfahren gebildet werden. Zum Beispiel können die Finnen unter Verwendung von einem oder mehreren Photolithographieprozessen, einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, gebildet werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie und selbstausgerichtete Prozesse, wodurch die Erzeugung von Strukturen ermöglicht wird, die zum Beispiel Pitches aufweisen, die kleiner sind als dies auf andere Arten unter Verwendung eines einzigen direkten Photolitographieprozesses erhalten werden kann. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Spacer werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Spacer können dann zum Strukturieren der Finnen verwendet werden.
  • Vorhergehend wurden Merkmale von mehreren Ausführungsformen dargestellt, derart dass der Fachmann die Gesichtspunkte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte verstehen, dass die vorliegende Offenbarung ohne Weiteres als Grundlage zum Gestalten oder Abwandeln anderer Prozesse und Strukturen verwendet werden kann, um die gleichen Zwecke durchzuführen und/oder die gleichen Vorteile der hier eingeführten Ausführungsformen zu erreichen. Der Fachmann sollte auch verstehen, dass solche äquivalenten Konstruktionen nicht vom Gedanken und Schutzbereich der vorliegenden Offenbarung abweichen, und dass er verschiedene Änderungen, Ersetzungen und Abwandlungen daran vornehmen kann, ohne vom Gedanken und Schutzbereich der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, das umfasst: Bilden eines Grabens in einem Substrat; Bilden einer Auskleidungsschicht entlang von Seitenwänden und einem Boden des Grabens; Bilden einer siliziumreichen Schicht über der Auskleidungsschicht, wobei das Bilden der siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während eines ersten Zeitintervalls; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während eines zweiten Zeitintervalls, wobei das zweite Zeitintervall sich von dem ersten Zeitintervall unterscheidet; und Bilden einer dielektrischen Schicht über der siliziumreichen Schicht.
  2. Verfahren nach Anspruch 1, wobei das Bilden der siliziumreichen Schicht ferner umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während eines dritten Zeitintervalls; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während eines vierten Zeitintervalls, wobei das vierte Zeitintervall sich von dem dritten Zeitintervall unterscheidet.
  3. Verfahren nach Anspruch 1 oder 2, wobei der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie umfassen.
  4. Verfahren nach einem der vorhergehenden Ansprüche, das ferner das Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der dielektrischen Schicht umfasst.
  5. Verfahren nach Anspruch 4, wobei das Durchführen der Ultraviolett/Sauerstoff-Behandlung auf der dielektrischen Schicht das Aussetzen der dielektrischen Schicht gegenüber einer ultravioletten Strahlung in einer Sauerstoffumgebung umfasst.
  6. Verfahren nach Anspruch 4 oder 5, das ferner nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung das Durchführen einer thermischen Behandlung auf der dielektrischen Schicht umfasst.
  7. Verfahren, das umfasst: Strukturieren eines Substrats, um Gräben darin zu bilden, wobei Abschnitte des Substrats zwischen benachbarten Gräben Halbleiterstreifen bilden; und Bilden von Isolationsgebieten in den Gräben, wobei Abschnitte der Halbleiterstreifen, die sich über den Isolationsgebieten erstrecken, Finnen bilden, wobei das Bilden der Isolationsgebiete umfasst: konformales Bilden einer ersten Auskleidungsschicht in den Gräben; Bilden einer ersten siliziumreichen Schicht über der ersten Auskleidungsschicht, wobei das Bilden der ersten siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während einer ersten Anzahl von Zyklen; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während einer zweiten Anzahl von Zyklen, wobei die zweite Anzahl von Zyklen sich von der ersten Anzahl von Zyklen unterscheidet; und Bilden einer ersten dielektrischen Schicht über der ersten siliziumreichen Schicht.
  8. Verfahren nach Anspruch 7, wobei das Bilden der ersten siliziumreichen Schicht ferner umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet.
  9. Verfahren nach Anspruch 7 oder 8, wobei das konformale Bilden der ersten Auskleidungsschicht in den Gräben das Abscheiden eines dielektrischen Materials entlang von Seitenwänden und Böden der Gräben unter Verwendung eines Atomlagenabscheidungsverfahrens (Atomic Layer Deposition - ALD) umfasst.
  10. Verfahren nach einem der vorhergehenden Ansprüche 7 bis 9, wobei das Bilden der ersten dielektrischen Schicht das Strömenlassen eines dritten Siliziumvorläufers, eines zweiten Sauerstoffvorläufers und eines sauerstoffhaltigen Plasmas in die Prozesskammer während einer dritten Anzahl von Zyklen umfasst.
  11. Verfahren nach einem der vorhergehenden Ansprüche 7 bis 10, das ferner umfasst: Bilden von Opfer-Gates entlang von Seitenwänden und oberen Flächen der Finnen; konformales Bilden einer zweiten Auskleidungsschicht über den Opfer-Gates; Bilden einer zweiten siliziumreichen Schicht über der zweiten Auskleidungsschicht, wobei das Bilden der zweiten siliziumreichen Schicht umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet; und Bilden einer zweiten dielektrischen Schicht über der zweiten siliziumreichen Schicht.
  12. Verfahren nach einem der vorhergehenden Ansprüche 7 bis 11, das ferner umfasst: Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht; und nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung, Durchführen einer thermischen Behandlung auf der ersten dielektrischen Schicht.
  13. Verfahren nach Anspruch 12, wobei das Durchführen der Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht das Aussetzen der ersten dielektrischen Schicht gegenüber einer ultravioletten Strahlung in einer Sauerstoffumgebung umfasst.
  14. Verfahren, das umfasst: Bilden von Isolationsgebieten in einem Substrat, wobei ein Abschnitt des Substrats, der sich zwischen und über benachbarten Isolationsgebieten erstreckt, Finnen bildet; Bilden von Opfer-Gates entlang von Seitenwänden und oberen Flächen der Finnen; Bilden einer ersten Auskleidungsschicht entlang von Seitenwänden und über oberen Flächen der Opfer-Gates; Bilden einer ersten siliziumreichen Schicht über der ersten Auskleidungsschicht, wobei das Bilden der ersten siliziumreichen Schicht umfasst: Strömenlassen eines ersten Siliziumvorläufers in eine Prozesskammer während einer ersten Anzahl von Zyklen; und Strömenlassen eines zweiten Siliziumvorläufers und eines ersten Sauerstoffvorläufers in die Prozesskammer während einer zweiten Anzahl von Zyklen, wobei die zweite Anzahl von Zyklen sich von der ersten Anzahl von Zyklen unterscheidet; und Bilden einer ersten dielektrischen Schicht über der ersten siliziumreichen Schicht.
  15. Verfahren nach Anspruch 14, wobei das Bilden der ersten siliziumreichen Schicht ferner umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet.
  16. Verfahren nach Anspruch 14 oder 15, wobei das Bilden der Isolationsgebiete umfasst: Strukturieren des Substrats, um Gräben in dem Substrat zu bilden; konformales Bilden einer zweiten Auskleidungsschicht in den Gräben; Bilden einer zweiten siliziumreichen Schicht über der zweiten Auskleidungsschicht, wobei das Bilden der zweiten siliziumreichen Schicht umfasst: Strömenlassen eines dritten Siliziumvorläufers in die Prozesskammer während einer dritten Anzahl von Zyklen; und Strömenlassen eines vierten Siliziumvorläufers und eines zweiten Sauerstoffvorläufers in die Prozesskammer während einer vierten Anzahl von Zyklen, wobei die vierte Anzahl von Zyklen sich von der dritten Anzahl von Zyklen unterscheidet; und Bilden einer zweiten dielektrischen Schicht über der zweiten siliziumreichen Schicht.
  17. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 16, wobei das Bilden der ersten dielektrischen Schicht das Strömenlassen eines dritten Siliziumvorläufers, eines zweiten Sauerstoffvorläufers und eines sauerstoffhaltigen Plasmas in die Prozesskammer während einer dritten Anzahl von Zyklen umfasst.
  18. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 17, das ferner das Durchführen einer Ultraviolett/Sauerstoff-Behandlung auf der ersten dielektrischen Schicht umfasst.
  19. Verfahren nach Anspruch 18, das ferner nach dem Durchführen der Ultraviolett/Sauerstoff-Behandlung das Durchführen einer thermischen Behandlung auf der ersten dielektrischen Schicht umfasst.
  20. Verfahren nach einem der vorhergehenden Ansprüche 14 bis 19, wobei der erste Siliziumvorläufer und der zweite Siliziumvorläufer eine gleiche Chemikalie umfassen.
DE102019117007.9A 2018-07-16 2019-06-25 Dielektrischer spaltfüllungsprozess für halbleitervorrichtung Pending DE102019117007A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/036,054 US10748808B2 (en) 2018-07-16 2018-07-16 Dielectric gap-filling process for semiconductor device
US16/036,054 2018-07-16

Publications (1)

Publication Number Publication Date
DE102019117007A1 true DE102019117007A1 (de) 2020-01-16

Family

ID=69138807

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019117007.9A Pending DE102019117007A1 (de) 2018-07-16 2019-06-25 Dielektrischer spaltfüllungsprozess für halbleitervorrichtung

Country Status (5)

Country Link
US (4) US10748808B2 (de)
KR (1) KR102271587B1 (de)
CN (1) CN110729243B (de)
DE (1) DE102019117007A1 (de)
TW (1) TWI722471B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102655419B1 (ko) * 2019-05-14 2024-04-05 삼성전자주식회사 반도체 장치
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US12002766B2 (en) * 2020-08-18 2024-06-04 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having isolations between fins and comprising materials with different thermal expansion coefficients (CTE)

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW559984B (en) * 2000-10-11 2003-11-01 Macronix Int Co Ltd Method for producing shallow trench isolation
US6784077B1 (en) * 2002-10-15 2004-08-31 Taiwan Semiconductor Manufacturing Co. Ltd. Shallow trench isolation process
US7098502B2 (en) * 2003-11-10 2006-08-29 Freescale Semiconductor, Inc. Transistor having three electrically isolated electrodes and method of formation
KR101100428B1 (ko) * 2005-09-23 2011-12-30 삼성전자주식회사 SRO(Silicon Rich Oxide) 및 이를적용한 반도체 소자의 제조방법
US7622162B1 (en) * 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
KR101060256B1 (ko) * 2008-01-14 2011-08-30 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8772904B2 (en) * 2012-06-13 2014-07-08 United Microelectronics Corp. Semiconductor structure and process thereof
CN104979266B (zh) * 2014-04-02 2019-03-29 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构的形成方法
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
KR102224849B1 (ko) * 2015-03-24 2021-03-08 삼성전자주식회사 스트레서를 갖는 반도체 소자 및 그 제조 방법
CN106328702B (zh) * 2015-06-15 2020-03-06 联华电子股份有限公司 填充半导体元件间隙的方法及其形成的半导体元件
US9991154B2 (en) * 2016-02-25 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fin field effect transistor and a shallow trench isolation
US10535550B2 (en) * 2017-08-28 2020-01-14 International Business Machines Corporation Protection of low temperature isolation fill
US10332884B2 (en) * 2017-11-02 2019-06-25 United Microelectronics Corp. FinFET semiconductor device
US11462436B2 (en) * 2017-11-30 2022-10-04 Intel Corporation Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US10756204B2 (en) * 2017-11-30 2020-08-25 Intel Corporation Fin trim isolation with single gate spacing for advanced integrated circuit structure fabrication
US10510861B1 (en) * 2018-06-15 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Gaseous spacer and methods of forming same

Also Published As

Publication number Publication date
TW202006798A (zh) 2020-02-01
US11488855B2 (en) 2022-11-01
KR20200008506A (ko) 2020-01-28
KR102271587B1 (ko) 2021-07-05
US11742238B2 (en) 2023-08-29
US20200020569A1 (en) 2020-01-16
CN110729243B (zh) 2022-05-31
US20200365448A1 (en) 2020-11-19
US20220384248A1 (en) 2022-12-01
CN110729243A (zh) 2020-01-24
TWI722471B (zh) 2021-03-21
US20230360960A1 (en) 2023-11-09
US10748808B2 (en) 2020-08-18

Similar Documents

Publication Publication Date Title
DE102017123950B4 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102015113184B4 (de) Behandlung vor der Abscheidung und Atomlagenabscheidungs- (ALD) -Prozess und dabei gebildete Strukturen
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102015109820A1 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102020109494B3 (de) Geschnittene metallgate-befüllung mit lücke
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021102213A1 (de) Halbleitervorrichtungen mit rückseitiger Stromschiene und Verfahren dafür
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102018106191B4 (de) Verfahren zur selektiven bildung von gate-abstandshaltern eines finfets mittels eines fluorierungsprozesses
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021113257A1 (de) Halbleiterbauelement und Verfahren
DE102021113053A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102020101405B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020128835A1 (de) Halbleitervorrichtung und verfahren
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102023102401A1 (de) Halbleitervorrichtung und verfahren zum ausbilden von dieser
DE102020124631B4 (de) Mehrschichtiger isolierfilmstapel und verfahren zu seiner herstellung
DE102018101016A1 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication