DE102023101602A1 - Metallgatestruktur und verfahren zu deren herstellung - Google Patents

Metallgatestruktur und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102023101602A1
DE102023101602A1 DE102023101602.4A DE102023101602A DE102023101602A1 DE 102023101602 A1 DE102023101602 A1 DE 102023101602A1 DE 102023101602 A DE102023101602 A DE 102023101602A DE 102023101602 A1 DE102023101602 A1 DE 102023101602A1
Authority
DE
Germany
Prior art keywords
layer
gate
trench
metal
gate trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023101602.4A
Other languages
English (en)
Inventor
Tsung-Han Shen
Kevin Chang
Yu-Ming Li
Chih-Hsiang Fan
Yi-Ting Wang
Wei-Chin Lee
Hsien-Ming Lee
Chien-Hao Chen
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023101602A1 publication Critical patent/DE102023101602A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Composite Materials (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Ein Verfahren zum Herstellen einer Halbleitervorrichtung umfasst Folgendes: Erzeugen eines Gategrabens über einem Halbleitersubstrat; Abscheiden einer dielektrischen Gateschicht und einer Austrittsarbeitsschicht in dem Gategraben; Abscheiden einer Verkappungsschicht über der Austrittsarbeitsschicht; Passivieren eines Oberflächenteils der Verkappungsschicht, um eine Passivierungsschicht herzustellen; Entfernen der Passivierungsschicht; Abscheiden einer Füllschicht in dem Gategraben; Aussparen der Füllschicht und der Verkappungsschicht; und Herstellen einer metallischen Kontaktschicht über der Verkappungsschicht in dem Gategraben.

Description

  • Prioritätsangaben
  • Die vorliegende Anmeldung beansprucht die Priorität der am 3. März 2022 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 63/316.065 , die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.
  • Hintergrund
  • Die IC-Industrie (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Designs haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h., die Anzahl von miteinander verbundenen Vorrichtungen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h., die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionseffizienz und die Senkung der zugehörigen Kosten. Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht.
  • Ein Fortschritt, der bei kleiner werdenden Technologieknoten realisiert wird, ist bei einigen IC-Designs das Ersetzen eines Polysiliziumgates durch ein Metallgate, um die Vorrichtungsleistung bei den geringeren Strukturgrößen zu verbessern. Ein Verfahren zur Herstellung eines Metallgates wird als ein Ersatz-Gate-Prozess oder „Gate-Last-Prozess“ bezeichnet, bei dem das Metallgate „zuletzt“ hergestellt wird, was eine geringere Anzahl von Folgeprozessen ermöglicht. Ein Gate-Last-Prozess kann einen Metallgate-Spaltfüllprozess und einem Metallgate-Rückätzprozess umfassen. In dem Metallgate-Spaltfüllprozess werden verschiedene Metallschichten, wie etwa Austrittsarbeitsmetallschichten und metallische Füllschichten, nacheinander in einem Gategraben abgeschieden, der an einer Stelle erzeugt wird, die von einem Dummy-Gate reserviert wird. In dem Metallgate-Rückätzprozess werden die verschiedenen Schichten, die in dem Gategraben hergestellt sind, rückgeätzt, um Platz zum Herstellen einer Gate-Metallkappe zu sparen. Es gibt jedoch Probleme bei der Implementierung dieser IC-Herstellungsprozesse, insbesondere bei verkleinerten IC-Strukturelementen in modernen Prozessknoten. Ein Problem besteht darin, dass Hohlräume, die wegen ihres allgemein hohen Seitenverhältnisses oft als Nähte bezeichnet werden, während des Metallgate-Spaltfüllprozesses in dem Gategraben eingeschlossen werden können. Die Hohlräume können zu Durchgreifdefekten während des Metallgate-Rückätzprozesses führen und ein schlechtes Aufwachsen einer Gate-Metallkappe bewirken. Obwohl die derzeitigen Verfahren in vielerlei Hinsicht zufriedenstellend sind, sind immer noch weitere Verbesserungen bei der MetallgateHerstellung erforderlich, wenn Transistorabmessungen laufend kleiner werden und bis in den Bereich der Sub-10-nm-Technologieknoten vordringen.
  • Kurze Beschreibung der Zeichnungen
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Erläuterung dienen. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 zeigt eine perspektivische Darstellung eines Multigate-Transistors gemäß einigen Ausführungsformen.
    • 2 zeigt ein Ablaufdiagramm eines beispielhaften Verfahrens zum Herstellen einer Multigate-Transistorvorrichtung gemäß einigen Ausführungsformen.
    • Die 3 bis 20 zeigen Schnittansichten einer beispielhaften Multigate-Transistorvorrichtung gemäß einigen Ausführungsformen, die mit dem Verfahren von 2 hergestellt wird, während verschiedener Herstellungsstufen.
    • Die 21, 22 und 23 zeigen Schnittansichten einer weiteren beispielhaften Multigate-Transistorvorrichtung gemäß einigen Ausführungsformen.
    • 24 zeigt eine Schnittansicht einer noch weiteren beispielhaften Multigate-Transistorvorrichtung gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Offenbarung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder Strukturelements zu einem oder mehreren anderen Elementen oder Strukturelementen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90° gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können entsprechend interpretiert werden. Außerdem soll, wenn eine Anzahl oder ein Bereich von Anzahlen mit den Begriffen „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff Anzahlen umfassen, die innerhalb von ±10 % der angegebenen Anzahl liegen, wenn nicht anders angegeben. Zum Beispiel umfasst die Formulierung „etwa 5 nm“ einen Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Bei einigen Ausführungsformen der vorliegenden Offenbarung wird ein verbesserter Metallgate-Herstellungsprozess bereitgestellt, der bei einer von mehreren Vorrichtungsarten verwendet werden kann. Zum Beispiel können mit Ausführungsformen der vorliegenden Offenbarung Gatestapel hergestellt werden, die zur Verwendung in den folgenden Vorrichtungen geeignet sind: in planaren Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), (planaren oder vertikalen) Multigate-Transistoren, wie etwa FinFET-Vorrichtungen, Gate-all-around-Transistorvorrichtungen (GAA-Transistorvorrichtungen), vertikalen Transistorvorrichtungen, sowie in verspannten Halbleitervorrichtungen, Siliziumauf-Isolator-Vorrichtungen (SOI-Vorrichtungen), teilweise verarmten SOI-Vorrichtungen, vollständig verarmten SOI-Vorrichtungen oder dergleichen. Außerdem können hier beschriebene Ausführungsformen bei der Herstellung von p- und/oder n-Vorrichtungen verwendet werden.
  • Wenn Transistorabmessungen laufend verkleinert werden und bis in den Bereich der Sub-10-nm-Technologieknoten und darunter vordringen, kann ein Gategraben, der von einem Dummy-Gate über einer finnenähnlichen Struktur reserviert wird, die eine Finne für eine FinFET-Vorrichtung oder einen Stapel von Kanalschichten für eine GAA-Vorrichtung umfasst, ein hohes Seitenverhältnis und/oder ein Einschnürungsprofil haben. In der gesamten Beschreibung werden die Begriffe „finnenähnliche Struktur“ und „Finne“ der Einfachheit halber synonym verwendet.
  • Die Finnen können mit einem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen mit einem oder mehreren Fotolithografieprozessen, wie etwa Doppel- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Die Doppel- oder Mehrfachstrukturierungsprozesse vereinen fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Pitches haben, die kleiner als die sind, die sonst mit einem einzelnen direkten Fotolithografieprozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über einem Substrat eine Opferschicht hergestellt, die dann mit einem Fotolithografieprozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter können dann zum Strukturieren der Finnen verwendet werden.
  • Wenn verschiedene Metallschichten nacheinander in dem Gategraben abgeschieden werden, können aufgrund des hohen Seitenverhältnisses und/oder des Einschnürungsprofils leicht Nähte (oder Hohlräume) in dem Gategraben eingeschlossen werden. Während des Metallgate-Rückätzprozesses können Ätzmittel in die Nähte durchsickern und eine ungleichmäßige Ätzung der Metallschichten bewirken, was zu Durchgreifdefekten und schlechtem Aufwachsen der Gate-Metallkappe führt. Bei einigen Ausführungsformen wird nach dem Abscheiden einer Verkappungsschicht über einer Austrittsarbeitsmetallschicht (WFM-Schicht) ein Gradient-Passivierungsprozess (z. B. ein Gradient-Oxidationsprozess) durchgeführt, um einen Oberflächenteil der Verkappungsschicht zu passivieren. Der passivierte Oberflächenteil hat aufgrund des Gradient-Passivierungsprozesses eine größere Dicke in der Nähe der Öffnung des Gategrabens und eine kleinere Dicke in der Nähe der Unterseite des Gategrabens. Anschließend wird der passivierte Oberflächenteil in einem selektiven Ätzprozess entfernt. Durch das Entfernen des passivierten Oberflächenteils wird das vorhergehende Einschnürungsprofil des Gategrabens in ein U-förmiges (V-förmiges) Profil mit einer vergrößerten Öffnung umgewandelt. Die vergrößerte Öffnung erleichtert eine spätere Abscheidung einer Spaltfüllschicht zum Füllen des Gategrabens ohne eingeschlossene Nähte (oder mit weniger Nähten). Mit dem vorgeschlagenen Metallgate-Herstellungsprozess werden Gleichmäßigkeit und Integrität von Gate-Metallschichten verbessert, sodass eine bessere Leistung der Transistoren erzielt wird.
  • 1 zeigt ein Beispiel für einen Multigate-Transistor, wie etwa einen FinFET 10, in einer perspektivischen Darstellung. Der FinFET 10 weist ein Substrat 50 und eine Finne 64 auf, die über das Substrat 50 übersteht. Auf gegenüberliegenden Seiten der Finne 64 werden Isolationsbereiche 62 erzeugt, wobei die Finne 64 über die Isolationsbereiche 62 übersteht. Ein Gatedielektrikum 66 ist entlang Seitenwänden und über einer Oberseite der Finne 64 angeordnet, und ein Gate 68 ist über dem Gatedielektrikum 66 angeordnet. In der Finne 64 und auf gegenüberliegenden Seiten des Gatedielektrikums 66 und des Gates 68 sind Source/Drainbereiche 80 angeordnet. „Source/Drainbereiche“ können in Abhängigkeit von dem Kontext einzeln oder kollektiv eine Source oder einen Drain bezeichnen. 1 zeigt außerdem Referenzquerschnitte, die in späteren Figuren verwendet werden. Ein Querschnitt B - B verläuft entlang einer Längsachse des Gates 68 des FinFET 10. Ein Querschnitt A - A ist senkrecht zu dem Querschnitt B - B und verläuft entlang einer Längsachse der Finne 64 und zum Beispiel in einer Richtung eines Stromflusses zwischen den Source/Drainbereichen 80. Spätere Figuren beziehen sich der Übersichtlichkeit halber auf diese Referenzquerschnitte.
  • 2 zeigt ein Ablaufdiagramm eines Verfahrens 100 zum Herstellen einer nichtplanaren Transistorvorrichtung gemäß einer oder mehreren Ausführungsformen der vorliegenden Offenbarung. Zum Beispiel können zumindest einige der Operationen des Verfahrens 100 zum Herstellen einer FinFET-Vorrichtung, einer GAA-Transistorvorrichtung, einer vertikalen Transistorvorrichtung oder dergleichen verwendet werden. Es ist zu beachten, dass das Verfahren 100 lediglich ein Beispiel ist und die vorliegende Offenbarung nicht beschränken soll. Es versteht sich daher, dass weitere Operationen vor, während und nach dem Verfahren 100 von 2 vorgesehen werden können und einige andere Operationen hier möglicherweise nur kurz beschrieben werden. Bei einigen Ausführungsformen können Operationen des Verfahrens 100 mit Schnittansichten einer beispielhaften Halbleitervorrichtung 200 (oder Vorrichtung 200) auf verschiedenen Herstellungsstufen assoziiert sein, die in den 3 bis 24 gezeigt sind und später näher beschrieben werden.
  • Die 3 bis 24 zeigen jeweils in einer Schnittansicht einen Teil der Vorrichtung 200 auf verschiedenen Stufen des Verfahrens 100 von 2. Bei den dargestellten Ausführungsformen ist die Vorrichtung 200 der in 1 gezeigten FinFET-Vorrichtung 10 ähnlich, in der ein Kanalbereich eines Transistors von einer Finne bereitgestellt wird, die zusammenhängend aus einem Substrat herausragt. Bei verschiedenen weiteren Ausführungsformen kann die Vorrichtung 200 ein Transistor mit einem Kanalbereich sein, der von einer Mehrzahl von Nanolagen oder Nanodrähten bereitgestellt wird, die vertikal über einem Substrat aufeinandergestapelt sind, wie etwa ein GAA-Transistor. Die 3 bis 6 zeigen Schnittansichten der Vorrichtung 200 entlang dem Querschnitt B - B. Die 7 bis 24 zeigen Schnittansichten der Vorrichtung 200 entlang dem Querschnitt A - A.
  • Entsprechend einer Operation 102 von 2 ist 3 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die ein Halbleitersubstrat 202 (oder Substrat 202) aufweist. Das Substrat 202 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Substrat 202 kann ein Wafer, wie etwa ein Siliziumwafer, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat hergestellt, normalerweise einem Silizium- oder Glassubstrat. Andere Substrate, wie etwa ein mehrschichtiges oder Gradient-Substrat, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 202 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon.
  • Entsprechend einer Operation 104 von 2 ist 4 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine (Halbleiter-)Finne 204 aufweist. Bei der dargestellten Ausführungsform von 4 (und in den folgenden Figuren) ist zwar nur eine Finne gezeigt, aber es versteht sich, dass die Vorrichtung 200 jede Anzahl von Finnen aufweisen kann, ohne von dem Schutzumfang der vorliegenden Offenbarung abzuweichen. Bei einigen Ausführungsformen wird die Finne 204 durch Strukturieren des Substrats 202 zum Beispiel mit Fotolithografie- und Ätzverfahren hergestellt. Zum Beispiel wird eine Maskenschicht, wie etwa eine Padoxidschicht 206 und eine darüber befindliche Padnitridschicht 208, über dem Substrat 202 hergestellt. Die Padoxidschicht 206 kann eine dünne Schicht sein, die Siliziumoxid enthält, das zum Beispiel mit einem thermischen Oxidationsprozess hergestellt wird. Die Padoxidschicht 206 kann als eine Haftschicht zwischen dem Substrat 202 und der darüber befindlichen Padnitridschicht 208 fungieren. Bei einigen Ausführungsformen besteht die Padnitridschicht 208 aus Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon. Die Padnitridschicht 208 kann zum Beispiel durch chemische Gasphasenabscheidung bei Tiefdruck (LPCVD) oder plasmaunterstützte chemische Gasphasenabscheidung (PECVD) hergestellt werden.
  • Die Maskenschicht kann mit Fotolithografieverfahren strukturiert werden. Im Allgemeinen wird bei Fotolithografieverfahren ein Fotoresistmaterial (nicht dargestellt) verwendet, das abgeschieden, bestrahlt (belichtet) und entwickelt wird, um einen Teil des Fotoresistmaterials zu entfernen. Das verbliebene Fotoresistmaterial schützt das darunter befindliche Material, wie etwa die Maskenschicht in diesem Beispiel, gegen spätere Bearbeitungsschritte, wie etwa Ätzen. In diesem Beispiel wird das Fotoresistmaterial zum Strukturieren der Padoxidschicht 206 und der Padnitridschicht 208 verwendet, um eine strukturierte Maske 210 herzustellen, wie in 4 gezeigt ist.
  • Die strukturierte Maske 210 wird anschließend zum Strukturieren von freiliegenden Teilen des Substrats 202 verwendet, um Gräben (oder Öffnungen) 212 zu erzeugen, wodurch eine Finne 204 zwischen benachbarten Gräben 212 definiert wird, wie in 4 gezeigt ist. Wenn mehrere Finnen 204 hergestellt werden, kann ein solcher Graben zwischen benachbarten der Finnen 204 angeordnet werden. Bei einigen Ausführungsformen wird die Finne 204 durch Ätzen von Gräben in dem Substrat 202 zum Beispiel durch reaktive Ionenätzung (RIE), Neutralstrahlätzung (NBE) oder dergleichen oder eine Kombination davon hergestellt. Der Ätzprozess kann anisotrop sein. Bei einigen Ausführungsformen können die Gräben 212 Streifen (von oben betrachtet) sein, die zueinander parallel sind und eng aneinanderliegend angeordnet sind. Bei einigen Ausführungsformen können die Gräben 212 zusammenhängend sein und die Finne 204 umschließen.
  • Die Finne 204 kann mit einem geeigneten Verfahren strukturiert werden. Zum Beispiel kann die Finne 204 mit einem oder mehreren Fotolithografieprozessen, wie etwa Doppel- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppel- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Pitches haben, die kleiner als die sind, die andernfalls mit einem einzelnen direkten Fotolithografieprozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform über einem Substrat eine Opferschicht hergestellt, die dann mit einem Fotolithografieprozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter, oder Dorne, können dann zum Strukturieren der Finne verwendet werden.
  • Entsprechend einer Operation 106 von 2 ist 5 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die Isolationsbereiche 214 aufweist. Die Isolationsbereiche 214, die aus einem Isoliermaterial hergestellt sind, können benachbarte Finnen gegeneinander elektrisch isolieren. Das Isoliermaterial kann ein Oxid, wie etwa Siliziumoxid, ein Nitrid oder dergleichen oder eine Kombination davon, sein und kann durch CVD mit einem Plasma hoher Dichte (HDP-CVD), fließfähige CVD (FCVD) (z. B. eine Materialabscheidung auf CVD-Basis in einem Remote-Plasma-System und Nachhärten zum Umwandeln in ein anderes Material, wie etwa ein Oxid) oder dergleichen oder eine Kombination davon abgeschieden werden. Andere Isoliermaterialien und/oder andere Herstellungsverfahren können ebenfalls verwendet werden. Bei der dargestellten Ausführungsform ist das Isoliermaterial Siliziumoxid, das mit einem FCVD-Prozess abgeschieden wird. Nachdem das Isoliermaterial abgeschieden worden ist, kann ein Temperprozess durchgeführt werden. Mit einem Planarisierungsprozess, wie etwa einer chemisch-mechanische Polierung (CMP), kann überschüssiges Isoliermaterial entfernt werden, und es können Oberseiten der Isolationsbereiche 214 und eine Oberseite der Finne 204 hergestellt werden, die koplanar sind (nicht dargestellt; die Isolationsbereiche 214 werden ausgespart, wie in 5 gezeigt ist). Die strukturierte Maske 210 (4) kann ebenfalls mit dem Planarisierungsprozess entfernt werden.
  • Bei einigen Ausführungsformen weisen die Isolationsbereiche 214 einen Belag, z. B. einen Oxidbelag (nicht dargestellt), an der Grenzfläche zwischen dem Isolationsbereich 214 und dem Substrat 202 (Finne 204) auf. Bei einigen Ausführungsformen wird der Oxidbelag zum Reduzieren von Kristallfehlern an der Grenzfläche zwischen dem Substrat 202 und dem Isolationsbereich 214 hergestellt. Ebenso kann der Oxidbelag zum Reduzieren von Kristallfehlern an der Grenzfläche zwischen der Finne 204 und dem Isolationsbereich 214 verwendet werden. Der Oxidbelag (z. B. Siliziumoxid) kann ein thermisches Oxid sein, das durch thermische Oxidation einer Oberflächenschicht des Substrats 202 hergestellt wird, aber es können auch andere geeignete Verfahren zum Herstellen des Oxidbelags verwendet werden.
  • Dann werden die Isolationsbereiche 214 ausgespart, um STI-Bereiche 214 (STI: flache Grabenisolation) zu erzeugen. Die Isolationsbereiche 214 werden so ausgespart, dass die oberen Teile der Finne 204 zwischen benachbarten STI-Bereichen 214 herausragen. Die Oberseiten der STI-Bereiche 214 können eine ebene Oberfläche (wie dargestellt), eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa „Dishing“) oder eine Kombination davon haben. Die Oberseiten der STI-Bereiche 214 können mit einer geeigneten Ätzung plan, konvex und/oder konkav hergestellt werden. Die Isolationsbereiche 214 können mit einem geeigneten Ätzprozess ausgespart werden, wie etwa einem, der für das Material der Isolationsbereiche 214 selektiv ist. Zum Beispiel kann eine Trockenätzung oder eine Nassätzung unter Verwendung von verdünnter Fluorwasserstoffsäure (dHF-Säure) durchgeführt werden, um die Isolationsbereiche 214 auszusparen.
  • Die 3 bis 5 zeigen eine Ausführungsform zum Herstellen einer oder mehrerer Finnen (wie etwa der Finnen 204), aber die Finnen können auch mit verschiedenen anderen Verfahren hergestellt werden. Zum Beispiel kann ein oberer Teil des Substrats 202 durch ein geeignetes Material ersetzt werden, wie etwa ein epitaxiales Material, das für eine angestrebte Dotierungsart (z. B. n- oder p-leitend) von herzustellenden Halbleitervorrichtungen geeignet ist. Anschließend wird das Substrat 202 mit dem epitaxialen Material darauf strukturiert, um die Finne 204 herzustellen, die das epitaxiale Material aufweist.
  • Als ein weiteres Beispiel kann eine dielektrische Schicht über einer Oberseite eines Substrats hergestellt werden; Gräben können durch die dielektrische Schicht geätzt werden; homoepitaxiale Strukturen können epitaxial in den Gräben aufgewachsen werden; und die dielektrische Schicht kann so ausgespart werden, dass die homoepitaxialen Strukturen aus der dielektrischen Schicht herausragen und eine oder mehrere Finnen bilden.
  • In einem noch weiteres Beispiel kann eine dielektrische Schicht über einer Oberseite eines Substrats hergestellt werden; Gräben können durch die dielektrische Schicht geätzt werden; heteroepitaxiale Strukturen können unter Verwendung eines von dem Substrat verschiedenen Materials epitaxial in den Gräben aufgewachsen werden; und die dielektrische Schicht kann so ausgespart werden, dass die heteroepitaxialen Strukturen aus der dielektrischen Schicht herausragen und eine oder mehrere Finnen bilden.
  • Bei Ausführungsformen, bei denen ein oder mehrere epitaxiale Materialien oder epitaxiale Strukturen (z. B. die heteroepitaxialen Strukturen oder die homoepitaxialen Strukturen) aufgewachsen werden, können das eine oder die mehreren aufgewachsenen Materialien oder Strukturen in situ während des Aufwachsens dotiert werden, sodass vorhergehende und nachfolgende Implantationen entfallen können, aber In-situ- und Implantationsdotierung können auch gemeinsam verwendet werden. Darüber hinaus kann es vorteilhaft sein, ein Material in einem NMOS-Bereich epitaxial aufzuwachsen, das von einem Material in einem PMOS-Bereich verschieden ist. Bei verschiedenen Ausführungsformen kann die Finne 204 Siliziumgermanium (SixGe1-x, wobei x 0 bis 1 sein kann), Siliziumcarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter oder dergleichen aufweisen. Die Materialien, die zum Herstellen des III-V-Verbindungshalbleiters verwendet werden können, sind unter anderem InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen.
  • Entsprechend einer Operation 108 von 2 ist 6 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine Dummy-Gatestruktur 220 aufweist. Die Dummy-Gatestruktur 220 weist bei einigen Ausführungsformen ein Dummy-Gatedielektrikum 202 und eine Dummy-Gateelektrode 224 auf. Über der Dummy-Gatestruktur 220 kann eine Maske 226 hergestellt werden. Zum Herstellen der Dummy-Gatestruktur 220 wird eine dielektrische Schicht auf der Finne 204 hergestellt. Die dielektrische Schicht kann zum Beispiel Siliziumoxid, Siliziumnitrid, Multischichten davon oder dergleichen aufweisen, und sie kann abgeschieden oder thermisch aufgewachsen werden.
  • Über der dielektrischen Schicht wird eine Gateelektrodenschicht hergestellt, und über der Gateelektrodenschicht wird eine Maskenschicht hergestellt. Die Gateelektrodenschicht kann über der dielektrischen Schicht abgeschieden werden und dann zum Beispiel mit einer CMP planarisiert werden. Über der Gateelektrodenschicht kann die Maskenschicht abgeschieden werden. Die Gateelektrodenschicht kann zum Beispiel aus Polysilizium hergestellt werden, aber es können auch andere Materialien verwendet werden. Die Maskenschicht kann zum Beispiel aus Siliziumnitrid oder dergleichen hergestellt werden.
  • Nachdem die Schichten (z. B. die dielektrische Schicht, die Gateelektrodenschicht und die Maskenschicht) hergestellt worden sind, kann die Maskenschicht mit geeigneten Fotolithografie- und Ätzverfahren strukturiert werden, um die Maske 226 herzustellen. Die Struktur der Maske 226 kann dann mit einem geeigneten Ätzverfahren auf die Gateelektrodenschicht und die dielektrische Schicht übertragen werden, um die Dummy-Gateelektrode 224 bzw. das tieferliegende Dummy-Gatedielektrikum 222 herzustellen. Die Dummy-Gateelektrode 224 und das Dummy-Gatedielektrikum 222 bedecken einen mittleren Teil (z. B. einen Kanalbereich) der Finne 204. Die Dummy-Gateelektrode 224 kann außerdem eine Längsrichtung (z. B. die Richtung B - B von 1) haben, die im Wesentlichen senkrecht zu einer Längsrichtung (z. B. der Richtung A - A von 1) der Finne 204 ist.
  • In dem Beispiel von 6 ist gezeigt, dass das Dummy-Gatedielektrikum 222 über der Finne 204 (z. B. über einer Oberseite und Seitenwänden der Finne 204) und über den STI-Bereichen 214 hergestellt wird. Bei anderen Ausführungsformen kann das Dummy-Gatedielektrikum 222 z. B. durch thermische Oxidation eines Materials der Finne 204 hergestellt werden, und es kann daher über der Finne 204, aber nicht über den STI-Bereichen 214 hergestellt werden. Es versteht sich, dass diese und weitere Abwandlungen ebenfalls innerhalb des Schutzumfangs der vorliegenden Offenbarung liegen.
  • Die 7 bis 24 zeigen Schnittansichten einer weiteren Bearbeitung der Vorrichtung 200 entlang dem Querschnitt A - A (entlang einer Längsachse der Finne 204).
  • Entsprechend einer Operation 110 von 2 ist 7 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die mehrere leicht dotierte Drainbereiche (LDD-Bereiche) 230 aufweist, die in der Finne 204 erzeugt werden. Die LDD-Bereiche 230 können mit einem Plasmadotierungsprozess erzeugt werden. Der Plasmadotierungsprozess kann das Herstellen und Strukturieren von Masken, wie etwa eines Fotoresists, zum Bedecken der Bereiche der Vorrichtung 200 umfassen, die gegen den Plasmadotierungsprozess geschützt werden sollen. Bei dem Plasmadotierungsprozess können n- oder p-Dotierungsstoffe in die Finne 204 implantiert werden, um die LDD-Bereiche 230 zu erzeugen. Zum Beispiel können p-Dotierungsstoffe wie Bor in die Finne 204 implantiert werden, um LDD-Bereiche 230 für eine p-Vorrichtung zu erzeugen. Als ein weiteres Beispiel können n-Dotierungsstoffe wie Phosphor in die Finne 204 implantiert werden, um LDD-Bereiche 230 für eine n-Vorrichtung zu erzeugen. Bei einigen Ausführungsformen grenzen die LDD-Bereiche 230 an einen der Kanalbereiche der Vorrichtung 200 an (z. B. den mittleren Teil der Finne 204, der von einer der Dummy-Gatestrukturen 220 überdeckt ist). Teile der LDD-Bereiche 230 können sich unter der Dummy-Gatestruktur 220 und in den Kanalbereich der Vorrichtung 200 erstrecken. 7 zeigt ein nicht-beschränkendes Beispiel für die LDD-Bereiche 230. Andere Konfigurationen, Formen und Herstellungsverfahren für die LDD-Bereiche 230 sind ebenfalls möglich und sollen vollständig innerhalb des Schutzumfangs der vorliegenden Offenbarung liegen. Zum Beispiel können die LDD-Bereiche 230 nach der Herstellung von Gate-Abstandshaltern 232 erzeugt werden. Bei einigen Ausführungsformen werden die LDD-Bereiche 230 weggelassen.
  • Bleiben wir bei 7. Nachdem die LDD-Bereiche 230 erzeugt worden sind, werden bei einigen Ausführungsformen erste Gate-Abstandshalter 234 um die Dummy-Gatestrukturen 220 (z. B. entlang von und in Kontakt mit Seitenwänden der Dummy-Gatestrukturen 220) hergestellt, und zweite Gate-Abstandshalter 236 werden um die ersten Gate-Abstandshalter 234 (z. B. entlang von und in Kontakt mit Seitenwänden der ersten Gate-Abstandshalter 234) hergestellt. Die ersten Gate-Abstandshalter 234 können zum Beispiel auf gegenüberliegenden Seitenwänden der Dummy-Gatestruktur 220 hergestellt werden. Die zweiten Gate-Abstandshalter 236 können auf den ersten Gate-Abstandshaltern 234 hergestellt werden. Es versteht sich, dass jede Anzahl von Gate-Abstandshaltern um die Dummy-Gatestrukturen 220 hergestellt werden kann, ohne von dem Schutzumfang der vorliegenden Offenbarung abzuweichen. Der erste Gate-Abstandshalter 234 und der zweite Gate-Abstandshalter 236 werden kollektiv als Gate-Abstandshalter 232 bezeichnet. Die Formen und Herstellungsverfahren für die Gate-Abstandshalter 232, die in 7 (und den folgenden Figuren gezeigt sind) sind lediglich nicht-beschränkende Beispiele, und andere Formen und Herstellungsverfahren sind ebenfalls möglich. Diese und weitere Abwandlungen sollen vollständig innerhalb des Schutzumfangs der vorliegenden Offenbarung liegen.
  • Der erste Gate-Abstandshalter 234 kann ein Low-k-Abstandshalter sein, der aus einem geeigneten dielektrischen Material wie Siliziumoxid, Siliziumoxidcarbonitrid oder dergleichen hergestellt werden kann. Der zweite Gate-Abstandshalter 236 kann aus einem Nitrid wie Siliziumnitrid, Siliziumoxidnitrid, Siliziumcarbonitrid oder dergleichen oder einer Kombination davon hergestellt werden. Zum Herstellen des ersten Gate-Abstandshalters 234 und des zweiten Gate-Abstandshalters 236 kann ein geeignetes Abscheidungsverfahren wie thermische Oxidation, CVD oder dergleichen verwendet werden. Bei verschiedenen Ausführungsformen können der erste Gate-Abstandshalter 234 und der zweite Gate-Abstandshalter 236 aus unterschiedlichen Materialien hergestellt werden, um eine Ätzselektivität bei der späteren Bearbeitung zu ermöglichen.
  • Entsprechend einer Operation 112 von 2 ist 8 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die mehrere Source/Drainbereiche 238 aufweist. Die Source/Drainbereiche 238 werden in Aussparungen der Finne 204 benachbart zu den Dummy-Gatestrukturen 220 erzeugt. Zum Beispiel werden die Source/Drainbereiche 238 und die Dummy-Gatestrukturen 220 abwechselnd angeordnet. Mit anderen Worten, ein Source/Drainbereich 238 wird zwischen benachbarten Dummy-Gatestrukturen 220 angeordnet, und/oder nur eine Seite des Source/Drainbereichs 238 befindet sich neben einer Dummy-Gatestruktur 220 angeordnet. Die Aussparungen werden bei einigen Ausführungsformen z. B. mit einem anisotropen Ätzprozess unter Verwendung der Dummy-Gatestrukturen 220 als eine Ätzmaske erzeugt, aber es kann auch ein anderer geeigneter Ätzprozess verwendet werden.
  • Die Source/Drainbereiche 238 werden durch epitaxiales Aufwachsen eines Halbleitermaterials in der Aussparung mit geeigneten Verfahren wie metallorganische CVD (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphasenepitaxie (LPE), Dampfphasenepitaxie (VPE), selektives epitaxiales Aufwachsen (SEG) oder dergleichen oder einer Kombination davon erzeugt.
  • Wie in 8 gezeigt ist, können die epitaxialen Source/Drainbereiche 238 Oberflächen haben, die gegenüber jeweiligen Oberflächen der Finnen 204 erhaben sind (z. B. über die nicht-ausgesparten Teile der Finne 204 überstehen), und sie können Abschrägungen haben. Bei einigen Ausführungsformen können die Source/Drainbereiche 238 benachbarter Finnen zu einem zusammenhängenden epitaxialen Source/Drainbereich (nicht dargestellt) verschmelzen. Bei einigen Ausführungsformen verschmelzen die Source/Drainbereiche 238 benachbarter Finnen möglicherweise nicht miteinander und bleiben getrennte Source/Drainbereiche 238 (nicht dargestellt). Bei einigen Ausführungsformen können, wenn die resultierende Vorrichtung ein n-Transistor ist, die Source/Drainbereiche 238 Siliziumcarbid (SiC), Siliziumphosphor (SiP), mit Phosphor dotierten Silizium-Kohlenstoff (SiCP) oder dergleichen auf aufweisen. Bei einigen Ausführungsformen können, wenn die resultierende Vorrichtung ein p-Transistor ist, die Source/Drainbereiche 238 SiGe und einen p-Dotierungsstoff wie Bor oder Indium aufweisen.
  • Die epitaxialen Source/Drainbereiche 238 können mit Dotanden implantiert werden, um die Source/Drainbereiche 238 zu erzeugen, und anschließend kann ein Temperprozess durchgeführt werden. Der Implantationsprozess kann ein Herstellen und Strukturieren von Masken, wie etwa eines Fotoresists, umfassen, um die Bereiche der Vorrichtung 200 zu bedecken, die gegen den Implantationsprozess geschützt werden sollen. Die Source/Drainbereiche 238 können eine Dotierungskonzentration von etwa 1 × 1019 cm-3 bis etwa 1 × 1021 cm-3 haben. P-Dotierungsstoffe, wie etwa Bor oder Indium, können in den Source/Drainbereich 238 eines p-Transistors implantiert werden. N-Dotierungsstoffe, wie etwa Phosphor oder Arsen, können in den Source/Drainbereich 238 eines n-Transistors implantiert werden. Bei einigen Ausführungsformen können die epitaxialen Source/Drainbereiche 238 in situ während des Aufwachsens dotiert werden.
  • Entsprechend einer Operation 114 von 2 ist 9 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die ein Zwischenschichtdielektrikum (ILD) 240 aufweist. Bei einigen Ausführungsformen wird vor dem Herstellen des ILD 240 eine Kontakt-Ätzstoppschicht (CESL) 242 über der in 9 gezeigten Struktur hergestellt. Die CESL 242 kann als eine Ätzstoppschicht in einem späteren Ätzprozess fungieren, und sie kann ein geeignetes Material wie Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, Kombinationen davon oder dergleichen aufweisen und mit einem geeigneten Herstellungsverfahren wie CVD, PVD, Kombinationen davon oder dergleichen hergestellt werden.
  • Dann wird das ILD 240 über der CESL 242 und über der Dummy-Gatestruktur 220 hergestellt. Bei einigen Ausführungsformen besteht das ILD 240 aus einem dielektrischen Material, wie etwa Siliziumoxid, Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG), undotiertem Silicatglas (USG) oder dergleichen, und es kann mit einem geeigneten Verfahren wie CVD, PECVD oder FCVD abgeschieden werden. Nachdem das ILD 240 abgeschieden worden ist, wird eine dielektrische Schicht 246 über dem ILD 240 hergestellt. Die dielektrische Schicht 246 kann als eine Schutzschicht funktionieren, um den Verlust des ILD 240 in späteren Ätzprozessen zu verhindern oder zu reduzieren. Die dielektrische Schicht 246 kann aus einem geeigneten Material wie Siliziumnitrid, Siliziumcarbonitrid oder dergleichen mit einem geeigneten Verfahren wie CVD, PECVD oder FCVD hergestellt werden. Nachdem die dielektrische Schicht 246 hergestellt worden ist, kann ein Planarisierungsprozess, wie etwa ein CMP-Prozess, durchgeführt werden, um eine plane Oberseite für die dielektrische Schicht 246 zu erzielen. Mit der CMP können auch die Maske 226 und Teile der CESL 242 entfernt werden, die sich über der Dummy-Gateelektrode 224 befinden. Nach dem Planarisierungsprozess ist die Oberseite der dielektrischen Schicht 246 bei einigen Ausführungsformen auf gleicher Höhe mit der Oberseite der Dummy-Gateelektrode 224.
  • Anschließend wird ein beispielhafter Gate-Last-Prozess (der gelegentlich als ein Ersatz-Gate-Prozess bezeichnet wird) durchgeführt, um die Dummy-Gateelektrode 224 und das Dummy-Gatedielektrikum 222 der Dummy-Gatestruktur 220 durch ein Metallgate (das auch als ein Ersatzgate oder ein aktives Gate bezeichnet werden kann) zu ersetzen.
  • Entsprechend einer Operation 116 von 2 ist 10 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, bei der die Dummy-Gatestruktur 220 (9) entfernt wird, um einen Gategraben 250 zu erzeugen. Während des Entfernens der Dummy-Gatestruktur 220 können auch der erste Gate-Abstandshalter 234 und der zweite Gate-Abstandshalter 236 teilgeätzt werden, sodass ein oberer Teil des Gategrabens 250 dadurch horizontal aufgeweitet wird, dass relativ obere Teile des ersten Gate-Abstandshalters 234 und des zweiten Gate-Abstandshalters 236 entfernt werden. Der resultierende Gategraben 250 umfasst einen oberen Graben 250U und einen unteren Graben 250L, wobei der obere Graben 250U horizontal breiter als der untere Graben 250L ist. Einzelheiten der Erzeugung des Gategrabens 250 werden später erörtert.
  • Bei einigen Ausführungsformen werden zum Entfernen der Dummy-Gatestruktur 220 ein oder mehrere Ätzschritte durchgeführt, um die Dummy-Gateelektrode 224 und das Dummy-Gatedielektrikum 222 direkt unter der Dummy-Gateelektrode 224 zu entfernen, sodass Gräben 250 (die auch als Aussparungen bezeichnet werden können) zwischen jeweiligen ersten Gate-Abstandshaltern 234 entstehen. Der Gategraben 250 legt den Kanalbereich der Finne 204 frei. Während der Dummy-Gate-Entfernung kann das Dummy-Gatedielektrikum 222 als eine Ätzstoppschicht verwendet werden, wenn die Dummy-Gateelektrode 224 geätzt wird. Nach dem Entfernen der Dummy-Gateelektrode 224 kann auch das Dummy-Gatedielektrikum 222 entfernt werden.
  • Dann wird ein anisotroper Ätzprozess, wie etwa ein Trockenätzprozess, durchgeführt, um obere Teile des ersten Gate-Abstandshalters 234 zu entfernen. Bei einigen Ausführungsformen wird der anisotrope Ätzprozess unter Verwendung eines Ätzmittels durchgeführt, das in Bezug auf das Material des ersten Gate-Abstandshalters 234 selektiv ist (z. B. eine höhere Ätzrate für dieses hat), sodass der erste Gate-Abstandshalter 234 ausgespart wird (z. B. obere Teile entfernt werden). Durch den ausgesparten ersten Gate-Abstandshalter 234 werden obere Seitenwände des zweiten Gate-Abstandshalters 236 freigelegt. Der zweite Gate-Abstandshalter 236 kann aufgrund der begrenzten Ätzselektivität des Ätzmittels außerdem einen Ätzverlust erleiden, sodass die freigelegten oberen Seitenwände lateral ausgespart werden. Die laterale Aussparung der freigelegten oberen Seitenwände des zweiten Gate-Abstandshalters 236 ist zum Beispiel aufgrund von Aufladungseffekten möglicherweise nicht gleichmäßig. Wie in 10 gezeigt ist, wird ein Teil der freigelegten oberen Seitenwände des zweiten Gate-Abstandshalters 236, der sich in der Mitte des Gategrabens 250 befindet, lateral stärker als andere Teile ausgespart.
  • Wie in 10 gezeigt ist, hat nach dem Entfernen der oberen Teile der ersten Gate-Abstandshalter 234 der Gategraben 250 einen oberen Graben 250U und einen unteren Graben 250L. Der untere Graben 250L befindet sich zwischen den verbliebenen unteren Teilen des ersten Gate-Abstandshalters 234. Der obere Graben 250U befindet sich über dem unteren Graben 250L und wird von den freigelegten oberen Seitenwänden des zweiten Gate-Abstandshalters 236 definiert (z. B. begrenzt). Der Gategraben 250 hat einen breiteren oberen Graben 250U und einen schmalen unteren Graben 250L, der dem Buchstaben „Y“ ähnelt, und daher kann der Gategraben 250 gelegentlich auch als ein Y-förmiger Gategraben bezeichnet werden.
  • Bei einigen Ausführungsformen hat der obere Graben 250U eine Breite W1 (d. h., einen Abstand zwischen jeweiligen gegenüberliegenden oberen Seitenwänden des zweiten Gate-Abstandshalters 236) an seinem obersten Teil von etwa 18 nm bis etwa 23 nm, eine Breite W2 an seiner größten Öffnung (W2 > W1) von etwa 20 nm bis etwa 25 nm und eine Tiefe H1 (d. h., einen Abstand zwischen einer Oberseite des zweiten Gate-Abstandshalters 236 und dem obersten Teil des ersten Gate-Abstandshalters 234)von etwa 30 nm bis etwa 80 nm. Der untere Graben 250L hat eine Breite W3 (d. h., einen Abstand zwischen jeweiligen gegenüberliegenden Seitenwänden der übrigen unteren Teile des ersten Gate-Abstandshalters 234)von etwa 5 nm bis etwa 10 nm (W2 > W1 > W3) und eine Tiefe H2 (d. h., einen Abstand zwischen einer Unterseite des Gategrabens 250 und dem obersten Teil des ersten Gate-Abstandshalters 234) von etwa 60 nm bis etwa 100 nm. Wie bei der späteren Bearbeitung dargelegt wird, wird bei einigen Ausführungsformen in dem unteren Graben 250L ein Metallgate hergestellt. Zum Beispiel wird zum Füllen des oberen Grabens 250U und des unteren Grabens 250L ein Gate-Elektrodenmaterial verwendet, das anschließend ausgespart wird, um die Gateelektrode des Metallgates in dem unteren Graben 250L zu halten. Daher kann eine Größe des unteren Grabens 250L eine Größe des Metallgates und eine Größe der Gateelektrode bestimmen. Das Einschnürungsprofil des oberen Grabens 250U kann jedoch bewirken, dass Nähte in dem Gategraben eingeschlossen werden, was zu einer ungleichmäßigen Ätzung während des Aussparens des Metallgates und somit zu Durchgreifdefekten führt.
  • Entsprechend einer Operation 118 von 2 ist 11 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine dielektrische Gateschicht 252 aufweist. Bei einigen Ausführungsformen wird die dielektrische Gateschicht 252 konform in dem Gategraben 250 abgeschieden, wie etwa auf den Oberseiten und den Seitenwänden der Finne 204, auf den Oberseiten und den Seitenwänden der Gate-Abstandshalter 232 und auf einer Oberseite der dielektrischen Schicht 246. Bei einigen Ausführungsformen weist die dielektrische Gateschicht 252 Siliziumoxid, Siliziumnitrid oder Multischichten davon auf. Bei beispielhaften Ausführungsformen weist die dielektrische Gateschicht 252 ein dielektrisches High-k-Material auf, und bei diesen Ausführungsformen kann die dielektrische Gateschicht 252 einen k-Wert haben, der größer als etwa 7,0 ist, und sie kann ein Metalloxid oder ein Silicat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon aufweisen. Als Herstellungsverfahren für die dielektrische Gateschicht 252 können Molekularstrahlepitaxie (MBD), Atomlagenabscheidung (ALD), PECVD und dergleichen verwendet werden. Eine Dicke der dielektrischen Gateschicht 252 kann zum Beispiel etwa 8 Å bis etwa 20 Å betragen.
  • Entsprechend einer Operation 120 von 2 ist 12 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine Austrittsarbeitsschicht 254 aufweist. Die Austrittsarbeitsschicht 254 wird (z. B. konform) über der dielektrischen Gateschicht 252 hergestellt. Die Austrittsarbeitsschicht 254 kann bei einigen Ausführungsformen eine p-Austrittsarbeitsschicht, eine n-Austrittsarbeitsschicht, Multischichten davon oder Kombinationen davon umfassen. Hier kann eine Austrittsarbeitsschicht auch als ein Austrittsarbeitsmetall bezeichnet werden. Beispielhafte p-Austrittsarbeitsmetalle, die in den Gatestrukturen für p-Vorrichtungen verwendet werden können, sind TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Beispielhafte n-Austrittsarbeitsmetalle, die in den Gatestrukturen für n-Vorrichtungen verwendet werden können, sind Ti, Ag, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. Ein Austrittsarbeitswert ist mit der Materialzusammensetzung der Austrittsarbeitsschicht assoziiert, und daher wird das Material für die Austrittsarbeitsschicht so gewählt, dass sein Austrittsarbeitswert so angepasst werden kann, dass eine Soll-Schwellenspannung Vt in der herzustellenden Vorrichtung erreicht wird. Die eine oder die mehreren Austrittsarbeitsschichten können durch CVD, physikalische Aufdampfung (PVD) und/oder mit einem anderen geeigneten Verfahren abgeschieden werden. Eine Dicke einer p-Austrittsarbeitsschicht kann zum Beispiel etwa 8 Å bis etwa 15 Å betragen, und eine Dicke einer n-Austrittsarbeitsschicht kann zum Beispiel etwa 15 Å bis etwa 30 Å betragen.
  • Entsprechend einer Operation 122 von 2 ist 13 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine Verkappungsschicht 256 aufweist. Die Verkappungsschicht 256 wird (z. B. konform) über der Austrittsarbeitsschicht 254 hergestellt. Die Verkappungsschicht 256 schützt die darunter befindliche Austrittsarbeitsschicht 254 davor, oxidiert zu werden. Die Verkappungsschicht 256 kann aus einem geeigneten Material wie Ta, TaN, Ti, TiN oder TiSiN hergestellt werden. Bei einigen Ausführungsformen wird die Verkappungsschicht 256 aus TiN hergestellt. Die Verkappungsschicht 256 kann mit einem geeigneten Abscheidungsverfahren wie ALD, MBD, CVD oder dergleichen abgeschieden werden. Eine Dicke der Verkappungsschicht 256 kann zum Beispiel etwa 2 nm bis etwa 5 nm betragen. Die Dicke der Verkappungsschicht 256 ist bei einigen Ausführungsformen größer als die Dicke der Austrittsarbeitsschicht 254 oder die Dicke der dielektrischen Gateschicht 252. Außerdem ist bei einigen Ausführungsformen die Dicke der Verkappungsschicht 256 größer als eine Summe aus den Dicken der Austrittsarbeitsschicht 254 und der dielektrischen Gateschicht 252, wie in dem Beispiel von 13 gezeigt ist. In Abhängigkeit von der Breite W3 des unteren Grabens 250L und der Dicke der zuvor in dem Gategraben 250 hergestellten Schichten kann die Verkappungsschicht 256 die übrigen Teile des unteren Grabens 250L füllen. In Abhängigkeit von den Breiten W1 und W2 des oberen Grabens 250U und der zuvor in dem Gategraben 250 hergestellten Schichten kann der in dem Gategraben 250 verbliebene Raum eine Tropfenform mit einer schmalen Öffnung oben und einer breiteren Öffnung in der Mitte haben, wie in dem Beispiel von 13 gezeigt ist. Wenn eine oder mehrere weitere Schichten in dem Gategraben 250 abgeschieden werden, verkleinert sich die Öffnungsbreite W1 binnen kurzem, und es werden Nähte darunter eingeschlossen, was zu Defekten während der Herstellung führen kann, wie vorstehend dargelegt worden ist.
  • Entsprechend einer Operation 124 von 2 ist 14 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine Passivierungsschicht 258 aufweist, die aus einem oberen Teil der Verkappungsschicht 256 umgewandelt worden ist. Bei einigen Ausführungsformen ist die Verkappungsschicht 256 ein Metall oder ein Metallnitrid (z. B. Ta, TaN, Ti, TiN oder TiSiN), und die Passivierungsschicht 258 ist eine Oxidschicht, die durch Oxidieren der Verkappungsschicht 256 mit einem geeigneten Oxidationsprozess hergestellt wird, unter anderem durch Luftoxidation (d. h., durch In-Kontakt-Bringen mit Luft), mit einem Sauerstoffplasmaprozess (einer Plasmabehandlung mit O2 und/oder O3 als Oxidansspezies) oder mit einer thermischen Nass- oder Trockenoxidation. Bei einigen Ausführungsformen ist die Verkappungsschicht 256 ein Metall (z. B. Ta oder Ti), und die Passivierungsschicht 258 ist eine Nitridschicht, die durch Nitrierung unter Verwendung eines NH3- oder (N2 + H2)-Plasmas hergestellt wird. In einem beispielhaften Prozess ist die Verkappungsschicht 256 eine TiN-Schicht, die in einer Abscheidungskammer abgeschieden wird und anschließend mit einem Sauerstoffplasma in einer warmen Umgebung bei einer Temperatur von 25 °C bis 600 °C behandelt wird. Bleiben wir bei dem Beispiel des Oxidationsprozesses, in dem die Passivierungsumgebung so gesteuert wird, dass die Oxidansspezies (O2 und/oder O3) auf der Oberseite der Vorrichtung 200 eine höhere Konzentration hat, die in einem Gefälle mit einem Abstand von der Oberseite der Vorrichtung zu der Unterseite des Gategrabens 250 abnimmt. Das Ergebnis ist, dass näher an der Oberseite der Vorrichtung 200 ein dickerer Oberflächenteil des Verkappungsschicht 256 oxidiert wird. Die Dicke der oxidierten Verkappungsschicht 256 (die Dicke der Passivierungsschicht 258) nimmt in einem Gefälle nach unten in den Gategraben 250 ab. Dementsprechend wird der Oxidationsprozess auch als ein Gradient-Oxidationsprozess bezeichnet. In ähnlicher Weise nimmt in einem Nitrierungsprozess die Dicke der nitrierten Verkappungsschicht 256 in einem Gefälle nach unten in den Gategraben 250 ab. Der Passivierungsprozess wird kollektiv auch als ein Gradient-Passivierungsprozess bezeichnet. In einem Beispiel werden die Teile der Verkappungsschicht 256, die außerhalb des Gategrabens 250 abgeschieden werden, vollständig in die Passivierungsschicht 258 umgewandelt, wie in 14 gezeigt ist.
  • Entsprechend einer Operation 126 von 2 ist 15 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen nach dem Entfernen der Passivierungsschicht 258. Bei einigen Ausführungsformen wird die Passivierungsschicht 258 in einem Ätzprozess, wie etwa einem MHE-Prozess (MHE: Metall-Halogenid-Ätzung), entfernt. Ein MHE-Prozess ist ein Trockenätzprozess, für den ein Metall-Halogenid-Ätzmittel (z. B. ein chlor- oder fluorbasiertes Ätzmittel) verwendet wird. Mit dem MHE-Prozess kann ein Überhang an oberen Ecken einer Öffnung aufgrund einer höheren Reaktantmoleküldichte und einer großen Kontaktfläche mit Reaktantmolekülen an den oberen Ecken effizient entfernt werden. Der MHE-Prozess kann in situ (z. B. in derselben Kammer wie der Abscheidungsprozess in der Operation 122 und der Passivierungsprozess in der Operation 124) durchgeführt werden. Bei einigen Ausführungsformen kann der chlor- oder fluorbasierte Metallvorläufer für den MHE-Prozess Wolframfluorid (WF6), Wolframchlorid (WCl5), Molybdänpentachlorid (MoCl5), Titanchlorid (TiClx), Titanfluorid (TiFx), Tantalchlorid (TaCl5) oder eine Kombination davon sein. Es können auch andere geeignete Vorläufer verwendet werden. Der MHE-Prozess kann bei einer Temperatur von etwa 200 °C bis etwa 1000 °C durchgeführt werden. Das eine oder die mehreren Ätzmittel für den MHE-Prozess können mit einem Durchsatz von etwa 100 Ncm3/min bis 12.000 Ncm3/min impulsweise in die Reaktionskammer eingeleitet werden. Der Prozessdruck für den MHE-Prozess kann etwa 1 Torr bis etwa 20 Torr betragen. Der MHE-Prozess kann für eine Dauer von etwa 10 s bis etwa 300 s durchgeführt werden. Nach dem Gradient-Passivierungsprozess in der Operation 124 und dem Ätzprozess in der Operation 126 ist die Öffnung W1 des oberen Grabens 250U aufgeweitet. Der aufgeweitete obere Graben 250U kann eine U-Form mit im Wesentlichen vertikalen Seitenwänden (W1 ≈ W2) haben, wie in 15 gezeigt ist. In einem anderen Beispiel kann der aufgeweitete obere Graben 250U eine V-Form mit konischen Seitenwänden (W1 > W2) haben.
  • Eine Dünnschicht 260, die das Restmetallelement enthält, kann auf der früheren Grenzfläche zwischen der verbliebenen Verkappungsschicht 256 und der entfernten Passivierungsschicht 258 hergestellt werden. Eine Dicke der Dünnschicht 260 kann zum Beispiel etwa 2 Å bis etwa 10 Å betragen. Das Restmetallelement stammt von den Metallelementen, die in dem Metall-Halogenid-Ätzmittel verwendet werden. Zum Beispiel kann eine dünne Schicht, die Wolfram enthält, so hergestellt werden, dass sie die Verkappungsschicht 256 bedeckt. In einem anderen Beispiel kann eine dünne Schicht, die Molybdän enthält, so hergestellt werden, dass sie die Verkappungsschicht 256 bedeckt. Die Dünnschicht 260 kann bei einigen Ausführungsformen aufgrund der vollständigen Passivierung und Entfernung der Verkappungsschicht 256 außerhalb des Gategrabens 250 in Kontakt mit der Austrittsarbeitsschicht 254 außerhalb des Gategrabens 250 sein, wie in 15 gezeigt ist. Der Metallrest in der Dünnschicht 260 kann in die Verkappungsschicht 256 mit einem abfallenden Gefälle weiter in die Verkappungsschicht 256 eindiffundieren. Die Konzentration des Metallrests sinkt vor dem Erreichen der Grenzfläche zwischen der Verkappungsschicht 256 und der Austrittsarbeitsschicht 254 auf null. Eine Dicke der metallhaltigen Dünnschicht 260 kann etwa 1 Å bis etwa 5 Å betragen.
  • Entsprechend einer Operation 128 von 2 ist 16 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, die eine Spaltfüllschicht 262 (die auch als eine Grabenfüllschicht oder eine Füllschicht 262 bezeichnet wird) aufweist. Die Spaltfüllschicht 262 wird über der Verkappungsschicht 256 (und der metallhaltigen Dünnschicht 260, falls vorhanden) hergestellt. Die Spaltfüllschicht 262 wird auf der Oberseite der Vorrichtung 200 hergestellt und füllt verbliebene Teile des oberen Grabens 250U. Die Spaltfüllschicht 262 kann aus einem geeigneten Material wie Titannidrid, Siliziumnitrid, Wolfram, Cobalt oder einer Kombination davon mit einem geeigneten Abscheidungsverfahren wie CVD, PVD, ALD oder dergleichen hergestellt werden. Der aufgeweitete obere Graben 250U erleichtert die Abscheidung der Spaltfüllschicht 262, sodass der gefüllte obere Graben 250U im Wesentlichen frei von Nähten ist (oder weniger Nähte hat). In einem Beispiel haben die Verkappungsschicht 256 und die Spaltfüllschicht 262 unterschiedliche Materialzusammensetzungen, wie etwa TiN in der Verkappungsschicht 256 und SiN in der Spaltfüllschicht 262. In einem anderen Beispiel haben die Verkappungsschicht 256 und die Spaltfüllschicht 262 eine ähnliche Materialzusammensetzung, wie etwa TiN in beiden Schichten, aber eine unterschiedliche Stickstoffkonzentration (z. B. ist die Stickstoffkonzentration in der Spaltfüllschicht 262 höher). In einem noch weiteren Beispiel haben die Verkappungsschicht 256 und die Spaltfüllschicht 262 dieselbe Materialzusammensetzung, aber sie werden mit unterschiedlichen Verfahren (z. B. ALD für die Verkappungsschicht 256 und CVD für die Spaltfüllschicht 262) abgeschieden, was zu unterschiedlichen Korngrößen führt (z. B. einer kleineren Korngröße in der Verkappungsschicht 256). Dann wird ein Planarisierungsprozess, wie etwa ein CMP-Prozess, durchgeführt, um den überschüssigen Teil der Spaltfüllschicht 262 (sowie die horizontalen Teile der metallhaltigen Dünnschicht 260, der Austrittsarbeitsschicht 254 und der dielektrischen Gateschicht 252) zu entfernen, um die Oberseite der dielektrischen Schicht 246 und der Gate-Abstandshalter 232 freizulegen. Die resultierende Struktur nach dem Planarisierungsprozess ist in 17 gezeigt.
  • Entsprechend einer Operation 130 von 2 ist 18 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen nach der Durchführung eines Metallgate-Rückätzprozesses. Bei einigen Ausführungsformen werden Materialschichten (Schichten 252, 254, 256, 260 und 262) in dem oberen Graben 250U mit dem Metallgate-Rückätzprozess entfernt, sodass die Seitenwände der zweiten Gate-Abstandshalter 236 erneut freigelegt werden. Wie in 18 gezeigt ist, werden die verbliebenen Teile der dielektrischen Gateschicht 252, der Austrittsarbeitsschicht 254 und der Verkappungsschicht 256 unter einem obersten Teil der ersten Gate-Abstandshalter 234 ausgespart. Die dielektrische Gateschicht 252, die Austrittsarbeitsschicht 254 und die Verkappungsschicht 256 in dem unteren Graben 250L können eine konkave Oberseite haben, sodass sich ein oberster Teil der dielektrischen Gateschicht 252 über einem obersten Teil der Austrittsarbeitsschicht 254 befindet, der sich wiederum über einem obersten Teil der Verkappungsschicht 256 befindet. Bei einigen Ausführungsformen können die in dem unteren Graben 250L verbliebenen Teile der verschiedenen Schichten, wie etwa der dielektrischen Gateschicht 252, der Austrittsarbeitsschicht 254 und der Verkappungsschicht 256, zumindest teilweise ein Metallgate 270 bilden. Da die metallhaltige Dünnschicht 260 und die Spaltfüllschicht 262 in dem oberen Graben 250U hergestellt werden, kann das Metallgate 270 nach dem Metallgate-Rückätzprozess frei von der metallhaltigen Dünnschicht 260 und der Spaltfüllschicht 262 sein. Bei einigen Ausführungsformen ist der Metallgate-Rückätzprozess ein selektiver Nassätzprozess, der die Gate-Abstandshalter 232 nicht wesentlich angreift. Der Nassätzprozess wird bei einigen Ausführungsformen unter Verwendung eine Chemikalie durchgeführt, die eine Säure und ein Oxidationsmittel enthält. Die verwendete Chemikalie kann zum Beispiel ein Gemisch aus Chlorwasserstoffsäure (HCl) und Wasserstoffperoxid (H2O2) sein, wobei HCl als die Säure fungiert und H2O2 als das Oxidationsmittel fungiert. Bei einigen Ausführungsformen beträgt für den Nassätzprozess ein Mischungsverhältnis (z. B. ein Volumenverhältnis) zwischen HCl und H2O2 etwa 1 : 1 bis 1 : 20. Der Nassätzprozess kann bei einer Temperatur von etwa 40 °C bis etwa 70 °C für eine Dauer von etwa 1 min bis etwa 5 min durchgeführt werden.
  • Entsprechend einer Operation 132 von 2 ist 19 eine Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, in der eine metallische Kontaktschicht (die auch als eine Gate-Metallkappe bezeichnet wird) 272 hergestellt wird. Die metallische Kontaktschicht 272 wird über den ausgesparten Schichten hergestellt. Bei einigen Ausführungsformen enthält die metallische Kontaktschicht 272 W, Ta, Sn, Nb, Ru, Co oder Mo. Bei einigen Ausführungsformen wird die metallische Kontaktschicht 272 mit einem ALD-Prozess unter Verwendung von Metall-Halogenid-Gasen (Chloridgasen) (z. B. TaCl5, SnCl4, NbCl5 oder MoCl4) hergestellt. Bei einigen Ausführungsformen enthält die metallische Kontaktschicht 272 ein fluorfreies Metall, zum Beispiel fluorfreies Metall W, das mit WCl5 als Quellgas hergestellt wird. Bei einigen Ausführungsformen ist der ALD-Prozess ein selektiver Abscheidungsprozess, der mit einem Ätzprozess kombiniert ist, sodass die metallische Kontaktschicht 272 selektiv von metallischen Unterschichten, wie etwa der Verkappungsschicht 256 und der Austrittsarbeitsschicht 254, aufwächst und keine Metallschicht von der dielektrischen Gateschicht 252 aufgewachsen wird. Durch das selektive Aufwachsen entsteht ein Spalt lateral zwischen der metallischen Kontaktschicht 272 und den ersten Gate-Abstandshaltern 234, wodurch verhindert wird, dass Metallelemente in die ersten Gate-Abstandshalter 234 eindiffundieren. Bei einigen Ausführungsformen beträgt eine Dicke der metallischen Kontaktschicht 272 etwa 1 nm bis etwa 10 nm. Bei einigen Ausführungsformen befindet sich eine Oberseite der metallischen Kontaktschicht 272 unter dem obersten Teil der ersten Gate-Abstandshalter 234. Bei einigen Ausführungsformen ist die metallische Kontaktschicht 272 so dick, dass sich ihre Oberseite über dem obersten Teil der ersten Gate-Abstandshalter 234 befindet. Bei einigen Ausführungsformen umfasst die Abscheidung der metallischen Kontaktschicht 272 eine Reinigungsoperation zwischen zwei oder mehr Abscheidungsprozessen. Bei einigen Ausführungsformen umfasst die Reinigungsoperation eine Heißwasserreinigung (z. B. bei 80 °C oder mehr) und/oder eine Sauerstoffbehandlung.
  • Entsprechend einer Operation 134 von 2 ist 20 eine Schnittansicht der Vorrichtung 200, für die weitere Herstellungsprozesse durchgeführt werden. Zum Beispiel wird über der metallischen Kontaktschicht 272 eine Gatekappen-Isolierschicht 280 hergestellt. Bei einigen Ausführungsformen enthält die Gatekappen-Isolierschicht 280 Siliziumnitrid, SiON, SiOCN, ein dielektrisches Low-k-Material oder ein anderes geeignetes Material, das mit einem geeigneten Herstellungsverfahren wie PVD, CVD oder dergleichen abgeschieden wird. Außerdem wird ein Gatekontakt (der auch als ein Kontaktstift bezeichnet wird) 282 in der Gatekappen-Isolierschicht 280 hergestellt (z. B. so, dass er sich durch diese erstreckt), um ihn mit der metallischen Kontaktschicht 272 elektrisch zu verbinden. In dem vorstehenden Beispiel, in dem die Gatekappen-Isolierschicht 280 vor der Herstellung des Gatekontakts 282 abgeschieden wird, wird z. B. durch Fotolithografie und Ätzung eine Kontaktöffnung in der Gatekappen-Isolierschicht 280 erzeugt, um die metallische Kontaktschicht 272 freizulegen. Nachdem die Kontaktöffnung erzeugt worden ist, werden eine Sperrschicht, eine Seedschicht und ein Füllmetall (nicht dargestellt) nacheinander in der Kontaktöffnung hergestellt, um den Gatekontakt 282 herzustellen.
  • Bleiben wir bei 20, in der Source/Drainkontakte 284 in dem ILD 240 zum elektrischen Verbinden mit den Source/Drainbereichen 238 hergestellt werden. Bei einigen Ausführungsformen werden mit einem Ätzprozess die dielektrische Schicht 246 und das ILD 240 durchgeätzt, um Kontaktöffnungen zu erzeugen, die die Source/Drainbereiche 238 freilegen. Über den Source/Drainbereichen 238 wird ein Silizidelement (nicht dargestellt) in einem Silizidherstellungsprozess hergestellt. Das Silizidelement kann Titansilizid (TiSi), Nickelsilizid (NiSi), Wolframsilizid (WSi), Nickel-Platin-Silizid (NiPtSi), Nickel-Platin-Germanium-Silizid (NiPtGeSi), Nickel-Germanium-Silizid (NiGeSi), Ytterbiumsilizid (YbSi), Platinsilizid (PtSi), Iridiumsilizid (IrSi), Erbiumsilizid (ErSi), Cobaltsilizid (CoSi), eine Kombination davon oder andere geeignete Verbindungen enthalten. Anschließend werden die Source/Drainkontakte 284 durch Abscheiden eines leitfähigen Materials in den Kontaktöffnungen hergestellt, und sie setzen auf dem Silizidelement auf. Das leitfähige Material kann ein geeignetes Material wie W, Co, Ru, Cu, Ta, Ti, Al, Mo, ein anderes geeignetes leitfähiges Material oder eine Kombination davon sein, und es kann mit einem geeigneten Verfahren wie CVD, PVD, ALD, Plattierung, anderen geeigneten Verfahren oder Kombinationen davon abgeschieden werden. Alternativ kann die Silizidherstellung weggelassen werden und die Source/Drainkontakte 284 kontaktieren die Source/Drainbereiche 238 direkt.
  • Die 21 bis 23 zeigen eine alternative Ausführungsform der Vorrichtung 200. Entsprechend einer Operation 130 von 2 ist 21 eine alternative Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen nach dem Durchführen eines Metallgate-Rückätzprozess. Bei einigen Ausführungsformen werden Materialschichten (die Schichten 252, 254, 256, 260 und 262) in dem oberen Graben 250U mit dem Metallgate-Rückätzprozess teilweise entfernt, sodass untere Teile des oberen Grabens 250U immer noch gefüllt sind. Die in dem unteren Graben 250L verbliebenen Teile verschiedener Schichten, wie etwa der dielektrischen Gateschicht 252, der Austrittsarbeitsschicht 254, der Verkappungsschicht 256, der metallhaltige Dünnschicht 260 und der Spaltfüllschicht 262, können zumindest teilweise ein Metallgate 270 bilden. Das Metallgate 270 kann eine konkave Oberseite haben. Dennoch befinden sich die konkave Oberseite und entsprechende oberste Teile der dielektrischen Gateschicht 252, der Austrittsarbeitsschicht 254, der Verkappungsschicht 256, der metallhaltige Dünnschicht 260 und der Spaltfüllschicht 262 alle über dem obersten Teil der ersten Gate-Abstandshalter 234. Die metallhaltige Dünnschicht 260 kann ein Metallelement enthalten, das von denen beim Herstellen der Verkappungsschicht 256 und der Spaltfüllschicht 262 verschieden ist. Diese metallhaltige Dünnschicht kann als ein detektierbarer Tracer zum Identifizieren dienen, ob ein Gradient-Passivierungsprozess während der Metallgateherstellung verwendet worden ist.
  • Entsprechend einer Operation 132 von 2 ist 22 eine alternative Schnittansicht der Vorrichtung 200 auf einer der verschiedenen Herstellungsstufen, in der eine metallische Kontaktschicht 272 hergestellt wird. Die metallische Kontaktschicht 272 wird über den ausgesparten Schichten hergestellt. Bei einigen Ausführungsformen enthält die metallische Kontaktschicht 272 W, Ta, Sn, Nb, Ru, Co oder Mo. Bei einigen Ausführungsformen wird die metallische Kontaktschicht 272 mit einem ALD-Prozess unter Verwendung von Metall-Halogenid-Gasen (Chloridgasen) (z. B. TaCl5, SnCl4, NbCl5 oder MoCl4) hergestellt. Bei einigen Ausführungsformen enthält die metallische Kontaktschicht 272 ein fluorfreies Metall, zum Beispiel fluorfreies Metall W, das mit WCl5 als Quellgas hergestellt wird. Bei einigen Ausführungsformen ist der ALD-Prozess ein selektiver Abscheidungsprozess, der mit einem Ätzprozess kombiniert ist, sodass die metallische Kontaktschicht 272 selektiv von metallischen Unterschichten, wie etwa der Verkappungsschicht 256 und der Austrittsarbeitsschicht 254, aufwächst und keine Metallschicht von der dielektrischen Gateschicht 252 aufgewachsen wird. Durch das selektive Aufwachsen entsteht ein Spalt lateral zwischen der metallischen Kontaktschicht 272 und den ersten Gate-Abstandshaltern 234, wodurch verhindert wird, dass Metallelemente in die ersten Gate-Abstandshalter 234 eindiffundieren. Bei einigen Ausführungsformen beträgt eine Dicke der metallischen Kontaktschicht 272 etwa 1 nm bis etwa 10 nm. Bei einigen Ausführungsformen befindet sich eine Unterseite der metallischen Kontaktschicht 272 über dem obersten Teil der ersten Gate-Abstandshalter 234.
  • Entsprechend einer Operation 134 von 2 ist 23 eine alternative Schnittansicht der Vorrichtung 200, für die weitere Herstellungsprozesse durchgeführt werden. Bei dem Verfahren 100 können in der Operation 134 die Gatekappen-Isolierschicht 280, der Gatekontakt 282 und die Source/Drainkontakte 284 ähnlich wie vorstehend dargelegt hergestellt werden.
  • In 24 ist eine Schnittansicht von Teilen einer Vorrichtung 200' gezeigt. Die Vorrichtung 200' ist im Wesentlichen der in 20 gezeigten Vorrichtung 200 ähnlich, aber sie weist ein weiteres Metallgate 270' auf. Wie gezeigt ist, gleicht das Metallgate 270' im Wesentlichen dem Metallgate 270 von 20, das sich über eine Strecke erstreckt, die eine Kanallänge L1 eines entsprechenden Transistors definiert. Das Metallgate 270' erstreckt sich jedoch über eine Strecke, die eine Kanallänge L2 definiert, die wesentlich größer als L1 ist. Ein Transistor mit einer relativ kleinen Kanallänge (z. B. ein Transistor mit dem Metallgate 270) kann gelegentlich als ein Kurzkanal-Transistor bezeichnet werden, und ein Transistor mit einer relativ großen Kanallänge (z. B. ein Transistor mit dem Metallgate 270') kann gelegentlich als ein Langkanal-Transistor bezeichnet werden. In dem Beispiel des Langkanal-Transistors kann das Metallgate 270' wiederum die metallhaltige Dünnschicht 260 und die Spaltfüllschicht 262 aufweisen, die ebenfalls in dem unteren Graben 250L abgeschieden sind, was an der relativ großen Kanallänge liegt, sodass die Verkappungsschicht 256 den unteren Graben 250L nicht vollfüllt, sondern in einer U-Form füllt. Die metallhaltige Dünnschicht 260 und die Spaltfüllschicht 262 werden dadurch in den verbliebenen Teilen des unteren Grabens 250L hergestellt, die von der Verkappungsschicht 256 umschlossen sind. Jede der Schichten 252, 254, 256, 260 und 262 kann sich unter dem obersten Teil des ersten Gate-Abstandshalters 234 befinden. Außerdem kann das Metallgate 270' eine weitere metallische Kontaktschicht 272 aufweisen. Jede der metallischen Kontaktschichten 272 ist mit einem der Enden einer Kombination aus den U-förmigen Schichten 252, 254, 256 und 260 elektrisch verbunden. Dadurch können ein oder mehrere Gatekontakte 282 zum Verbinden der metallischen Kontaktschicht 272 hergestellt werden.
  • Eine oder mehrere Ausführungsformen der vorliegenden Offenbarung, die jedoch nicht beschränkend sein sollen, bieten zahlreiche Vorzüge für eine Halbleitervorrichtung und deren Herstellungsverfahren. Zum Beispiel werden bei Ausführungsformen der vorliegenden Offenbarung ein Gradient-Passivierungs- und -Ätzprozess zum Vergrößern eines Gategrabens bereitgestellt. Der vergrößerte Gategraben erleichtert die spätere Materialschichtabscheidung, ohne dass Nähte in dem Gategraben eingeschlossen werden, sodass die Vorrichtungsleistung nach einem Metallgate-Rückätzprozess steigt. Außerdem können die vorgeschlagenen Operationen bei der Metallgateherstellung leicht in bestehende Halbleiter-Herstellungsprozesse integriert werden.
  • Bei einem beispielhaften Aspekt ist die vorliegende Offenbarung auf ein Verfahren zum Herstellen einer Halbleitervorrichtung gerichtet. Das Verfahren umfasst Folgendes: Erzeugen eines Gategrabens über einem Halbleitersubstrat; Abscheiden einer dielektrischen Gateschicht und einer Austrittsarbeitsschicht in dem Gategraben; Abscheiden einer Verkappungsschicht über der Austrittsarbeitsschicht; Passivieren eines Oberflächenteils der Verkappungsschicht, um eine Passivierungsschicht herzustellen; Entfernen der Passivierungsschicht; Abscheiden einer Füllschicht in dem Gategraben; Aussparen der Füllschicht und der Verkappungsschicht; und Herstellen einer metallischen Kontaktschicht über der Verkappungsschicht in dem Gategraben. Bei einigen Ausführungsformen umfasst das Passivieren des Oberflächenteils der Verkappungsschicht einen Oxidationsprozess, und die Passivierungsschicht ist ein Oxid. Bei einigen Ausführungsformen ist der Oxidationsprozess ein Sauerstoffplasmaprozess. Bei einigen Ausführungsformen umfasst das Passivieren des Oberflächenteils der Verkappungsschicht einen Nitrierungsprozess, und die Passivierungsschicht ist ein Nitrid. Bei einigen Ausführungsformen umfasst das Passivieren des Oberflächenteils der Verkappungsschicht ein Aufbringen einer Passivierungsspezies, die an einer Öffnung des Gategrabens eine höhere Konzentration als an einem unteren Teil des Gategrabens hat. Bei einigen Ausführungsformen hat die Passivierungsschicht in der Nähe einer Öffnung des Gategrabens eine größere Dicke als in der Nähe eines unteren Teils des Gategrabens. Bei einigen Ausführungsformen ist nach dem Entfernen der Passivierungsschicht eine Öffnung des Gategrabens vergrößert. Bei einigen Ausführungsformen entsteht durch das Entfernen der Passivierungsschicht eine metallhaltige Dünnschicht auf der Verkappungsschicht. Bei einigen Ausführungsformen enthält die metallhaltige Dünnschicht ein Metallelement, das nicht in der Verkappungsschicht und der Füllschicht enthalten ist. Bei einigen Ausführungsformen wird durch das Aussparen der Füllschicht und der Verkappungsschicht die Füllschicht vollständig aus dem Gategraben entfernt.
  • Bei einem weiteren beispielhaften Aspekt ist die vorliegende Offenbarung auf ein Verfahren zum Herstellen einer Halbleitervorrichtung gerichtet. Das Verfahren umfasst Folgendes: Erzeugen eines Gategrabens in einem dielektrischen Material durch Entfernen eines Dummy-Gates und durch Entfernen eines oberen Teils eines Gate-Abstandshalters, der auf Seitenwänden des Dummy-Gates angeordnet ist; Abscheiden einer dielektrischen Gateschicht und einer Austrittsarbeitsschicht in dem Gategraben; Abscheiden einer Verkappungsschicht in dem Gategraben; Durchführen einer Passivierungsbehandlung an der Verkappungsschicht, um einen Oberflächenteil der Verkappungsschicht in eine Passivierungsschicht umzuwandeln; Ätzen der Passivierungsschicht, wodurch eine Öffnung des Gategrabens vergrößert wird; und Abscheiden einer Grabenfüllschicht in dem Gategraben. Bei einigen Ausführungsformen füllt die Grabenfüllschicht den Gategraben vollständig, ohne dass Nähte in dem Gategraben eingeschlossen werden. Bei einigen Ausführungsformen hat die Passivierungsschicht in der Nähe der Öffnung des Gategrabens eine größere Dicke als in der Nähe eines unteren Teils des Gategrabens. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin ein Aussparen der Grabenfüllschicht, der Verkappungsschicht, der Austrittsarbeitsschicht und der dielektrischen Gateschicht; und ein Herstellen einer metallischen Kontaktschicht direkt über der Verkappungsschicht und der Austrittsarbeitsschicht in dem Gategraben. Bei einigen Ausführungsformen wird durch das Aussparen die Grabenfüllschicht vollständig aus dem Gategraben entfernt. Bei einigen Ausführungsformen umfasst der Gategraben vor dem Abscheiden der dielektrischen Gateschicht und der Austrittsarbeitsschicht einen unteren Graben und einen oberen Graben über dem unteren Graben, wobei der obere Graben breiter als der untere Graben ist. Bei einigen Ausführungsformen ist vor dem Abscheiden der dielektrischen Gateschicht und der Austrittsarbeitsschicht die Öffnung des Gategrabens kleiner als ein mittlerer Teil des oberen Grabens, und nach dem Ätzen der Passivierungsschicht ist die Öffnung des Gategrabens größer als der mittlere Teil des oberen Grabens.
  • Bei einem noch weiteren beispielhaften Aspekt ist die vorliegende Offenbarung auf eine Halbleitervorrichtung gerichtet. Die Halbleitervorrichtung weist Folgendes auf: eine Finne, die aus einem Substrat herausragt; einen ersten und einen zweiten Source/Drainbereich über der Finne; ein Metallgate über der Finne, das zwischen dem ersten und dem Source/Drainbereich angeordnet ist; und einen Gate-Abstandshalter, der auf Seitenwänden des Metallgates angeordnet ist. Das Metallgate weist Folgendes auf: eine dielektrische Gateschicht, eine Austrittsarbeitsschicht über der dielektrischen Gateschicht, eine Verkappungsschicht über der Austrittsarbeitsschicht, eine metallhaltige Dünnschicht, die von der Verkappungsschicht umschlossen ist, und eine Füllschicht, die von der metallhaltigen Dünnschicht umschlossen ist. Bei einigen Ausführungsformen weist der Gate-Abstandshalter einen unteren Teil auf, der breiter als ein oberer Teil ist. Bei einigen Ausführungsformen enthält die metallhaltige Dünnschicht ein Metallelement, das nicht in der Verkappungsschicht und der Füllschicht enthalten ist.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/316065 [0001]

Claims (20)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Erzeugen eines Gategrabens über einem Halbleitersubstrat; Abscheiden einer dielektrischen Gateschicht und einer Austrittsarbeitsschicht in dem Gategraben; Abscheiden einer Verkappungsschicht über der Austrittsarbeitsschicht; Passivieren eines Oberflächenteils der Verkappungsschicht, um eine Passivierungsschicht herzustellen; Entfernen der Passivierungsschicht; Abscheiden einer Füllschicht in dem Gategraben; Aussparen der Füllschicht und der Verkappungsschicht; und Herstellen einer metallischen Kontaktschicht über der Verkappungsschicht in dem Gategraben.
  2. Verfahren nach Anspruch 1, wobei das Passivieren des Oberflächenteils der Verkappungsschicht einen Oxidationsprozess umfasst, und die Passivierungsschicht ein Oxid ist.
  3. Verfahren nach Anspruch 2, wobei der Oxidationsprozess ein Sauerstoffplasmaprozess ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Passivieren des Oberflächenteils der Verkappungsschicht einen Nitrierungsprozess umfasst, und die Passivierungsschicht ein Nitrid ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Passivieren des Oberflächenteils der Verkappungsschicht ein Aufbringen einer Passivierungsspezies umfasst, die an einer Öffnung des Gategrabens eine höhere Konzentration als an einem unteren Teil des Gategrabens hat.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Passivierungsschicht in der Nähe der Öffnung des Gategrabens eine größere Dicke als in der Nähe eines unteren Teils des Gategrabens hat.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei nach dem Entfernen der Passivierungsschicht eine Öffnung des Gategrabens vergrößert ist.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei durch das Entfernen der Passivierungsschicht eine metallhaltige Dünnschicht auf der Verkappungsschicht entsteht.
  9. Verfahren nach Anspruch 8, wobei die metallhaltige Dünnschicht ein Metallelement enthält, das nicht in der Verkappungsschicht und der Füllschicht enthalten ist.
  10. Verfahren nach einem der vorhergehenden Ansprüche, wobei durch das Aussparen der Füllschicht und der Verkappungsschicht die Füllschicht vollständig aus dem Gategraben entfernt wird.
  11. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Erzeugen eines Gategrabens in einem dielektrischen Material durch Entfernen eines Dummy-Gates und durch Entfernen eines oberen Teils eines Gate-Abstandshalters, der auf Seitenwänden des Dummy-Gates angeordnet ist; Abscheiden einer dielektrischen Gateschicht und einer Austrittsarbeitsschicht in dem Gategraben; Abscheiden einer Verkappungsschicht in dem Gategraben; Durchführen einer Passivierungsbehandlung an der Verkappungsschicht, um einen Oberflächenteil der Verkappungsschicht in eine Passivierungsschicht umzuwandeln; Ätzen der Passivierungsschicht, wodurch eine Öffnung des Gategrabens vergrößert wird; und Abscheiden einer Grabenfüllschicht in dem Gategraben.
  12. Verfahren nach Anspruch 11, wobei die Grabenfüllschicht den Gategraben vollständig füllt, ohne dass Nähte in dem Gategraben eingeschlossen werden.
  13. Verfahren nach Anspruch 11 oder 12, wobei die Passivierungsschicht in der Nähe der Öffnung des Gategrabens eine größere Dicke als in der Nähe eines unteren Teils des Gategrabens hat.
  14. Verfahren nach einem der Ansprüche 11 bis 13, das weiterhin Folgendes umfasst: Aussparen der Grabenfüllschicht, der Verkappungsschicht, der Austrittsarbeitsschicht und der dielektrischen Gateschicht; und Herstellen einer metallischen Kontaktschicht direkt über der Verkappungsschicht und der Austrittsarbeitsschicht in dem Gategraben.
  15. Verfahren nach Anspruch 14, wobei durch das Aussparen die Grabenfüllschicht vollständig aus dem Gategraben entfernt wird.
  16. Verfahren nach einem der Ansprüche 11 bis 15, wobei vor dem Abscheiden der dielektrischen Gateschicht und der Austrittsarbeitsschicht der Gategraben einen unteren Graben und einen oberen Graben über dem unteren Graben umfasst, wobei der obere Graben breiter als der untere Graben ist.
  17. Verfahren nach Anspruch 16, wobei vor dem Abscheiden der dielektrischen Gateschicht und der Austrittsarbeitsschicht die Öffnung des Gategrabens kleiner als ein mittlerer Teil des oberen Grabens ist, und nach dem Ätzen der Passivierungsschicht die Öffnung des Gategrabens größer als der mittlere Teil des oberen Grabens ist.
  18. Halbleitervorrichtung mit: einer Finne, die aus einem Substrat herausragt; einem ersten und einem zweiten Source/Drainbereich über der Finne; einem Metallgate über der Finne, das zwischen dem ersten und dem zweiten Source/Drainbereich angeordnet ist, wobei das Metallgate Folgendes aufweist: eine dielektrische Gateschicht, eine Austrittsarbeitsschicht über der dielektrischen Gateschicht, eine Verkappungsschicht über der Austrittsarbeitsschicht, eine metallhaltige Dünnschicht, die von der Verkappungsschicht umschlossen ist, und eine Füllschicht, die von der metallhaltigen Dünnschicht umschlossen ist; und einem Gate-Abstandshalter, der auf Seitenwänden des Metallgates angeordnet ist.
  19. Halbleitervorrichtung nach Anspruch 18, wobei der Gate-Abstandshalter einen unteren Teil aufweist, der breiter als ein oberer Teil ist.
  20. Halbleitervorrichtung nach Anspruch 18 oder 19, wobei die metallhaltige Dünnschicht ein Metallelement enthält, das nicht in der Verkappungsschicht und der Füllschicht enthalten ist.
DE102023101602.4A 2022-03-03 2023-01-24 Metallgatestruktur und verfahren zu deren herstellung Pending DE102023101602A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202263316065P 2022-03-03 2022-03-03
US63/316,065 2022-03-03
US17/832,578 US20230282482A1 (en) 2022-03-03 2022-06-04 Metal gate structure and method of forming the same
US17/832,578 2022-06-04

Publications (1)

Publication Number Publication Date
DE102023101602A1 true DE102023101602A1 (de) 2023-09-07

Family

ID=87572297

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023101602.4A Pending DE102023101602A1 (de) 2022-03-03 2023-01-24 Metallgatestruktur und verfahren zu deren herstellung

Country Status (4)

Country Link
US (1) US20230282482A1 (de)
KR (1) KR20230130510A (de)
DE (1) DE102023101602A1 (de)
TW (1) TW202349470A (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117371395A (zh) * 2023-12-06 2024-01-09 杭州广立微电子股份有限公司 用于评估版图中目标栅极与图形集群相对位置关系的方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117371395A (zh) * 2023-12-06 2024-01-09 杭州广立微电子股份有限公司 用于评估版图中目标栅极与图形集群相对位置关系的方法
CN117371395B (zh) * 2023-12-06 2024-02-02 杭州广立微电子股份有限公司 用于评估版图中目标栅极与图形集群相对位置关系的方法

Also Published As

Publication number Publication date
US20230282482A1 (en) 2023-09-07
KR20230130510A (ko) 2023-09-12
TW202349470A (zh) 2023-12-16

Similar Documents

Publication Publication Date Title
DE102019117191B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102019102135B4 (de) Verfahren zum herstellen einer halbleitervorrichtung
DE102019200725A1 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102019123627A1 (de) Finnen-feldeffekttransistorvorrichtung und verfahren zu deren herstellung
DE102020119099B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102019121282B4 (de) Finnenfeldeffekttransistorvorrichtung und Verfahren zum Bilden derselben
DE102018106191B4 (de) Verfahren zur selektiven bildung von gate-abstandshaltern eines finfets mittels eines fluorierungsprozesses
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102020124234A1 (de) Metallgates und verfahren zu ihrer herstellung
DE102017126435B4 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102021113053A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102021109560A1 (de) Transistor-gate-strukturen und verfahren zu deren bildung
DE102021108858A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102017124223B4 (de) Halbleiterstruktur mit Finnen und Isolationsfinnen und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed