DE102014019523A1 - Kontaktstruktur und Verfahren zur Bildung - Google Patents

Kontaktstruktur und Verfahren zur Bildung Download PDF

Info

Publication number
DE102014019523A1
DE102014019523A1 DE102014019523.6A DE102014019523A DE102014019523A1 DE 102014019523 A1 DE102014019523 A1 DE 102014019523A1 DE 102014019523 A DE102014019523 A DE 102014019523A DE 102014019523 A1 DE102014019523 A1 DE 102014019523A1
Authority
DE
Germany
Prior art keywords
layer
substrate
silicide
titanium
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102014019523.6A
Other languages
English (en)
Inventor
c/o Taiwan Semiconductor Manufa Lin Yu-Hung
c/o Taiwan Semiconductor Manufa Fu Nei-Hui
c/o Taiwan Semiconductor Ma Lin Sheng-Hsuan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014019523A1 publication Critical patent/DE102014019523A1/de
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Kontaktstrukturen und Verfahren zur Bildung von Kontaktstrukturen werden durch diese Offenbarung vorgeschlagen. Eine Struktur schließt eine dielektrische Lage über einem Substrat, eine Adhäsionslage, ein Silizid, eine Barrierelage und ein leitfähiges Material ein. Die dielektrische Lage weist eine Öffnung zu einer Oberfläche des Substrats auf. Die Adhäsionslage liegt entlang von Seitenwänden der Öffnung vor. Das Silizid liegt auf der Oberfläche des Substrats vor. Die Barrierelage ist auf der Adhäsionslage und dem Silizid, und die Barrierelage grenzt direkt an das Silizid an. Das leitfähige Material liegt auf der Barrierelage in der Öffnung vor.

Description

  • PRIORITÄTSANSPRUCH UND KREUZ-REFERENZ
  • Diese Anmeldung nimmt die Rechte von U.S. Provisional Application Nr. 62/034,424, eingereicht am 7. August 2014 unter dem Titel ”Verfahren zur Bildung von Ti-Salizid mit geringem Widerstand und sich ergebende Struktur”, in Anspruch, wobei diese Anmeldung hiermit durch Bezugnahme vollständig aufgenommen wird.
  • HINTERGRUND
  • Halbleitervorrichtungen werden in einer Vielzahl von elektronischen Anwendungen verwendet, wie zum Beispiel in Personal Computern, Mobiltelefonen, Digitalkameras und anderer elektronischer Ausstattung, als Beispielen. Halbleitervorrichtungen werden typischerweise durch die sequentielle Auftragung von isolierenden oder dielektrischen Lagen, leitfähigen Lagen und halbleitenden Lagen an Material über ein Halbleitersubstrat, und eine Musterbildung der verschiedenen Materiallagen unter Verwendung von Lithographie, um Schaltkreiskomponenten und -elemente darauf zu bilden, hergestellt.
  • Es ist allgemein für Halbleitervorrichtungen wünschenswert, mit einer hohen Geschwindigkeit zu arbeiten. Weiterhin ist es allgemein wünschenswert, die Größe von Halbleitervorrichtungen zu verringern, um die Dichte der Vorrichtung zu erhöhen und eine erhöhte Funktionalität der elektronischen Anwendungen zu ermöglichen. Diese zwei Merkmale können manchmal gegeneinanderstehen. Einige Merkmale einer Halbleitervorrichtung, wenn in der Größe verringert, können zu einer geringeren Geschwindigkeit führen. Lösungen, die sowohl schnelle Geschwindigkeiten als auch eine reduzierte Größe erreichen, sind wünschenswert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Die Aspekte der vorliegenden Offenbarung werden am besten aus der folgenden genauen Beschreibung verstanden, wenn zusammen mit den beigefügten Figuren gelesen. Es wird angemerkt, dass in Übereinstimmung mit der Standardpraxis in der Industrie verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. In der Tat können die Dimensionen der verschiedenen Merkmale zur Klarheit der Diskussion arbiträr erhöht oder verringert sein.
  • 1 bis 6 sind Zwischenphasen der Bildung einer Kontaktstruktur in Übereinstimmung mit einigen Ausführungsformen.
  • 7 ist eine Beispielsanwendung der Kontaktstruktur und des Bildungsverfahrens der Kontaktstruktur in Übereinstimmung mit einigen Ausführungsformen.
  • GENAUE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen zur Verfügung, oder Beispiele, zur Implementierung von unterschiedlichen Merkmalen des zur Verfügung gestellten Gegenstands. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und nicht als beschränkend gedacht. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der darauf folgenden Beschreibung Ausführungsformen einschließen, in denen die ersten und zweiten Merkmale in direktem Kontakt gebildet werden, und kann auch Ausführungsformen einschließen, in denen zusätzliche Merkmale zwischen dem ersten und zweiten Merkmal gebildet werden, so dass die ersten und zweiten Merkmale nicht in direktem Kontakt vorliegen können. Zusätzlich kann die vorliegende Beschreibung Bezugsnummern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und bedingt selber keinen Bezug zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Weiterhin können räumlich relative Ausdrücke, wie zum Beispiel „unterhalb”, „unter”, „untere”, „oberhalb”, „obere” und ähnliches, hier zu einer Vereinfachung der Beschreibung des Bezugs von einem Element oder Merkmal zu einem anderen Element(e) oder Merkmal(en) wie in den Figuren dargestellt verwendet werden. Die räumlich relativen Ausdrücke sind als unterschiedliche Ausrichtungen der Vorrichtung während dessen Verwendung oder dem Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassend vorgesehen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die räumlich entsprechenden hier verwendeten beschreibenden Ausdrücke können übereinstimmend ähnlich ausgelegt werden.
  • Eine Kontaktstruktur und Verfahren zur Bildung derselben werden in Übereinstimmung mit verschiedenen Ausführungsformen zur Verfügung gestellt. Zwischenphasen der Bildung einer Kontaktstruktur werden verdeutlicht. Einige Variationen der Ausführungsformen werden diskutiert. Ein Fachmann im Stand der Technik wird leicht andere Modifikationen erkennen, die gemacht werden können, die innerhalb des Umfangs von anderen Ausführungsformen liegen. Obwohl Ausführungsformen des Verfahrens in einer bestimmten Reihenfolge diskutiert werden, können verschiedene andere Ausführungsformen des Verfahrens in jeder logischen Reihenfolge durchgeführt werden und können weniger oder mehr hier beschriebene Schritte einschließen.
  • 1 bis 6 verdeutlichen Zwischenphasen der Bildung einer Kontaktstruktur in Übereinstimmung mit einigen Ausführungsformen. 1 zeigt eine dielektrische Lage 22 über einem Substrat 20 und eine Öffnung 24, gebildet durch die dielektrische Lage 22 zu dem Substrat 20. Das Substrat 20 kann ein Bulk-Halbleitersubstrat, ein Halbleiter-auf-Isolierer-(SOI)Substrat, ein multi-lagiges oder Gradientensubstrat oder ähnliches sein und kann dotiert sein (z. B. mit einem p-Typ oder einem n-Typ Dotanden) oder nicht dotiert sein. Das Substrat 20 kann ein Wafer sein, wie zum Beispiel ein Siliziumwafer. Im Allgemeinen umfasst ein SOI Substrat eine Lage eines Halbleitermaterials, gebildet auf einer Isolatorlage. Die Isolatorlage kann zum Beispiel eine Einbettungsoxid(BOX)-Lage, eine Siliziumoxidlage oder ähnliches sein. Die Isolatorlage wird auf einem Substrat zur Verfügung gestellt, wie zum Beispiel einem Silizium- oder Glas-Substrat. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 20 ein Elementarhalbleitermaterial einschließen, wie zum Beispiel Silizium, Germanium, oder ähnliches; einen Verbundhalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder eine Kombination davon. Zusätzlich kann das Substrat 20 Epitaxieregionen umfassen, die zum Beispiel eine Trägermobilität durch eine Vorrichtung in das Substrat 20 erhöhen können. Zum Beispiel kann eine Source-/Drainregion eines Transistors eine Epitaxieregion einschließen, die aus einem anderen Material als das Material des Substrats 20 besteht. Die Epitaxieregion kann aus irgendeinem der vorstehend für das Substrat 20 aufgelisteten Materialien bestehen. Die Öffnung 24 kann zu einer Epitaxieregion gebildet sein.
  • Die dielektrische Lage 22 kann eine oder mehrere dielektrische Lagen umfassen. Zum Beispiel kann die dielektrische Lage 22 eine Ätzstopplage über dem Substrat 20 und eine dielektrische Zwischenschicht (ILD) über der Ätzstopplage umfassen. Im Allgemeinen stellt die Ätzstopplage einen Mechanismus zur Verfügung, um einen Ätzprozess zu stoppen, wenn die Öffnung 24 gebildet wird. Die Atzstopplage wird aus einem dielektrischen Material gebildet, die eine unterschiedliche Ätzselektivität zu angrenzenden Lagen aufweist, z. B. eine Ätzstopplage zwischen dem darunterliegenden Substrat 20 und der darüber liegenden ILD. In einer Ausführungsform kann die Ätzstopplage aus SiN, SiCN, SiCO, CN, einer Kombination davon oder ähnlichem gebildet sein, durch jedes geeignete Verfahren aufgebracht sein, wie zum Beispiel chemische Gasphasenabscheidung (CVD), Plasma-verstärkte CVD (PECVD), oder ähnlichem. Die ILD wird aus einem dielektrischen Material gebildet, wie zum Beispiel Phosphorsilikatglas (PSG), Borsilikatglass (BSG), Bor-dotiertem Phosphorsilikatglass (BPSG), nicht-dotiertem Silikatglas (USG), oder ähnlichem, und kann durch jedes geeignete Verfahren aufgebracht sein, wie zum Beispiel CVD, PECVD, oder ähnlichem. Die dielektrische Lage 22 kann zusätzliche Lagen umfassen, wie zum Beispiel eine Hartmaskenlage, eine chemisch-mechanisches Polieren (CMP) Stopplage, oder ähnliche, über der ILD.
  • Die Öffnung 24 wird durch die dielektrische Lage 22 unter der Verwendung von, zum Beispiel, eines akzeptablen Photolithographie- und Ätzverfahren gebildet. Die Ätzung kann jedes akzeptable Ätzverfahren sein, wie zum Beispiel reaktives Ionenätzen (RIE), Neutralstrahlätzen (NBE), oder ähnliches, oder eine Kombination davon. Die Ätzung kann anisotrop sein. Die Ätzung kann eine Öffnung mit im Wesentlichen vertikalen Seitenwänden bilden, obwohl nicht-vertikale Seitenwänden in einigen Ausführungsformen vorgesehen sind.
  • Ein Reinigungsprozess kann durchgeführt werden, um jegliches unerwünschte Oxid zu entfernen, dass sich als ein Ergebnis der natürlichen Oxidation auf der exponierten Oberfläche des Substrats 20 in der Öffnung 24 gebildet haben kann. In einigen Ausführungsformen verwendet der Reinigungsprozess ein HF-basiertes Gas oder ein NF3-basiertes und/oder ein NH3-basiertes Gas. In anderen Ausführungsformen wird ein Hochtemperatur-Backen durchgeführt. Das Hochtemperatur-Backen kann mit oder ohne Anwesenheit von HCl-Gas durchgeführt werden. Die Backtemperatur kann in einem Bereich zwischen ungefähr 700°C und ungefähr 900°C liegen. Der Druck beim Backen kann in einem Bereich zwischen ungefähr 10 Torr und ungefähr 200 Torr liegen. Die Backdauer kann in einem Bereich zwischen ungefähr 30 Sekunden und ungefähr 4 Minuten liegen, zum Beispiel.
  • In 2 wird eine Adhäsionslage 26 entlang Oberflächen der Öffnung 24 gebildet. In einigen Ausführungsformen ist die Adhäsionslage 26 eine Metalllage, wie zum Beispiel bestehend aus Titan, Nickel, Wolfram, Kobalt, einer Metalllegierung, oder ähnlichem. Die Adhäsionslage 26 kann unter der Verwendung eines akzeptablen Auftragungsverfahrens gebildet werden, wie zum Beispiel physikalischer Gasphasenabscheidung (PVD), CVD, Atomlagenabscheidung (ALD), oder ähnlichem. In der dargestellten Ausführungsform ist die Adhäsionslage 26 Titan, aufgetragen mittels PVD. Ein erster Teil 26 1 der Adhäsionslage 26 wird auf einer Oberfläche des Substrats 20 gebildet, und zweite Teile 26 2 der Adhäsionslage 26 werden auf den Seitenwänden der Öffnung 24 gebildet. Als ein Ergebnis der PVD-Auftragung kann eine Dicke des ersten Teils 26 1 (z. B. in einer Richtung normal zur Oberfläche des Substrats 20) größer sein als eine Dicke der zweiten Teile 26 2 (z. B. in einer Richtung normal zur jeweiligen Oberfläche einer Seitenwand der dielektrischen Lage 22). Zum Beispiel, ist in einigen Ausführungsformen die Dicke des ersten Teils 26 1 von ungefähr 50 Å bis ungefähr 300 Å, und die Dicke der zweite Teile 26 2 ist von ungefähr 5 Å bis ungefähr 50 Å.
  • In 3 wird eine Barrierelage 28 auf der Adhäsionslage 26 gebildet. In einigen Ausführungsformen ist die Barrierelage 28 eine Metallnitridlage, wie zum Beispiel umfassend Titannitrid, Tantalnitrid, eine Kombination davon, oder ähnliches. Die Barrierelage 28 kann unter der Verwendung eines akzeptablen Auftragungsverfahrens gebildet werden, wie zum Beispiel CVD, ALD, oder ähnlichem. In der dargestellten Ausführungsform ist die Barrierelage 28 Titannitrid, aufgetragen mittels CVD. Die Barrierelage 28 kann konform mit einer im Wesentlichen gleichmäßigen Dicke aufgetragen werden, obwohl Ausführungsformen einige Variation in der Dicke vorsehen. Zum Beispiel ist in einigen Ausführungsformen die Dicke der Barrierelage 28 auf dem ersten Teil 26 1 von ungefähr 15 Å bis ungefähr 50 Å, und die Dicke der Barrierelage 28 auf den zweiten Teilen 26 2 ist von ungefähr 5 Å bis ungefähr 40 Å. Es sollte angemerkt werden, dass, obwohl die Adhäsionslage 26 und die Barrierelage 28 als solche diskutiert werden, jede einzeln unabhängig oder miteinander als eine Adhäsionslage und/oder eine Barrierelage funktionieren können.
  • In 4 wird eine Silizidregion 30 auf dem Substrat 20 gebildet. Die Silizidregion 30 schließt ein reagiertes Material, gebildet aus einer Reaktion zwischen dem ersten Teil 26 1 der Adhäsionslage 26 und einem Material des Substrats 20, ein. Die Silizidregion 30 kann unter der Verwendung eines Temperprozesses gebildet werden. In einigen Ausführungsformen kann ein Temperprozess ein Platzieren der Struktur von 3 in eine Umgebung bei einer Temperatur von ungefähr 400°C bis ungefähr 900°C für eine Dauer von ungefähr 20 Sekunden bis ungefähr 180 Sekunden einschließen. In einigen Ausführungsformen ist eine Dicke der Silizidregion 30 von ungefähr 40 Å bis ungefähr 250 Å. In einigen Ausführungsformen verursacht der Temperprozess eine Reaktion zwischen dem Substrat 20 und dem ersten Teil 26 1 der Adhäsionslage 26 so dass einiges oder die Gesamtheit des ersten Teils 26 1 durch die Reaktion verbraucht wird. Daher kann in einigen Ausführungsformen der untere Teil der Barrierelage 28 direkt an die Silizidregion 30 angrenzen, und in einigen Ausführungsformen wird keine Adhäsionslage 26 zwischen dem unteren Teil der Barrierelage 28 und der Silizidregion 30 angeordnet. Die Silizidregion 30 kann ein Material der Adhäsionslage 26 und ein Material des Substrats 20 umfassen. Zum Beispiel, unter der Annahme, dass die Adhäsionslage 26 Titan ist und das Substrat 20, das an die Adhäsionslage 26 angrenzt (z. B. vor der Reaktion) Silizium ist, kann die Silizidregion 30 TiSi sein. Weiter ist ein Material, z. B. Metall, der Adhäsionslage 26 auf den Seitenwänden der Öffnung 24 dasselbe Material, z. B. Metall, wie in der Silizidregion 30.
  • In 5 wird ein leitfähiges Material 32 in der Öffnung 24 gebildet. Das leitfähige Material 32 kann ein Metall sein, wie zum Beispiel Wolfram, Kupfer, Aluminium, Nickel, Gold, Silber, eine Metalllegierung oder ähnliches. Das leitfähige Material 32 kann unter der Verwendung eines akzeptablen Auftragungsprozesses gebildet werden, wie zum Beispiel CVD, PVD oder ähnlichem. In der dargestellten Ausführungsform ist das leitfähige Material 32 Wolfram, aufgetragen durch CVD. Wie dargestellt, füllt das leitfähige Material 32 den verbleibenden Teil der Öffnung 24 und wird über der dielektrischen Lage 22 gebildet.
  • In 6 wird ein Planarisierungsverfahren, wie zum Beispiel ein CMP, durchgeführt, um überschüssige Teile des leitfähigen Materials 32, der Barrierelage 28 und Adhäsionslage 26 zu entfernen. Das Planarisierungsverfahren bildet einen Kontakt 34 in der Öffnung 24 mit den oberen Oberflächen der dielektrischen Lage 22, Adhäsionslage 26, Barrierelage 28 und dem Kontakt 34, die co-planar sind.
  • 7 verdeutlicht eine Beispielsanwendung der Kontaktstruktur und des Verfahrens der Bildung der Kontaktstruktur wie diskutiert in 1 bis 6. 7 verdeutlicht eine Schaltkreiskomponente, wie zum Beispiel ein Feldeffekttransistor (FET), zu dem ein Kontakt gebildet wird. Die Schaltkreiskomponente kann ein p-Typ FET (pFET) oder ein n-Typ FET (nFET) sein. In dem verdeutlichten Beispiel ist die Schaltkreiskomponente ein planarer FET, obwohl andere Ausführungsformen einen dreidimensionalen fin FET (finFET) vorsehen. Andere Schaltkreiskomponenten sind durch verschiedene andere Ausführungsformen vorgesehen.
  • Die Schaltkreiskomponente in 7 schließt ein Substrat 20, Isolierregionen 50, Gate-Dielektrikum 52, Gateelektrode 54, Gate-Spacers 56, Source/Drain Epitaxieregionen 58, Ätzstopplage 60, ILD 62, Silizidregion 30, Adhäsionslage 26, Barrierelage 28, Kontakt 34, Ätzstopplage 64, Inter-Metalldielektrikum (IMD) 66, Lücke 68 und Leitung 70 ein. Die Schaltkreiskomponente kann wie im Folgenden beschrieben gebildet werden.
  • Ein Substrat 20 wird zur Verfügung gestellt. Das Substrat 20 kann jedes Substrat sein, wie zum Beispiel vorher unter Bezug auf 1 diskutiert. Isolierende Regionen 50 werden dann in dem Substrat 20 gebildet. Die isolierenden Regionen 50 können durch Ätzen von Vertiefungen und/oder Rinnen in das Substrat 20 und Füllen der Vertiefungen oder Rinnen mit einem isolierenden Material gebildet werden. Das Ätzen kann jedes akzeptable Ätzverfahren sein, wie zum Beispiel ein RIE, NBE, ähnliches, oder eine Kombination davon. Die Ätzung kann anisotrop sein. Das Isoliermaterial kann ein Oxid sein, wie zum Beispiel Siliziumoxid, ein Nitrid, ähnliches, oder eine Kombination davon, und kann durch eine Hochdichteplasmachemische Gasphasenabscheidung (HDP-CVD), eine fließfähige CVD (FCVD) (z. B., eine CVD-basierte Materialablagerung in einem entfernten Plasmasystem und Nachhärtung, um es in ein anderes Material umzuwandeln, wie zum Beispiel ein Oxid), ähnliches, oder eine Kombination davon, gebildet werden. Andere isolierende Materialien, gebildet durch jedes akzeptable Verfahren, können verwendet werden. Ein Planarisierungsverfahren, wie zum Beispiel ein CMP, kann jedes überschüssige Isoliermaterial entfernen und obere Oberflächen der isolierenden Regionen 50 und die obere Oberfläche des Substrats 20 bilden, die co-planar sind. Die isolierenden Regionen 50 können aktive Bereiche in dem Substrat 20 definieren, wo eine Schaltkreiskomponente gebildet werden wird. Eine Wanne kann in dem aktiven Bereich gebildet werden. Zum Beispiel können Dotierstoffe bis zu einer Konzentration von gleich oder weniger als 1018 cm–3 implantiert werden, wie zum Beispiel zwischen ungefähr 1017 cm–3 und ungefähr 1018 cm–3, um die Wanne zu bilden. P-Typ Verunreinigungen, z. B. für eine Wanne einer n-Typ Schaltkreiskomponente schließen Bor, BF2 oder ähnliches ein und n-Typ Verunreinigungen, z. B. für eine Wanne einer p-Typ Schaltkreiskomponente schließen Phosphor, Arsen oder ähnliches ein. Ein Tempern kann verwendet werde, um die implantierten Verunreinigungen zu aktivieren.
  • Anschließend werden das Gate-Dielektrikum 52 und die Gate-Elektrode 54 auf dem Substrat 20 gebildet. Eine Lage an Gate-Dielektrikum-Material wird auf dem Substrat 20 aufgetragen. In einigen Ausführungsformen umfasst das Gate-Dielektrikum-Material Siliziumoxid, Siliziumnitrid, ein hoch-k dielektrisches Material, Multilagen davon oder ähnliches. Ein hoch-k dielektrisches Material kann einen k-Wert von größer als ungefähr 7,0 aufweisen und kann ein Metalloxid oder ein Silikat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb und Kombinationen davon einschließen. Die Bildungsverfahren der Lage an Gate-Dielektrikum Material kann CVD, Molekularstrahlabscheidung (MBD), ALD, PECVD oder ähnliches einschließen. Eine Lage an Gate-Elektrodenmaterial wird auf der Lage des Gate-Dielektrikum-Materials aufgetragen. Das Gate-Elektrodenmaterial kann Polysilizium, dotiert oder un-dotiert; ein Metall-enthaltendes Material, wie zum Beispiel TiN, TaN, TaC, Co, Ru, Al, Kombinationen davon, oder multi-Lagen davon; oder jedes andere geeignete Material sein. Die Bildungsverfahren der Lage an Gate-Elektrodenmaterial kann CVD, ALD, PECVD oder ähnliches einschließen. Eine Maskenlage kann auf der Lage an Gate-Elektrodenmaterial gebildet werden. Die Maskenlage kann Siliziumnitrid, Siliziumcarboxynitrid, CN oder ähnliches umfassen und kann durch CVD, PECVD oder ähnliches aufgetragen werden. Die Maskenlage, das Gate-Elektrodenmaterial und das Gate-Dielektrikum-Material können dann unter der Verwendung eines akzeptablen Photolithographie- und Ätzverfahren(s) einer Musterbildung unterzogen werden, um eine Maske (nicht dargestellt), die Gate-Elektrode 54 und das Gate-Dielektrikum 52 zu bilden. Die Ätzung kann jedes akzeptable Ätzverfahren sein, wie zum Beispiel ein RIE, NBE, ähnliches, oder eine Kombination davon. Die Ätzung kann anisotrop sein.
  • Dann können Implantate für leicht dotierte Source/Drain (LDD) Regionen (nicht dargestellt) durchgeführt werden. Die gemusterte Maske, die Gate-Elektrode 54 und/oder das Gate-Dielektrikum 52 können als eine selbst-angeordnete Maske für die Implantation dienen, um die leicht dotierten Source/Drainregionen in dem Substrat 20 zu bilden. P-Typ Verunreinigungen, z. B. für eine p-Typ Schaltkreiskomponente, schließen Bor, BF2 oder ähnliches ein, und n-Typ Verunreinigungen, z. B. für eine n-Typ Schaltkreiskomponente, schließen Phosphor, Arsen oder ähnliches ein. Die leicht dotierten Source/Drainregionen können eine Konzentration an Verunreinigungen von ungefähr 1015 cm–3 bis ungefähr 1016 cm–3 aufweisen. Ein Tempern kann verwendet werden, um die implantierten Verunreinigungen zu aktivieren.
  • Gate-Spacer 56 werden dann entlang des Gate-Dielektrikums 52 und der Gate-Elektrode 54 gebildet. Eine Lage an Spacermaterial wird auf dem Substrat 20 geeignet aufgetragen. Das Spacermaterial kann Siliziumnitrid, SiCN, eine Kombination davon oder ähnliches sein und kann durch CVD, PECVD oder ähnliches aufgetragen sein. Eine anisotrope Ätzung wird durchgeführt, um die Muster der Gate-Spacer 56 zu bilden. Die anisotrope Ätzung kann horizontale Teile der Lage des Spacermaterials entfernen, so dass Teile der Lage des Spacermaterials auf Seitenwänden der Gate-Elektrode 54 und dem Gate-Dielektrikum 52 als die Gate-Spacer 56 verbleiben. Die Ätzung kann jedes akzeptable Ätzverfahren sein, wie zum Beispiel ein RIE, NBE, ähnliches, oder eine Kombination davon.
  • Dann werden Source/Drain Epitaxieregionen 58 gebildet. Aussparungen können in dem Substrat 20 gebildet werden. Die Bildung der Aussparungen kann eine Ätzung umfassen, die für ein Material des Substrats 20 selektiv ist, wo, zum Beispiel, die Gate-Spacer 56, die Maske der Gate-Elektrode 54 und die isolierenden Regionen 50 im allgemeinen nicht geätzt werden. Daher können die Aussparungen in dem aktiven Bereich des Substrats definiert werden, die nicht durch die Gate-Spacer 56 und das Gate-Dielektrikum 52 abgedeckt werden und außerhalb der isolierenden Regionen 50. Das Ätzverfahren kann jedes geeignete Ätzverfahren sein, wie zum Beispiel trocken oder nass, und isotrop oder anisotrop. Die Source/Drain Epitaxieregionen 58 werden epitaktisch in den Aussparungen gezüchtet, wie zum Beispiel durch Metallorganische CVD (MOCVD), Molekularstrahlepitaxie (MBE), Flüssigphaseepitaxie (LPE), Gasphaseepitaxie (VPE), selektives epitaktisches Wachstum (SEG), ähnlichem, oder einer Kombination davon. Die Source/Drain Epitaxieregionen 58 können jedes akzeptable Material umfassen. Beispielmaterial, das für eine n-Typ Schaltkreiskomponente geeignet sein kann, schließt Silizium, SiC, SiCP, SiP oder ähnliches ein. Beispielmaterial, das für eine p-Typ Schaltkreiskomponente geeignet sein kann, schließt SiGe, SiGeB oder ähnliches ein. Die Source/Drain Epitaxieregionen 58 können Oberflächen aufweisen, die von der oberen Oberfläche des Substrats 20 erhoben sind und können Facetten aufweisen.
  • Die Source/Drain Epitaxieregionen 58 können mit Dotanden implantiert werden, um Source/Drainregionen zu bilden, ähnlich zu dem vorher für die Bildung von leicht dotierten Source/Drainregionen diskutierten Verfahren, gefolgt von einem Tempern. P-Typ Verunreinigungen, z. B. für eine p-Typ Schaltkreiskomponente, schließen Bor, BF2 oder ähnliches, und n-Typ Verunreinigungen, z. B. für eine n-Typ Schaltkreiskomponente, schließen Phosphor, Arsen oder ähnliches ein. Die Source/Drain Regionen können eine Konzentration an Verunreinigungen von zwischen ungefähr 1019 cm–3 und ungefähr 1021 cm–3 aufweisen. In anderen Ausführungsformen können die Source/Drain Epitaxieregionen 58 während des Wachstuns in situ dotiert werden oder durch in situ Dotierung in Kombination mit zu implantierenden Dotierstoffen dotiert werden.
  • Die Maske über der Gate-Elektrode 54, falls vorhanden, und obere Teile der Gate-Spacer 56 können anschließend entfernt werden, wie zum Beispiel durch eine anisotrope Ätzung. Die Ätzung kann jedes akzeptable Ätzverfahren sein, wie zum Beispiel ein RIE, NBE, ähnliches oder eine Kombination davon. Nach der Ätzung können die Gate-Spacer 56, die Gate-Elektrode 54 und das Gate-Dielektrikum 52 wie in 7 verdeutlicht gebildet werden.
  • Die Ätzstopplage 60 wird geeignet über dem Substrat 20, isolierenden Regionen, Source/Drain Epitaxieregionen 58, Gate-Spacern 56 und der Gate-Elektrode 54 gebildet. In einer Ausführungsform kann die Ätzstopplage Lage 60 aus SiN, SiCN, SiCO, CN, einer Kombination davon, oder ähnlichem gebildet werden, aufgetragen durch jedes geeignete Verfahren, wie zum Beispiel CVD, PECVD oder ähnliches. Die ILD 62 wird auf der Ätzstopplage 60 gebildet. Die ILD 62 kann durch ein dielektrisches Material, wie zum Beispiel PSG, BSG, BPSG, USG oder ähnliches gebildet werden, und kann durch jedes geeignete Verfahren, wie zum Beispiel CVD, PECVD oder ähnliches aufgetragen werden. Die ILD 62 kann planarisiert werden, wie zum Beispiel durch CMP, um eine planare obere Oberfläche aufzuweisen.
  • Dann werden Kontaktstrukturen, jede umfassend Adhäsionslage 26, Barrierelage 28, Silizidregion 30 und Kontakt 34 durch die ILD 62 und Ätzstopplage 60 zu einer jeweiligen Source/Drain Epitaxieregion 58 gebildet. In anderen Ausführungsformen, wo die Source/Drain Epitaxieregionen 58 nicht gebildet werden, werden die Kontaktstrukturen zu dem Substrat 20 gebildet. Die Kontaktstrukturen können wie vorher in Bezug auf 1 bis 6 diskutiert gebildet werden.
  • Die Ätzstopplage 64 wird auf der ILD 62 und den Kontaktstrukturen gebildet. In einer Ausführungsform kann die Ätzstopplage 64 aus SiN, SiCN, SiCO, CN, einer Kombination davon, oder ähnlichem gebildet werden, aufgetragen durch jedes geeignete Verfahren, wie zum Beispiel CVD, PECVD oder ähnliches. Das IMD 66 wird auf der Ätzstopplage 64 gebildet. Das IMD 66 kann aus einem dielektrischen Material wie zum Beispiel PSG, BSG, BPSG, USG, oder ähnliches gebildet werden, und kann durch jedes geeignete Verfahren aufgetragen werden, wie zum Beispiel CVD, PECVD, oder ähnliches.
  • Verbundstrukturen, z. B. umfassend eine Leitung 70 und eine Lücke 68, werden in dem IMD 66 gebildet. Die Verbundstrukturen können unter der Verwendung von geeigneten Photolithographietechniken gebildet werden. Im Allgemeinen wird ein Photolithographie- und Ätzverfahren durchgeführt, um einen Teil des IMD 66 zu entfernen, der den Verbundstrukturen entspricht, um Aussparungen und/oder Öffnungen in dem IMD 66 zu definieren. Nach dem Ätzverfahren können die Aussparungen und/oder Öffnungen mit einem leitfähigen Material wie zum Beispiel einer oder mehrerer Lagen an Metallen, Elementarmetallen, Überleitungsmetallen, oder ähnlichem gefüllt werden. In einigen Ausführungsformen ist das leitfähige Material, das verwendet wird um die Aussparungen und/oder Öffnungen zu füllen Kupfer, aufgetragen durch elektrochemische Galvanisierung (ECP). Andere leitfähige Materialien und Verfahren können verwendet werden.
  • Es sollte angemerkt werden, dass die Verbundstrukturen eine Barriere-/Adhäsionslage umfassen können, gebildet aus einer oder mehreren Lagen an leitfähigen Materialien, wie zum Beispiel Titan, Titannitrid, Tantal, Tantalnitrid oder ähnliches. In einer Ausführungsform kann die Adhäsions-/Barrierelage eine dünne Lage an Tantalnitrid, gefolgt von einer dünnen Lage an Tantal, umfassen. Die Tantalnitrid- und Tantallagen können zum Beispiel durch CVD, PVD oder ähnliches gebildet werden. Ein Planarisierungsverfahren, wie zum Beispiel CMP, kann durchgeführt werden, um das überschüssige Barrierelagenmaterial und/oder leitfähige Material zu entfernen. Die gebildeten Verbundstrukturen werden daher an die Kontaktstrukturen gekoppelt. Zusätzliche IMD und Verbundstrukturen können gebildet werden.
  • Obwohl die Schaltkreiskomponente in 7 als durch was als ein Gate-zuerst Verfahren bezeichnet werden kann gebildet diskutiert wird, wird ein Fachmann leicht Modifikationen verstehen, so dass hier offenbarte Aspekte auf ein Gate-zuletzt oder Ersatz-Gateverfahren angewendet werden können. Ausführungsformen sehen Anwendungen auf solche Verfahren vor.
  • Ausführungsformen können Vorteile erzielen. Zuerst kann ein Verfahren zur Bildung einer Kontaktstruktur mit einer Silizidregion vereinfacht werden, da ein Schritt von Entfernen von nicht reagiertem Metall, das für die Silizidation verwendet wurde, ausgelassen werden kann. Weiter kann die Dicke einer Silizidregion leichter kontrolliert werden, da eine Menge des Metalls die Dicke der Silizidregion bestimmen kann, anders als eine Temperatur oder Dauer eines Temperns. Zusätzlich wird keine Adhäsionslage zwischen der Barrierelage und der Silizidregion angeordnet, so dass der Widerstand verringert werden kann. Durch Reduzieren des Widerstands kann eine Widerstands-Kapazitäts-(RC)Zeitkonstante verringert werden, was zu einem schnelleren Betrieb der Vorrichtung führen kann, auch bei verringerten Größen. Andere Vorteile können erreicht werden.
  • In Übereinstimmung mit einer Ausführungsform umfasst eine Struktur eine dielektrische Lage über einem Substrat, eine Adhäsionslage, ein Silizid, eine Barrierelage und ein leitfähiges Material. Die dielektrische Lage weist eine Öffnung zu einer Oberfläche des Substrats auf. Die Adhäsionslage liegt entlang von Seitenwänden der Öffnung vor. Das Silizid ist auf der Oberfläche des Substrats. Die Barrierelage liegt auf der Adhäsionslage und dem Silizid vor, und die Barrierelage grenzt direkt an das Silizid an. Das leitfähige Material ist auf der Barrierelage in der Öffnung.
  • In Übereinstimmung mit einer anderen Ausführungsform umfasst eine Struktur eine dielektrische Lage über einem Substrat, eine Titanlage, ein Titan-enthaltendes Silizid, eine Titannitridlage und ein leitfähiges Material. Eine Öffnung liegt durch die dielektrische Lage zu dem Substrat vor. Die Titanlage liegt auf dielektrischen Seitenwänden der Öffnung vor. Das Titan-enthaltende Silizid liegt auf dem Substrat vor. Die Titannitridlage liegt auf der Titanlage und auf dem Titan-enthaltenden Silizid vor, und kein Teil der Titanlage wird zwischen mindestens einem Teil der Titannitridlage und mindestens einem Teil des Titan-enthaltenden Silizids angeordnet. Das leitfähige Material ist auf der Titannitridlage in der Öffnung.
  • In Übereinstimmung mit einer weiteren Ausführungsform umfasst ein Verfahren das Bilden einer Öffnung durch eine dielektrische Lage zu einem Substrat, wobei eine untere Oberfläche der Öffnung eine Oberfläche eines Halbleitermaterials ist; Bilden einer Adhäsionslage entlang von Seitenwänden der Öffnung und auf der Oberfläche des Halbleitermaterials; Bilden einer Barrierelage auf der Adhäsionslage; nach Bilden der Barrierelage, Reagieren der Adhäsionslage mit dem Halbleitermaterial, um ein Silizid zu bilden; und Bilden eines leitfähigen Materials auf der Adhäsionslage in der Öffnung.
  • Das Voranstehende beschreibt Merkmale von mehreren Ausführungsformen, so dass der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte verstehen, dass er die vorliegende Offenbarung leicht als eine Basis zum Aufbau oder der Modifikation anderer Verfahren und Strukturen zur Durchführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwenden kann. Der Fachmann sollte auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Konzept und dem Bereich der vorliegenden Offenbarung abweichen, und dass er hier verschiedene Änderungen, Substitutionen und Alterationen vornehmen kann, ohne sich vom Konzept und dem Bereich der vorliegenden Offenbarung zu entfernen.

Claims (20)

  1. Struktur, umfassend: eine dielektrische Schicht über einem Substrat, wobei die dielektrische Schicht eine Öffnung zu einer Oberfläche des Substrats aufweist; eine Adhäsionsschicht entlang von Seitenwänden der Öffnung; ein Silizid auf der Oberfläche des Substrats; eine Barriereschicht auf der Adhäsionsschicht und dem Silizid, wobei die Barriereschicht direkt an das Silizid angrenzt; und ein leitfähiges Material auf der Barriereschicht in der Öffnung.
  2. Struktur nach Anspruch 1, wobei die Adhäsionsschicht Titan ist, die Barriereschicht Titannitrid ist, und das Silizid Titan umfasst.
  3. Struktur nach Anspruch 1, wobei eine Dicke der Adhäsionsschicht zwischen 5 Å und 50 Å ist.
  4. Struktur nach Anspruch 1, wobei eine Dicke des Silizids zwischen 40 Å und 250 Å ist.
  5. Struktur nach Anspruch 1, wobei eine Dicke der Barriereschicht zwischen 5 Å und 50 Å ist.
  6. Struktur nach Anspruch 1, wobei das leitfähige Material Wolfram ist.
  7. Struktur umfassend: eine dielektrische Schicht über einem Substrat, wobei Öffnung durch die dielektrische Schicht zu dem Substrat vorhanden ist; eine Titanschicht an dielektrischen Seitenwänden der Öffnung; ein Titan-enthaltendes Silizid auf dem Substrat; eine Titannitridschicht auf der Titanschicht und auf dem Titan-enthaltenden Silizid, wobei kein Teil der Titanschicht zwischen mindestens einem Teil der Titannitridschicht und mindestens einem Teil des Titan-enthaltenden Silizids angeordnet ist; und ein leitfähiges Material auf der Titannitridschicht in der Öffnung.
  8. Struktur nach Anspruch 7, wobei eine Dicke der Titanschicht zwischen 5 A und 50 Å ist.
  9. Struktur nach Anspruch 7, wobei eine Dicke des Titan-enthaltenden Silizids zwischen 40 Å und 250 Å ist.
  10. Struktur nach Anspruch 7, wobei eine Dicke der Titannitridschicht zwischen 5 Å und 50 Å ist.
  11. Struktur nach Anspruch 7, wobei das leitfähige Material Wolfram ist.
  12. Struktur nach Anspruch 7, wobei die Titannitridschicht direkt an das Titan-enthaltende Silizid angrenzt.
  13. Verfahren umfassend: Bilden einer Öffnung durch eine dielektrische Schicht zu einem Substrat, wobei eine Bodenoberfläche der Öffnung eine Oberfläche eines Halbleitermaterials ist; Bilden einer Adhäsionsschicht entlang von Seitenwänden der Öffnung und auf der Oberfläche des Halbleitermaterials; Bilden einer Barriereschicht auf der Adhäsionsschicht; nach dem Bilden der Barriereschicht, Reagieren der Adhäsionsschicht mit dem Halbleitermaterial um ein Silizid zu bilden; und Bilden eines leitfähigen Materials auf der Adhäsionsschicht in der Öffnung.
  14. Verfahren nach Anspruch 13, wobei das Reagieren ein Tempern umfasst.
  15. Verfahren nach Anspruch 13, wobei nach dem Reagieren die Barriereschicht direkt an das Silizid angrenzt.
  16. Verfahren nach Anspruch 13, wobei eine Dicke der auf der Oberfläche des Halbleitermaterials gebildeten Adhäsionsschicht zwischen 50 Å und 300 Å ist.
  17. Verfahren nach Anspruch 13, wobei eine Dicke des Silizids zwischen 40 Å und 250 Å ist.
  18. Verfahren nach Anspruch 13, wobei eine Dicke der Barriereschicht zwischen 5 Å und 50 Å ist.
  19. Verfahren nach Anspruch 13, wobei das leitfähige Material Wolfram ist.
  20. Verfahren nach Anspruch 13, wobei die Adhäsionsschicht Titan ist, die Barriereschicht Titannitrid ist und das Silizid Titan umfasst.
DE102014019523.6A 2014-08-07 2014-12-22 Kontaktstruktur und Verfahren zur Bildung Ceased DE102014019523A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462034424P 2014-08-07 2014-08-07
US62/034,424 2014-08-07
US14/532,886 2014-11-04
US14/532,886 US9831183B2 (en) 2014-08-07 2014-11-04 Contact structure and method of forming

Publications (1)

Publication Number Publication Date
DE102014019523A1 true DE102014019523A1 (de) 2016-02-11

Family

ID=55134575

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014019523.6A Ceased DE102014019523A1 (de) 2014-08-07 2014-12-22 Kontaktstruktur und Verfahren zur Bildung

Country Status (5)

Country Link
US (3) US9831183B2 (de)
KR (4) KR20160018325A (de)
CN (2) CN106158822A (de)
DE (1) DE102014019523A1 (de)
TW (1) TWI564998B (de)

Families Citing this family (211)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10249502B2 (en) * 2016-01-22 2019-04-02 International Business Machines Corporation Low resistance source drain contact formation with trench metastable alloys and laser annealing
US10153351B2 (en) 2016-01-29 2018-12-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9768062B1 (en) * 2016-06-16 2017-09-19 Samsung Electronics Co., Ltd. Method for forming low parasitic capacitance source and drain contacts
US10153203B2 (en) 2016-11-29 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming metal layers in openings and apparatus for forming same
US10347531B2 (en) * 2017-02-22 2019-07-09 Globalfoundries Inc. Middle of the line (MOL) contact formation method and structure
US10170318B2 (en) 2017-04-28 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
TWI657533B (zh) * 2017-06-16 2019-04-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US10269624B2 (en) 2017-07-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods of forming same
US10453747B2 (en) * 2017-08-28 2019-10-22 Globalfoundries Inc. Double barrier layer sets for contacts in semiconductor device
US10361133B2 (en) 2017-09-18 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate and method for fabricating the same
US10868181B2 (en) * 2017-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with blocking layer and method for forming the same
US10763104B2 (en) 2017-09-28 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming differential etch stop layer using directional plasma to activate surface on device structure
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10509334B2 (en) 2017-09-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and apparatus for removing contamination from lithographic tool
US10347720B2 (en) 2017-10-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Doping for semiconductor device with conductive feature
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
US10504990B2 (en) 2017-11-21 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation features and methods of fabricating the same
US10658362B2 (en) 2017-11-27 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor component and fabricating method thereof
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10332789B2 (en) * 2017-11-27 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with TiN adhesion layer for forming a contact plug
US10777466B2 (en) 2017-11-28 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Fin cutting process and structures formed thereby
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby
US10714334B2 (en) 2017-11-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10510838B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. High surface dopant concentration formation processes and structures formed thereby
US10312089B1 (en) 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10515849B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device, interconnection structure and method for forming the same
US10804180B2 (en) 2017-11-30 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10867833B2 (en) 2017-11-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Buried metal for FinFET device and method
DE102018128925B4 (de) 2017-11-30 2024-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur Herstellung einer Halbleitervorrichtung und Halbleitervorrichtung
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
DE102018102448B4 (de) 2017-11-30 2023-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Bildung und Struktur leitfähiger Merkmale
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US11031286B2 (en) 2018-03-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10535748B2 (en) 2018-03-01 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact with a silicide region
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10804140B2 (en) * 2018-03-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect formation and structure
CN110718454A (zh) * 2018-07-13 2020-01-21 中芯国际集成电路制造(北京)有限公司 半导体器件及其形成方法
JP7283036B2 (ja) * 2018-07-13 2023-05-30 富士電機株式会社 半導体装置および製造方法
US10672870B2 (en) 2018-07-16 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10949597B2 (en) 2018-07-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Through-silicon vias in integrated circuit packaging
US10854716B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source/drain contact formed using bottom-up deposition
US10790195B2 (en) 2018-07-31 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Elongated pattern and formation thereof
US11031383B2 (en) 2018-08-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US11024721B2 (en) 2018-09-20 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US10937691B2 (en) 2018-09-27 2021-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming an abrasive slurry and methods for chemical-mechanical polishing
US10854506B2 (en) 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10636702B2 (en) 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US11024549B2 (en) 2018-09-28 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11069579B2 (en) 2018-10-19 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10840251B2 (en) 2018-10-25 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11217486B2 (en) 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10943818B2 (en) 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10957604B2 (en) 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11107690B2 (en) 2018-11-30 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US20200283896A1 (en) * 2019-03-08 2020-09-10 Applied Materials, Inc. Methods for low temperature silicide formation
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
US11101353B2 (en) * 2019-04-17 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11069784B2 (en) 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11264284B2 (en) 2019-06-20 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US11342225B2 (en) 2019-07-31 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier-free approach for forming contact plugs
US11348839B2 (en) 2019-07-31 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices with multiple silicide regions
US11335817B2 (en) 2019-08-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Composite etch stop layers for sensor devices
US11094788B2 (en) 2019-08-21 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11189531B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11189727B2 (en) 2019-08-23 2021-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET contacts and method forming same
US11456383B2 (en) 2019-08-30 2022-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a contact plug with an air gap spacer
DE102020114875B4 (de) 2019-08-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Finfet-vorrichtung und verfahren
US11302818B2 (en) 2019-09-16 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gate resistance reduction through low-resistivity conductive layer
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11362212B2 (en) 2019-09-17 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact interface engineering for reducing contact resistance
US11469139B2 (en) 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US11094796B2 (en) 2019-09-30 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor spacer structures
US11335774B2 (en) 2019-10-18 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for semiconductor device and method
US11264270B2 (en) 2019-10-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Air-replaced spacer for self-aligned contact scheme
US11251284B2 (en) 2019-10-29 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy gate cutting process and resulting gate structures
US11145728B2 (en) 2019-10-30 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming same
US11488857B2 (en) 2019-10-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture using a contact etch stop layer (CESL) breakthrough process
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11380781B2 (en) 2019-12-17 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Contact and via structures for semiconductor devices
US11227794B2 (en) 2019-12-19 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for making self-aligned barrier for metal vias In-Situ during a metal halide pre-clean and associated interconnect structure
US11233134B2 (en) 2019-12-19 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with dual silicide contact structures
US11521896B2 (en) * 2019-12-20 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of a protective layer to reduce interconnect structure critical dimensions
CN113013142A (zh) 2019-12-20 2021-06-22 台湾积体电路制造股份有限公司 集成芯片
US11728223B2 (en) 2019-12-20 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US11296187B2 (en) 2019-12-20 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11502166B2 (en) 2019-12-20 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal material for air gaps in semiconductor devices
US11901220B2 (en) 2019-12-20 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bilayer seal material for air gaps in semiconductor devices
US11276571B2 (en) 2019-12-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of breaking through etch stop layer
US11488859B2 (en) 2019-12-27 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102524729B1 (ko) * 2019-12-29 2023-04-21 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 후면 전력 레일을 갖는 트랜지스터를 위한 구조물 및 방법
US11302577B2 (en) 2020-01-17 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US11393910B2 (en) 2020-01-21 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and formation method thereof
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
DE102020119831A1 (de) 2020-01-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Überzugfreie leitfähige strukturen mit ankerpunkten
US11264273B2 (en) 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
US11437287B2 (en) 2020-01-31 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gates and methods of forming thereof
DE102020114860A1 (de) 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor-gates und verfahren zum bilden davon
US11217586B2 (en) 2020-01-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having dummy fin physically separating the first and second gate stacks
US11094702B1 (en) 2020-02-10 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. One-time programmable memory device including anti-fuse element and manufacturing method thereof
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11264287B2 (en) 2020-02-11 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with cut metal gate and method of manufacture
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11563001B2 (en) 2020-03-30 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and capping structures in semiconductor devices
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11489053B2 (en) 2020-04-09 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417568B2 (en) 2020-04-10 2022-08-16 Applied Materials, Inc. Methods for selective deposition of tungsten atop a dielectric layer for bottom up gapfill
US11335638B2 (en) 2020-04-15 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing RC delay in semiconductor devices
US11164789B1 (en) 2020-04-17 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device that includes covering metal gate with multilayer dielectric
US11349004B2 (en) * 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
KR102432494B1 (ko) * 2020-04-28 2022-08-12 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 소자에서의 자체 정렬 에칭
US11521929B2 (en) 2020-04-28 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for liner-free conductive structures
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11450572B2 (en) 2020-05-22 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11349005B2 (en) * 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11374089B2 (en) 2020-05-22 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation (STI) contact structures and methods of forming same
US11289383B2 (en) 2020-05-27 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11502199B2 (en) 2020-05-28 2022-11-15 Taiwan Semiconductor Manufacturing Co, Ltd. Independent control of stacked semiconductor device
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11799002B2 (en) 2020-05-29 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same
US11195752B1 (en) 2020-05-29 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11888064B2 (en) 2020-06-01 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11515165B2 (en) 2020-06-11 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11316033B2 (en) 2020-06-12 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11257755B2 (en) 2020-06-15 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Metal loss prevention in conductive structures
US11600728B2 (en) 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11430700B2 (en) 2020-06-26 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Trench isolation with conductive structures
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11545546B2 (en) 2020-06-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11848239B2 (en) 2020-07-10 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning method and structures resulting therefrom
US11728244B2 (en) 2020-07-17 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US11728413B2 (en) 2020-07-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate capping structures in semiconductor devices
US11810960B2 (en) 2020-07-31 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11437240B2 (en) 2020-08-05 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structure and method of forming
US11527621B2 (en) 2020-08-05 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate electrode deposition and structure formed thereby
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11923436B2 (en) 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11652149B2 (en) * 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11430790B2 (en) 2020-08-14 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563083B2 (en) 2020-08-14 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11646377B2 (en) * 2020-08-21 2023-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11810857B2 (en) 2020-08-25 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Via for semiconductor device and method
US11616143B2 (en) 2020-08-27 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11862694B2 (en) 2020-09-23 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11430701B2 (en) 2020-09-25 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate oxide structures in semiconductor devices
US11348929B2 (en) 2020-09-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11705491B2 (en) 2020-09-29 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11581218B2 (en) 2020-09-29 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11967526B2 (en) 2020-09-29 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and manufacturing method thereof
US11664272B2 (en) 2020-09-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of gate contact opening
US11749732B2 (en) 2020-09-29 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch profile control of via opening
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
US11728212B2 (en) 2020-09-29 2023-08-15 Taiwan Semicondcutor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11404548B2 (en) 2020-10-13 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitance reduction for backside power rail device
US11894435B2 (en) 2020-10-15 2024-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug structure of semiconductor device and method of forming same
US11637018B2 (en) 2020-10-27 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for contact structures of semiconductor devices
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11374093B2 (en) 2020-11-25 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11557511B2 (en) 2021-01-12 2023-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11923357B2 (en) 2021-01-18 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581411B2 (en) 2021-02-09 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US12002885B2 (en) 2021-02-11 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Gate contact and via structures in semiconductor devices
US11984356B2 (en) 2021-02-11 2024-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11798943B2 (en) 2021-02-18 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor source/drain contacts and methods of forming the same
US11652171B2 (en) 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US11670595B2 (en) 2021-02-25 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11676862B2 (en) 2021-02-26 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11854963B2 (en) 2021-03-03 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor interconnection structure and methods of forming the same
US11626482B2 (en) 2021-03-04 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer formation with a spin-on dielectric material
US11996324B2 (en) 2021-03-05 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature of a semiconductor device and method of forming same
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20220293422A1 (en) * 2021-03-11 2022-09-15 Changxin Memory Technologies, Inc. Semiconductor structure and preparation method for semiconductor structure
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11670499B2 (en) 2021-03-18 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming conductive feature including cleaning step
US11942479B2 (en) 2021-03-26 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11605591B2 (en) 2021-03-30 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11682675B2 (en) 2021-03-30 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US11695042B2 (en) 2021-04-08 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor contacts and methods of forming the same
US11728218B2 (en) 2021-04-16 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11996327B2 (en) 2021-04-22 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of forming the same
US11482595B1 (en) 2021-04-23 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Dual side contact structures in semiconductor devices
US11652152B2 (en) 2021-04-23 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Capping structures in semiconductor devices
US11996363B2 (en) 2021-04-28 2024-05-28 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure including a heat dissipation layer and methods of forming the same
US11855186B2 (en) 2021-04-28 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11967622B2 (en) 2021-05-05 2024-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Inter block for recessed contacts and methods forming same
US11705371B2 (en) 2021-05-05 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having merged source/drain features and methods of fabrication thereof
US20220359287A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Recessed contacts at line end and methods forming same
US11600699B2 (en) 2021-05-05 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure integrating air gaps and methods of forming the same
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11756884B2 (en) 2021-05-06 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US20220367361A1 (en) * 2021-05-07 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of forming the same
US11640940B2 (en) 2021-05-07 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnection structure including conductive graphene layers
US11908702B2 (en) * 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US12009259B2 (en) 2021-08-30 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including low-k metal gate isolation and methods of fabrication thereof
US11990524B2 (en) 2021-08-30 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and forming method thereof
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
WO2023043608A1 (en) * 2021-09-14 2023-03-23 Corning Incorporated Methods and apparatus for manufacturing an electronic apparatus
US11973124B2 (en) 2021-11-04 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5644166A (en) * 1995-07-17 1997-07-01 Micron Technology, Inc. Sacrificial CVD germanium layer for formation of high aspect ratio submicron VLSI contacts
JPH1012729A (ja) * 1996-06-27 1998-01-16 Nec Corp 半導体装置の製造方法
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US6177338B1 (en) * 1999-02-08 2001-01-23 Taiwan Semiconductor Manufacturing Company Two step barrier process
KR100330163B1 (ko) * 2000-01-06 2002-03-28 윤종용 반도체 장치의 텅스텐 콘택 플러그 형성 방법
KR100382725B1 (ko) * 2000-11-24 2003-05-09 삼성전자주식회사 클러스터화된 플라즈마 장치에서의 반도체소자의 제조방법
JP2003092271A (ja) * 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
JP2004193499A (ja) 2002-12-13 2004-07-08 Applied Materials Inc 半導体装置、その製造方法、及びその製造装置
US7033931B2 (en) * 2003-08-01 2006-04-25 Agere Systems Inc. Temperature optimization of a physical vapor deposition process to prevent extrusion into openings
US7226858B2 (en) * 2004-09-30 2007-06-05 Microchip Technology Incorporated Submicron contact fill using a CVD TiN barrier and high temperature PVD aluminum alloy deposition
KR100715267B1 (ko) * 2005-06-09 2007-05-08 삼성전자주식회사 스택형 반도체 장치 및 그 제조 방법
KR20070003058A (ko) 2005-06-30 2007-01-05 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
US20070210448A1 (en) 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
US8039391B1 (en) * 2006-03-27 2011-10-18 Spansion Llc Method of forming a contact in a semiconductor device with engineered plasma treatment profile of barrier metal layer
KR20080004303A (ko) 2006-07-05 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
JP4534164B2 (ja) * 2006-07-25 2010-09-01 エルピーダメモリ株式会社 半導体装置の製造方法
US7525197B2 (en) * 2006-07-31 2009-04-28 Intel Corporation Barrier process/structure for transistor trench contact applications
CN101192560A (zh) * 2006-11-28 2008-06-04 中芯国际集成电路制造(上海)有限公司 接触孔的填充方法
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
KR20090078109A (ko) 2008-01-14 2009-07-17 주식회사 하이닉스반도체 반도체 소자의 제조 방법
KR101378469B1 (ko) * 2008-05-07 2014-03-28 삼성전자주식회사 콘택 구조물의 형성 방법 및 이를 이용한 반도체 장치의제조 방법
JP2010199349A (ja) * 2009-02-26 2010-09-09 Toshiba Corp 半導体装置の製造方法
JP2011003710A (ja) * 2009-06-18 2011-01-06 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
JP2011100962A (ja) 2009-10-09 2011-05-19 Tokyo Electron Ltd 成膜方法及びプラズマ処理装置
KR101776926B1 (ko) * 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US20130040438A1 (en) * 2011-08-09 2013-02-14 International Business Machines Corporation EPITAXIAL PROCESS WITH SURFACE CLEANING FIRST USING HCl/GeH4/H2SiCl2
US8866195B2 (en) * 2012-07-06 2014-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. III-V compound semiconductor device having metal contacts and method of making the same
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
JP2015228418A (ja) * 2014-05-30 2015-12-17 ルネサスエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming

Also Published As

Publication number Publication date
KR20180007351A (ko) 2018-01-22
KR20160018325A (ko) 2016-02-17
US10269713B2 (en) 2019-04-23
US20190221522A1 (en) 2019-07-18
TWI564998B (zh) 2017-01-01
TW201606937A (zh) 2016-02-16
US9831183B2 (en) 2017-11-28
CN112530904A (zh) 2021-03-19
US10756017B2 (en) 2020-08-25
KR20160119438A (ko) 2016-10-13
US20160043035A1 (en) 2016-02-11
KR20180110656A (ko) 2018-10-10
CN106158822A (zh) 2016-11-23
US20180076144A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
DE102014019523A1 (de) Kontaktstruktur und Verfahren zur Bildung
DE102018100062B4 (de) Drive-In-Verfahren für Gatestruktur-Passivierungsspezies und eine dadurch ausgebildete Struktur
DE102018107997B4 (de) Selektive abdeckprozesse und dadurch ausgebildete strukturen
DE102015109820B4 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102017127206A1 (de) Kontaktstruktur für halbleiter-bauelement
DE102019123629B4 (de) Gate-all-around-feldeffekttransistorvorrichtung und herstellungsverfahren
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102018100300B4 (de) Bilden eines leitfähigen merkmals und einer struktur
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102018106191B4 (de) Verfahren zur selektiven bildung von gate-abstandshaltern eines finfets mittels eines fluorierungsprozesses
DE102021103217B4 (de) Ätzprofilsteuerung der Durchkontaktierungsöffnung und entsprechende Halbleitervorrichtung
DE102020114655B4 (de) Finnen-feldeffekttransistorvorrichtung mit kontaktstopfen mit einspringendem profil und verfahren zu dessen herstellung
DE102017126881B4 (de) FinFET-Strukturen und Verfahren zu ihrer Ausbildung
DE102021113053A1 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102018122654A1 (de) Finnenfeldeffekttransistorvorrichtung und verfahren zum bilden derselben
DE102017126435B4 (de) Fin-feldeffekttransistorvorrichtung und verfahren
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102021113657B4 (de) Verfahren zum Bilden einer Halbleitervorrichtung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R002 Refusal decision in examination/registration proceedings
R130 Divisional application to

Ref document number: 102014020126

Country of ref document: DE

R003 Refusal decision now final