DE102017127708A1 - Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung - Google Patents

Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung Download PDF

Info

Publication number
DE102017127708A1
DE102017127708A1 DE102017127708.0A DE102017127708A DE102017127708A1 DE 102017127708 A1 DE102017127708 A1 DE 102017127708A1 DE 102017127708 A DE102017127708 A DE 102017127708A DE 102017127708 A1 DE102017127708 A1 DE 102017127708A1
Authority
DE
Germany
Prior art keywords
layer
opening
threshold voltage
voltage tuning
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102017127708.0A
Other languages
English (en)
Inventor
Chung-Liang Cheng
Wei-Jen Chen
Yen-Yu Chen
Ming-Hsien Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017127708A1 publication Critical patent/DE102017127708A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Es werden hier Verfahren zur Abstimmung von Schwellenspannungen von finnenartigen Feldeffekttransistorbauelementen offenbart. Ein Beispiel für ein Verfahren umfasst das Ausbilden einer ersten Öffnung in einer ersten Gate-Struktur und einer zweiten Öffnung in einer zweiten Gate-Struktur. Die erste Gate-Struktur wird über einer ersten Finnenstruktur angeordnet, und die zweite Gate-Struktur wird über einer zweiten Finnenstruktur angeordnet. Das Verfahren umfasst ferner das Auffüllen der ersten Öffnung und der zweiten Öffnung durch Ausbilden einer Gatedielektrikumschicht, Ausbilden einer Schwellenspannungsabstimmschicht über der Gatedielektrikumschicht, Zurückätzen der Schwellenspannungsabstimmschicht in der zweiten Öffnung, Ausbilden einer Austrittsarbeit-Schicht über der Schwellenspannungsabstimmschicht und Ausbilden einer Metallfüllschicht über der Austrittsarbeit-Schicht. Die Schwellenspannungsabstimmschicht weist Tantal und Stickstoff auf. Beim Zurückätzen wird ein Wolframchlorid enthaltendes Vorprodukt verwendet.

Description

  • STAND DER TECHNIK
  • Die Industrie zur Herstellung integrierter Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Die technologischen Fortschritte bei den Materialien und dem Entwurf von ICs haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation aufweist. Im Verlauf der Entwicklung von ICs hat sich die funktionale Dichte (d.h. die Anzahl der untereinander verbundenen Bauelemente pro Chipfläche) generell vergrößert, während sich die geometrische Abmessung (d.h. die kleinste Komponente (oder Zuleitung), die unter Verwendung eines Herstellungsprozesses hergestellt werden kann) verkleinert hat. Dieser Prozess des Herunterskalierens ist wegen der Erhöhung der Produktivität und der Verringerung der damit verbundenen Kosten generell von Vorteil.
  • Mit einem derartigen Herunterskalieren hat sich auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und zum Realisieren dieser Fortschritte werden ähnliche Entwicklungen bei der Bearbeitung und Herstellung von ICs benötigt. Zum Beispiel sind Gate-Austauschprozesse realisiert worden, die üblicherweise das Ersetzen von Polysilizium-Gateelektroden durch Metall-Gateelektroden einschließen, um die Leistungsfähigkeit des Bauelements zu verbessern, wobei die Werte der Austrittsarbeit der Metall-Gateelektroden während des Gate-Austauschprozesses abgestimmt werden, um verschiedenartige Bauelemente bereitzustellen, die unterschiedliche Schwellen(Betriebs)-Spannungen aufweisen. Obwohl die existierenden Gate-Austauschprozesse und die entsprechenden Schwellenspannung-Abstimmungsprozesse für ihre vorgesehenen Zwecke im Allgemeinen ausreichend gewesen sind, sind sie nicht in jeder Hinsicht zufriedenstellend gewesen, wenn bei den IC-Technologien die Abmessungen verringert werden.
  • Figurenliste
  • Die vorliegende Offenbarung ist am besten anhand der nachfolgenden ausführlichen Beschreibung zu verstehen, wenn sie mitsamt den beigefügten Figuren gelesen wird. Es wird hervorgehoben, dass im Einklang mit der üblichen Vorgehensweise in der Industrie die verschiedenartigen Merkmale nicht maßstabsgerecht gezeichnet sind und nur zu Veranschaulichungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenartigen Merkmale aus Gründen der Verständlichkeit der Darlegungen beliebig vergrößert oder verkleinert worden sein.
    • 1A ist ein Ablaufdiagramm eines Verfahrens zur Herstellung einer finnenbasierten integrierten Schaltungsvorrichtung gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung.
    • 1B ist ein Ablaufdiagramm eines Verfahrens zur Herstellung von Metall-Gatestapeln für eine finnenbasierte integrierte Schaltungsvorrichtung, das in dem Verfahren von 1A gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung realisiert werden kann.
    • Die 2A-2L sind unvollständige grafische Darstellungen einer finnenbasierten integrierten Schaltungsvorrichtung, anteilig oder im Ganzen, in verschiedenartigen Herstellungsstufen, wie z.B. denen, die zu dem Verfahren von 1 gehören, gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung.
    • 3 ist eine unvollständige grafische Darstellung eines Mehrkammer-Bearbeitungssystems einer integrierten Schaltung gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft im Allgemeinen integrierte Schaltungsvorrichtungen und insbesondere eine Spannungsschwellenwertabstimmung für integrierte Schaltungsvorrichtungen auf Finnen-Basis.
  • In der folgenden Offenbarung werden viele unterschiedliche Ausführungsformen oder Beispiele bereitgestellt, um die unterschiedlichen Merkmale der Erfindung zu realisieren. Nachfolgend werden spezielle Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, und sie sind nicht zur Einschränkung vorgesehen. Zum Beispiel kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, in denen das erste und zweite Merkmal in einem direkten Kontakt ausgebildet sind, und sie kann auch Ausführungsformen umfassen, in denen zwischen dem ersten und zweiten Merkmal zusätzliche Merkmale derart ausgebildet sein können, dass das erste und zweite Merkmal nicht unmittelbar kontaktieren können.
  • Außerdem können in der vorliegenden Offenbarung Bezugsziffern und/oder Buchstaben in den verschiedenartigen Beispielen wiederholt werden. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und gibt von sich aus keine Beziehung zwischen den erörterten verschiedenartigen Ausführungsformen und/oder Konfigurationen vor. Ferner kann die Ausbildung eines Merkmals auf einem weiteren, mit ihm verbundenen und/oder daran gekoppelten Merkmal in der nachfolgenden Beschreibung Ausführungsformen umfassen, in denen die Merkmale in einem direkten Kontakt ausgebildet sind, und sie kann auch Ausführungsformen umfassen, in denen zwischen den Merkmalen eingefügte zusätzliche Merkmale derart ausgebildet sein können, dass die Merkmale nicht unmittelbar kontaktieren können. Außerdem werden hier räumliche Relationsbegriffe, wie z.B. „untere“, „obere“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „unter“, „hinauf“, „hinab“, „oben“, „unten“ usw. sowie die Ableitungen davon (z.B. „waagerecht“, „abwärts“, „aufwärts“ usw.) zur Vereinfachung der vorliegenden Offenbarung verwendet, um die Beziehung eines Merkmals zu einem anderen Merkmal zu beschreiben. Die räumlichen Relationsbegriffe sind dazu gedacht, verschiedene Ausrichtungen des Bauelements einschließlich der Merkmale zu umfassen.
  • Hier werden verschiedenartige Verfahren zum Ausbilden von Gatestapeln und zugehörigen Gate-Strukturen offenbart, die in einem beliebigen aus einer Vielzahl von Bauelementtypen realisiert werden können. Zum Beispiel können Ausbildungen der vorliegenden Offenbarung so ausgeführt werden, dass sie Gatestapel ausbilden, die für planare Feldeffekttransistoren (FETs), Mehrgate-Transistoren (planar oder vertikal), wie z.B. finnenartige FET-Bauelemente (FinFET-Bauelemente) Rundumgate(GAA)-Bauelemente, Omega-Gate(Ω-Gate)-Bauelemente oder Pi-Gate(Π-Gate)-Bauelemente, sowie verspannte Halbleiterbauelemente, Halbleiter-auf-Isolator(SOI)-Bauelemente, teilweise verarmte SOI-Bauelemente, vollständig verarmte SOI-Bauelemente oder andere Bauelemente geeignet sind. In der vorliegenden Offenbarung wird angenommen, dass ein Durchschnittsfachmann weitere integrierte Schaltungsvorrichtungen erkennen wird, die aus den hier beschriebenen Gate-Herstellungsverfahren und/oder Gate-Strukturen einen Nutzen ziehen können.
  • 1A ist ein Ablaufdiagramm eines Verfahrens 10 zur Herstellung einer integrierten Schaltungsvorrichtung gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung. Am Block 12 umfasst das Verfahren 10 ein Ausbilden einer ersten Gate-Struktur über einer ersten Finnenstruktur und einer zweiten Gate-Struktur über einer zweiten Finnenstruktur. Ein erster FinFET weist die erste Gate-Struktur und ein zweiter FinFET die zweite Gate-Struktur auf. Am Block 14 umfasst das Verfahren 10 ein Entfernen eines Teils der ersten Gate-Struktur und der zweiten Gate-Struktur, wodurch eine erste Öffnung und eine zweite Öffnung ausgebildet werden. In einigen Ausführungen wird ein Dummy-Gatestapel (der zum Beispiel eine Polysilizium-Gateelektrode aufweist) aus der ersten Gate-Struktur und der zweiten Gate-Struktur entfernt. Am Block 16 umfasst das Verfahren 10 das Auffüllen der ersten Öffnung und der zweiten Öffnung mit Metall-Gatestapeln, derart dass eine erste Schwellenspannung des ersten FinFET größer als eine zweite Schwellenspannung des zweiten FinFET ist. Das Verfahren 10 kann fortgeführt werden, um die Herstellung des ersten FinFET und des zweiten FinFET abzuschließen. Zum Beispiel kann eine Mehrschicht-Zwischenverbindungsstruktur hergestellt werden, um den Betrieb des ersten FinFET und des zweiten FinFET zu ermöglichen. Es können zusätzliche Schritte vor, während und nach dem Verfahren 10 vorgesehen werden, und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen der Verfahrens 10 verschoben, ersetzt oder weggelassen werden.
  • 1B ist ein Ablaufdiagramm eines Verfahrens 20 zur Herstellung von Metall-Gatestapeln, die im Block 16 des Verfahrens 10 von 1A gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung realisiert werden können. Am Block 22 umfasst das Verfahren 20 ein Ausbilden einer Gatedielektrikumschicht, wie z.B. einer High-k-Dielektrikumschicht in einer ersten Öffnung einer ersten Gate-Struktur und einer zweiten Öffnung einer zweiten Gate-Struktur. Ein erster FinFET kann die erste Gate-Struktur aufweisen, und ein zweiter FinFET kann die zweite Gate-Struktur aufweisen. Am Block 24 umfasst das Verfahren 20 ein Ausbilden einer Schwellenspannungsabstimmschicht über der Gatedielektrikumschicht. Die Schwellenspannungsabstimmschicht weist ein Material mit einem Wert der Austrittsarbeit auf, der von einer Dicke der Schwellenspannungsabstimmschicht abhängt. Die Schwellenspannungsabstimmschicht weist zum Beispiel Tantal und Stickstoff auf. In einigen Ausführungen wird über der Gatedielektrikumschicht vor dem Ausbilden der Schwellenspannungsabstimmschicht eine Abdeckschicht ausgebildet. Am Block 26 umfasst das Verfahren 20 ein Rückätzen der Schwellenspannungsabstimmschicht in der zweiten Öffnung unter Verwendung eines Wolframchlorid enthaltenden Vorprodukts. Durch das Rückätzen wird eine Dicke der Schwellenspannungsabstimmschicht der zweiten Gate-Struktur verringert, wodurch eine Schwellenspannung des zweiten FinFET herabgesetzt wird. Am Block 28 umfasst das Verfahren 20 ein Ausbilden einer Austrittsarbeit-Schicht über der Schwellenspannungsabstimmschicht. In einigen Ausführungen wird die Austrittsarbeit-Schicht nach dem Rückätzen ausgebildet. In einigen Ausführungen wird die Austrittsarbeit-Schicht vor dem Rückätzen ausgebildet. In derartigen Ausführungen wird die Austrittsarbeit-Schicht vor dem Rückätzen aus der zweiten Öffnung entfernt. In einigen Ausführungen weist die Austrittsarbeit-Schicht eine erste Austrittsarbeit-Schicht, die vor dem Rückätzen über der Schwellenspannungsabstimmschicht ausgebildet wird, und eine zweite Austrittsarbeit-Schicht auf, nach dem Rückätzen ausgebildet wird. In derartigen Ausführungen wird die erste Austrittsarbeit-Schicht vor dem Rückätzen aus der zweiten Öffnung entfernt. In einigen Ausführungen wird die zweite Austrittsarbeit-Schicht nur in der ersten Öffnung ausgebildet. Am Block 30 umfasst das Verfahren 20 ein Ausbilden einer Metallfüllschicht über der Austrittsarbeit-Schicht. Es können zusätzliche Schritte vor, während und nach dem Verfahren 20 vorgesehen werden, und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen der Verfahrens 20 verschoben, ersetzt oder weggelassen werden.
  • Die 2A-2L sind unvollständige grafische Darstellungen einer finnenbasierten integrierten Schaltungsvorrichtung 100, anteilig oder im Ganzen, in den verschiedenartigen Herstellungsstufen (wie z.B. denen, die zu dem Verfahren 10 in 1A und 1B gehören) gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung. Die finnenbasierte integrierte Schaltungsvorrichtung 100 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung enthalten sein. In einigen Ausführungen kann die finnenbasierte integrierte Schaltungsvorrichtung 100 ein Teil eines IC-Chips, ein System auf einem Chip (SoC) oder ein Teil desselben sein, das verschiedenartige passive und aktive Mikroelektronikbauelemente, wie z.B. Widerstände, Kondensatoren, induktive Bauelemente, Dioden, FETs vom p-Typ (PFETs), FETs vom n-Typ (NFETs), Metall-Oxid-Halbleiter-FETs (MOSFETs), komplementäre MOS-Transistoren (CMOS-Transistoren), Bipolartransistoren (BJTs), lateral diffundierte MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon aufweist. Die verschiedenartigen Transistoren können planare Transistoren oder Mehrgatetransistoren, wie z.B. FinFETs sein, die von den Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 abhängig sind. Die 2A-2L sind deutlichkeitshalber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Offenbarung besser zu verstehen. In der finnenbasierten integrierten Schaltungsvorrichtung 100 können in anderen Ausführungsformen der finnenbasierte integrierten Schaltungsvorrichtung 100 zusätzliche Merkmale hinzugefügt werden, und einige der nachfolgend beschriebenen Merkmale können ersetzt, abgeändert oder weggelassen werden.
  • Mit Bezugnahme auf 2A weist die finnenbasierte integrierte Schaltungsvorrichtung 100 ein Substrat (Wafer) 102 auf. Für das Substrat 102 werden verschiedenartige Bereiche festgelegt, wie z.B. ein Hochspannungsbereich vom n-Typ (HV-N-Bereich) 104A, ein Niederspannungsbereich vom n-Typ (LV-N-Bereich) 104B, ein Niederspannungsbereich vom p-Typ (LV-P-Bereich) 104C und ein Hochspannungsbereich vom p-Typ (HV-P-Bereich) 104D. Der HV-N-Bereich 104A und der HV-P-Bereich 104D weisen Hochspannungstransistoren (HVT) auf, und der LV-N-Bereich 104B und der LV-P-Bereich 104C weisen Niederspannungstransistoren (LVT) auf, wobei eine Schwellenspannung (Vt) der HVTs größer als eine Schwellenspannung der LVTs ist. In einigen Ausführungen weisen die Transistoren vom n-Typ einen Schwellenwert von circa 0,1 V bis zu circa 0,25 V und die Transistoren vom p-Typ eine Schwellenspannung von circa 0.14 V bis zu circa 0,29 V auf, wobei eine Schwellenspannung eines Transistors vom n-Typ im HV-N-Bereich 104A höher als eine Schwellenspannung eines Transistors vom n-Typ im LV-N-Bereich 104B ist und eine Schwellenspannung eines Transistors vom p-Typ im HV-P-Bereich 104D ist höher als eine Schwellenspannung eines Transistors vom p-Typ im LV-P-Bereich 104C ist. In einigen Ausführungen sind die LVTs Logiktransistoren, Kerntransistoren, SRAM-Transistoren, Eingabe/Ausgabe(E/A)-Transistoren oder andere Bauelemente, die betrieben werden, indem im Wesentlichen eine Nennspannung verwendet wird. In einigen Ausführungen weisen die HVTs E/A-Transistoren auf, die eine höhere Eingabeschwellenspannung (zum Beispiel eine Leistungszuführungsspannung) in eine niedrigere Schwellenspannung umwandeln, die für LVTs, wie z.B. Kerntransistoren, geeignet ist. In Fortführung der dargestellten Ausführungsform, weisen der HV-N-Bereich 104A, LV-N-Bereich 104B, LV-P-Bereich 104C und HV-P-Bereich 104D einen oder mehrere FinFETs auf, die als HVTs und/oder LVTs konfiguriert sind, wie nachfolgend weiter beschrieben ist. In einigen Ausführungen können der HV-N-Bereich 104A, LV-N-Bereich 104B, LV-P-Bereich 104C und/oder HV-P-Bereich 104D aneinander angrenzen, müssen es aber nicht, und zwischen dem HV-N-Bereich 104A, LV-N-Bereich 104B, LV-P-Bereich 104C und/oder HV-P-Bereich 104D kann in Abhängigkeit von Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 eine beliebige Zahl von Bauelementen oder Bauelementmerkmalen (zum Beispiel Isolationsmerkmale, Dummy-Merkmale und/oder andere Bauelementmerkmale) ausgebildet werden. Ferner können die HVTs und/oder LVTs in Abhängigkeit von Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 andere Schwellenspannungen (Betriebsspannungen) als diejenigen aufweisen, die hier explizit angegeben wurden.
  • In der dargestellten Ausführungsform ist das Substrat 102 ein Halbleitersubstrat, das zum Beispiel Silizium aufweist. Alternativ oder zusätzlich weist das Substrat 102 einen weiteren Elementhalbleiter, wie z.B. Germanium; einen Verbindungshalbleiter, wie z.B. Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie z.B. Silizium-Germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, und/oder GaInAsP; oder Kombinationen davon auf. Alternativ ist das Substrat 102 ein Halbleiter-auf-Isolator-Substrat, wie z.B. ein Silizium-auf-Isolator(SOI)-Substrat, ein Silizium-Germanium-auf-Isolator(SGOI)-Substrat oder ein Germanium-auf-Isolator(GOI)-Substrat. Halbleiter-auf-Isolator-Substrate können unter Verwendung von Separation durch Implantation von Sauerstoff (SIMOX), Waferbonden und/oder andere geeignete Verfahren hergestellt werden. Das Substrat 102 kann in Abhängigkeit von den Bauelementanforderungen des HV-N-Bereichs 104A, LV-N-Bereichs 104B, LV-P-Bereichs 104C und/oder HV-P-Bereichs 104D verschiedenartige dotierte Bereiche (nicht dargestellt) aufweisen. In einigen Ausführungen weist das Substrat 102 p-dotierte Bereiche (zum Beispiel Wannen vom p-Typ) auf, die mit Dotierstoffen vom p-Typ, wie z.B. Bor (zum Beispiel BF2), Indium, einem anderen Dotierstoff vom p-Typ oder Kombinationen davon dotiert sind. In einigen Ausführungen weist das Substrat 102 n-dotierte Bereiche (zum Beispiel Wannen vom n-Typ) auf, die mit Dotierstoffen vom n-Typ, wie z.B. Phosphor, Arsen, einem anderen Dotierstoff vom n-Typ oder Kombinationen davon dotiert sind. In einigen Ausführungen weist das Substrat 102 dotierte Bereiche auf, die mit einer Kombination von Dotierstoffen vom p-Typ und Dotierstoffen vom p-Typ dotiert sind. Die verschiedenartig dotierten Bereiche können direkt auf und/oder in einem Substrat 102 ausgebildet werden, indem zum Beispiel eine p-Wannen-Struktur, eine n-Wannen-Struktur, eine Doppel-Wannen-Struktur, eine angehobene Struktur oder Kombinationen davon bereitgestellt werden. Um die verschiedenartig dotierten Bereiche des Substrats 102 auszubilden, kann ein Ionenimplantationsprozess, ein Diffusionsprozess und/oder ein anderer geeigneter Dotierungsprozess ausgeführt werden.
  • Der HV-N-Bereich 104A, LV-N-Bereich 104B, LV-P-Bereich 104C und HV-P-Bereich 104D weisen jeweils mindestens eine Finnenstruktur, wie z.B. eine jeweilige Finnenstruktur 106A, Finnenstruktur 106B, Finnenstruktur 106C und Finnenstruktur 106D auf. Obwohl es aus der dargestellten Ansicht nicht ersichtlich ist, können die Finnenstruktur 106A, Finnenstruktur 106B, Finnenstruktur 106C und/oder Finnenstruktur 106D in Abhängigkeit von den Konstruktionsanforderungen ihres jeweiligen FinFET-Bauelements mehr als eine Finne aufweisen. In einigen Ausführungen sind die Finnenstrukturen 106A-106D ein Teil des Substrats 102 (wie z.B. ein Teil einer Materialschicht des Substrats 102). Zum Beispiel weisen die Finnenstrukturen 106A-106D Silizium auf, wenn das Substrat 102 Silizium enthält. Alternativ sind die Finnenstrukturen 106A-106D in einigen Ausführungen in einer Materialschicht, wie z.B. einer oder mehreren Halbleitermaterialschichten ausgebildet, die über dem Substrat 102 liegen. Zum Beispiel können die Finnenstrukturen 106A-106D einen Halbleiterschichtenstapel umfassen, der verschiedenartige Halbleiterschichten (wie z.B. eine Heterostruktur) aufweist, die über dem Substrat 102 angeordnet sind. Die Halbleiterschichten können beliebige geeignete Halbleitermaterialien, wie z.B. Silizium, Germanium, Silizium-Germanium, andere geeignete Halbleitermaterialien oder Kombinationen davon aufweisen. Die Halbleiterschichten können in Abhängigkeit von den Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 die gleichen oder unterschiedliche Materialien, Ätzraten, Atomprozentanteile der Bestandteile, Gewichtsprozentanteile der Bestandteile, Dicken und/oder Konfigurationen aufweisen. In einigen Ausführungen weisen die Halbleiterschichtenstapel sich abwechselnde Halbleiterschichten, wie z.B. aus einem ersten Material zusammengesetzte Halbleiterschichten und aus einem zweiten Material zusammengesetzte Halbleiterschichten, auf. Zum Beispiel wechseln im Halbleiterschichtenstapel Siliziumschichten und Silizium-Germanium-Schichten einander ab (zum Beispiel SiGe/Si/SiGe/Si/SiGe/Si von unten nach oben). In einigen Ausführungen weist der Halbleiterschichtenstapel Halbleiterschichten des gleichen Materials, aber mit sich abwechselnden Atomprozentanteilen der Bestandteile auf, wie z.B. Halbleiterschichten, in dem ein Bestandteil mit einem ersten Atomprozentanteil vorliegt, und Halbleiterschichten, in dem ein Bestandteil mit einem zweiten Atomprozentanteil vorliegt. Der Halbleiterschichtenstapel weist zum Beispiel Silizium-Germanium-Schichten mit sich abwechselnden Silizium- und/oder Germanium-Atomprozentanteilen auf (zum Beispiel SiaGeb/SicGed/SiaGeb/SicGed/SiaGeb/SicGed von unten nach oben, wobei a und c unterschiedliche Silizium-Atomprozentanteilen und b und d unterschiedliche Germanium-Atomprozentanteilen sind). In einigen Ausführungen weisen die Finnenstruktur 106A, Finnenstruktur 106B, Finnenstruktur 106C, und/oder Finnenstruktur 106D in Abhängigkeit von den Konstruktionsanforderungen ihres jeweiligen FinFET-Bauelements die gleichen Materialien und/oder die gleichen Halbleiterschichtenstapel auf. In einigen Ausführungen weisen die Finnenstruktur 106A, Finnenstruktur 106B, Finnenstruktur 106C, und/oder Finnenstruktur 106D in Abhängigkeit von den Konstruktionsanforderungen ihres jeweiligen FinFET-Bauelements unterschiedliche Materialien und/oder unterschiedliche Halbleiterschichtenstapel auf.
  • Die Finnenstrukturen 106A-106D werden über dem Substrat 102 unter Verwendung eines geeigneten Prozesses hergestellt. In einigen Ausführungen wird eine Kombination von Abscheidungs-, Lithographie- und/oder Ätzprozessen ausgeführt, um Finnenstrukturen 106A-106D festzulegen, die sich vom Substrat 102 aus erstrecken, wie in 2A dargestellt ist. Das Ausbilden der Finnenstrukturen 106A-106D umfasst zum Beispiel das Ausführen eines Lithographieprozesses, um über dem Substrat 102 eine strukturierte Fotolackschicht (oder eine Materialschicht, wie z.B. eine Heterostruktur, die über dem Substrat 102 angeordnet ist) auszubilden, und das Ausführen eines Ätzprozesses, um eine Struktur, die in der strukturierten Fotolackschicht festgelegt ist, auf das Substrat 102 (oder die Materialschicht, wie z.B. die Heterostruktur, die über dem Substrat 102 angeordnet ist) zu übertragen. Der Lithographieprozess kann das Ausbilden einer Fotolackschicht auf dem Substrat 102 (zum Beispiel durch Rotationsbeschichtung), das Ausführen eines Ausheizprozesses vor der Bestrahlung, das Ausführen eines Bestrahlungsprozesses unter Verwendung einer Maske, das Ausführen eines Ausheizprozesses nach der Bestrahlung und das Ausführen eines Entwicklungsprozesses umfassen. Während des Bestrahlungsprozesses wird die Fotolackschicht einer Strahlungsenergie (wie z.B. Ultraviolett(UV)-Licht, Tief-UV(DUV)-Licht oder Extrem-UV(EUV)-Licht) ausgesetzt, wobei die Maske in Abhängigkeit von einer Maskenstruktur der Maske und/oder einem Maskentyp (zum Beispiel Binärmaske, Phasenverschiebungsmaske oder EUV-Maske) die Strahlung zur Fotolackschicht hin derart blockiert, durchlässt und/oder reflektiert, dass auf die Fotolackschicht ein Bild projiziert wird, das der Maskenstruktur entspricht. Da die Fotolackschicht empfindlich gegen Strahlungsenergie ist, verändern sich die freiliegenden Teile der Fotolackschicht chemisch, und die freiliegenden (oder nicht freiliegenden) Teile der Fotolackschicht werden während des Entwicklungsprozesses in Abhängigkeit von den Kenngrößen der Fotolackschicht und den Kenngrößen einer Entwicklerlösung, die im Entwicklungsprozess verwendet wird, gelöst. Nach der Entwicklung weist die strukturierte Fotolackschicht ein Fotolackmuster auf, das der Maske entspricht. Beim Ätzprozess wird die strukturierte Fotolackschicht als eine Ätzmaske verwendet, um Teile des Substrats 102 (oder einer über dem Substrat 102 angeordneten Materialschicht) zu entfernen. Der Ätzprozess kann einen Trockenätzprozess (zum Beispiel einen reaktiven Ionenätz(RIE)-Prozess), einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Nach dem Ätzprozess wird die strukturierte Fotolackschicht zum Beispiel durch einen Fotolackablöseprozess vom Substrat 102 entfernt. Alternativ werden die Finnenstrukturen 106A-106D durch einen Mehrfachstrukturierungsprozess ausgebildet, wie z.B. einen Doppelstrukturierungs-Lithografieprozess (DPL-Prozess) (zum Beispiel einen Lithographie-Ätz-Lithographie-Ätz-Prozess (LELE-Prozess), einen Selbstausrichtungs-Doppelstrukturierungsprozess (SADP-Prozess), einen Spacer-ist-Dielektrikum(SID)-SADP-Prozess, einen anderen Doppelstrukturierungsprozesse oder Kombinationen davon), einen Dreifachstrukturierungsprozess (zum Beispiel einen Lithographie-Ätz-Lithographie-Ätz-Lithographie-Ätz-Prozess (LELELE-Prozess), einen Selbstausrichtungs-Dreifachstrukturierungsprozess (SATP-Prozess), einen anderen Dreifachstrukturierungsprozesse oder Kombinationen davon), einen anderen Mehrfachstrukturierungsprozess (zum Beispiel Selbstausrichtungs-Vierfachstrukturierungsprozess (SAQP-Prozess)) oder Kombinationen davon. In einigen Ausführungen werden während des Ausbildens der Finnenstrukturen 106A-106D gerichtete Selbstassemblierungstechniken (DSA-Techniken) realisiert. Ferner kann der Bestrahlungsprozess in einigen Ausführungen die maskenlose Lithographie, das Elektronenstrahl(E-Strahl)-Schreiben, das Ionenstrahlschreiben und/oder die Nanoprint-Technologie zum Strukturieren der Fotolackschicht zur Anwendung bringen.
  • Über dem und/oder im Substrat 102 werden Isolationsmerkmale ausgebildet, um verschiedenartige Bereiche und/oder Merkmale einer finnenbasierten integrierten Schaltungsvorrichtung 100 zu isolieren. Zum Beispiel legen die Isolationsmerkmale einen HV-N-Bereich 104A, LV-N-Bereich 104B, LV-P-Bereich 104C und/oder HV-P-Bereich 104D fest und isolieren diese, die Finnenstrukturen 106A-106D und/oder die Finnen der Finnenstrukturen 106A-106D elektrisch voneinander. Die Isolationsmerkmale weisen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein anderes geeignetes Isolationsmaterial (das zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder ein anderes geeignetes Isolationsmaterial aufweist) oder Kombinationen davon auf. Die Isolationsmerkmale können unterschiedliche Strukturen aufweisen, wie z.B. Flachgrabenisolations(STI)-Strukturen, Tiefgrabenisolations(DTI)-Strukturen und/oder Strukturen mit einer lokalen Oxidation von Silizium (LOCOS-Strukturen). In einigen Ausführungen werden Isolationsmerkmale durch Ätzen eines Grabens im Substrat 102 und Ausfüllen des Grabens mit einem Isolatormaterial gebildet (zum Beispiel unter Verwendung eines chemischen Gasphasenabscheidungsprozesses oder eines Glasaufschleuderprozesses). Um überschüssiges Isolatormaterial zu entfernen und/oder eine Deckfläche von Isolationsmerkmalen zu planarisieren, kann ein chemisch-mechanischer Polierprozess (CMP) ausgeführt werden. In einigen Ausführungsformen können die Isolationsmerkmale ausgebildet werden, indem nach dem Ausbilden der Finnenstrukturen 106A-106D ein Isolatormaterial über dem Substrat 102 abgeschieden wird (in einigen Ausführungen derart, dass die Isolatormaterialschicht die Lücken (Gräben) zwischen den Finnenstrukturen 106A-106D auffüllt) und die Isolatormaterialschicht zurückgeätzt wird. In einigen Ausführungen weisen die Isolationsmerkmale eine Mehrschichtstruktur auf, welche die Gräben auffüllt, wie z.B. eine Volumendielektrikumschicht, die über einer Auskleidungsdielektrikumschicht angeordnet ist, wobei die Volumendielektrikumschicht und die Auskleidungsdielektrikumschicht Materialien aufweisen, die von Konstruktionsanforderungen abhängen (wobei zum Beispiel eine Volumendielektrikumschicht, die Siliziumnitrid aufweist, über einer Auskleidungsdielektrikumschicht angeordnet ist, die ein thermisches Oxid aufweist). In einigen Ausführungen weisen die Isolationsmerkmale eine Dielektrikumschicht auf, die über einer dotierten Auskleidungsschicht angeordnet ist (die zum Beispiel bordotiertes Silikonglas (BSG) oder Phosphorsilicatglas (PSG) aufweist).
  • Über den Finnenstrukturen 106A-106D sind verschiedenartige Gate-Strukturen angeordnet, wie z.B. eine über der Finnenstruktur 106A angeordnete Gate-Struktur 110A, eine über der Finnenstruktur 106B angeordnete Gate-Struktur 110B, eine über der Finnenstruktur 106C angeordnete Gate-Struktur 110C und eine über der Finnenstruktur 106D angeordnete Gate-Struktur 110D. Obwohl es aus der dargestellten Ansicht nicht ersichtlich ist, hüllen die Gate-Strukturen 110A-110D jeweils einen Teil der entsprechenden Finnenstruktur 106A-106D derart ein, dass die Gate-Strukturen 110A-110D jeweils zwischen einen Source-Bereich und einen Drain-Bereich (zusammenfassend als Source/Drain-Bereiche bezeichnet) der Finnenstrukturen 106A-106D gestellt sind. Die Gate-Strukturen 110A-110D greifen an den Kanalbereichen, die zwischen den Source-Bereichen und den Drain-Bereichen festgelegt sind, derart an, dass während des Betriebs ein Strom zwischen den Source/Drain-Bereichen fließen kann. In der dargestellten Ausführungsform weisen die Gate-Strukturen 110A-110D Gatestapel auf, die für einen Gate-Last-Prozess konfiguriert sind. Zum Beispiel weisen die Gate-Strukturen 110A-110D jeweils Grenzflächenschichten 112A-112D (die zum Beispiel Silizium und Sauerstoff, wie z.B. Siliziumoxid aufweisen) und Dummy-Gateschichten 114A-114D auf (die zum Beispiel Polysilizium aufweisen). Die Dummy-Gateschichten 114A-114D können eine Mehrschichtstruktur aufweisen. Zum Beispiel weisen die Dummy-Gateschichten 114A-114D in einigen Ausführungen eine Dummy-Gatedielektrikumschicht und eine Dummy-Gateelektrodenschicht auf. In einigen Ausführungen weisen die Gate-Strukturen 110A-110D Polysilizium-Gates derart auf, dass die Dummy-Gateschichten 114A-114D eine PolysiliziumSchicht aufweisen. Die Gate-Strukturen 110A-110D werden durch Abscheidungsprozesse, Lithographieprozesse, Ätzprozesse, andere geeignete Prozesse oder Kombinationen davon ausgebildet. Zum Beispiel kann ein thermischer Oxidationsprozess ausgeführt werden, um eine Grenzflächenschicht über dem Substrat 102, insbesondere über den Finnenstrukturen 106A-106D, auszubilden. Es werden dann ein oder mehrere Abscheidungsprozesse ausgeführt, um über der Grenzflächenschicht eine Dummy-Gateschicht auszubilden. In einigen Ausführungen wird ein Abscheidungsprozess ausgeführt, um eine Dummy-Gatedielektrikumschicht über der Grenzflächenschicht auszubilden, und es wird ein Abscheidungsprozess ausgeführt, um eine Dummy-Gateelektrodenschicht über der Dummy-Gatedielektrikumschicht auszubilden. Die Abscheidungsprozesse umfassen die CVD, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD mit einer hohen Plasmadichte (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaverstärkte CVD (PECVD), Niederdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), Plattieren, andere geeignete Verfahren oder Kombinationen davon. Dann werden eine Lithographie-Strukturierung und ein Ätzprozess ausgeführt, um die Grenzflächenschicht und die Dummy-Gateschicht (in einigen Ausführungen eine Dummy-Gatedielektrikumschicht und eine Dummy-Gateelektrodenschicht) zu strukturieren, um Dummy-Gatestapel derart auszubilden, dass die Dummy-Gatestapel (einschließlich der Grenzflächenschichten 112A-112D und der Dummy-Gateschichten 114A-114D) die Kanalbereiche der Finnenstrukturen 106A-106D einhüllen. Die Lithographie-Strukturierungsprozesse umfassen das Fotolackbeschichten (zum Beispiel Rotationsbeschichten), ein schwaches Tempern, Ausrichten der Maske, Bestrahlen, Tempern nach dem Bestrahlen, Entwickeln des Fotolacks, Spülen, Trocknen (z.B. Einbrennen), andere geeignete Prozesse oder Kombinationen davon. Alternativ wird der Lithographie-Bestrahlungsprozess durch andere Verfahren, wie z.B. die maskenlose Lithographie, das Elektronenstrahlschreiben oder Ionenstrahlschreiben unterstützt, realisiert oder ersetzt. In noch einer weiteren Alternative setzt der Lithographie-Strukturierungsprozess die Nanoprint-Technologie ein. Die Ätzprozesse umfassen Trockenätzprozesse, Nassätzprozesse andere Ätzverfahren oder Kombinationen davon.
  • Die Gate-Strukturen 110A-110D weisen ferner Spacer 116A-116D auf, die angrenzend an die (zum Beispiel längs der Seitenwände der) Gatestapel (hier die jeweiligen Grenzflächenschichten 112A-112D und Dummy-Gateschichten 114A-114D) angeordnet sind. Die Spacer 116A-116D werden durch einen beliebigen geeigneten Prozess ausgebildet und weisen ein dielektrisches Material auf. Das dielektrische Material kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Siliziumcarbid) aufweisen. Zum Beispiel kann in der dargestellten Ausführungsform eine dielektrische Schicht, die Silizium und Stickstoff aufweist, wie z.B. eine Siliziumnitridschicht, über einem Substrat 102 abgeschieden werden und anschließend anisotrop geätzt werden, um die Spacer 116A-116D auszubilden. In einigen Ausführungen weisen die Spacer 116A-116D eine Mehrschichtstruktur auf, wie z.B. eine erste dielektrische Schicht, die Siliziumnitrid aufweist, und eine zweite dielektrische Schicht, die Siliziumoxid aufweist. In einigen Ausführungen wird angrenzend an die Gatestapel mehr als ein Satz von Spacern, wie z.B. Abdichtspacer, Offsetspacer, Opferspacer, Dummy-Spacer und/oder Hauptspacer ausgebildet. In derartigen Ausführungen können die verschiedenartigen Sätze von Spacern Materialien aufweisen, die unterschiedliche Ätzraten haben. Zum Beispiel kann eine erste dielektrische Schicht, die Silizium und Sauerstoff (zum Beispiel Siliziumoxid) aufweist, über dem Substrat 102 abgeschieden werden und anschließend anisotrop geätzt werden, um benachbart zu den Gatestapeln einen ersten Satz von Spacern auszubilden, und eine zweite dielektrische Schicht, die Silizium und Stickstoff (zum Beispiel Siliziumnitrid) aufweist, kann über dem Substrat 102 abgeschieden und anschließend anisotrop geätzt werden, um benachbart zum ersten Satz von Spacern einen zweiten Satz von Spacern auszubilden. In Abhängigkeit von Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 können vor und/oder nach der Ausbildung der Spacer 116A-116D Implantations-, Diffusions-, und/oder Temperungsprozesse ausgeführt werden, um schwach dotierte Source- und Drain-Merkmale (LDD-Merkmale) und/oder stark dotierte Source- und Drain-Merkmale (HDD-Merkmale) in den Source/Drain-Bereichen der Finnenstrukturen 106A-106D zu erzeugen.
  • In den Source/Drain-Bereichen der Finnenstrukturen 106A-106D werden epitaxiale Source-Merkmale und epitaxiale Drain-Merkmale (die als epitaxiale Source/Drain-Merkmale bezeichnet werden) angeordnet. Zum Beispiel lässt man ein Halbleitermaterial epitaxial auf den Finnenstrukturen 106A-106D aufwachsen, wobei sich die epitaxialen Source/Drain-Merkmale 118A-118D über den Finnenstrukturen 106A-106D ausbilden. In der dargestellten Ausführungsform sind die Gate-Strukturen 110A-110D jeweils zwischen die epitaxialen Source/Drain-Merkmale 118A-118D gestellt. In einigen Ausführungen hüllen die epitaxialen Source/Drain-Merkmale 118A-118D die Source/Drain-Bereiche der Finnenstrukturen 106A-106D ein. Ein Epitaxieprozess kann CVD-Abscheidetechniken (zum Beispiel die Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD), die Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder Kombinationen davon zur Anwendung bringen. Im Epitaxieprozess können gasförmige und/oder flüssige Vorprodukte verwendet werden, die mit der Zusammensetzung der Finnenstrukturen 106A-106D zusammenwirken. Die epitaxialen Source/Drain-Merkmale 118A-118D werden in Abhängigkeit davon, ob die HVTs und/oder LVTs als Bauelemente vom n-Typ (die zum Beispiel n-Kanäle aufweisen) oder Bauelemente vom p-Typ (die zum Beispiel p-Kanäle aufweisen) konfiguriert sind, mit Dotierstoffen vom n-Typ und/oder Dotierstoffen vom p-Typ dotiert. In der dargestellten Ausführungsform, in welcher der HV-N-Bereich 104A und der LV-N-Bereich 104B mit FinFETs vom n-Typ konfiguriert sind, sind die epitaxialen Source/Drain-Merkmale 118A und die epitaxialen Source/Drain-Merkmale 118B Epitaxialschichten, die Silizium und/oder Kohlenstoff aufweisen, wobei die Silizium enthaltenden Epitaxialschichten oder Silizium-Kohlenstoff enthaltenden Epitaxialschichten mit Phosphor, einem anderen Dotierstoff vom n-Typ oder Kombinationen davon dotiert sind (wobei sie zum Beispiel eine Si:P-Epitaxialschicht oder eine Si:C:P-Epitaxialschicht ausbilden). In Fortführung der dargestellten Ausfiihrungsform, in der der LV-P-Bereich 104C und der HV-P-Bereich 104D mit FinFETs vom p-Typ konfiguriert sind, sind die epitaxialen Source/Drain-Merkmale 118C und die epitaxialen Source/Drain-Merkmale 118D Epitaxialschichten, die Silizium und Germanium aufweisen, wobei die Silizium-Germanium enthaltenden Epitaxialschichten mit Bor, einem anderen Dotierstoff vom p-Typ oder Kombinationen davon dotiert sind (wobei sie zum Beispiel eine Si:Ge:B-Epitaxialschicht ausbilden). In der vorliegenden Offenbarung werden Ausführungsformen in Betracht gezogen, in denen die epitaxialen Source/Drain-Merkmale 118A-118D die gleichen oder unterschiedliche Materialien und/oder die gleichen oder unterschiedliche Dotierstoffe aufweisen. In der vorliegenden Offenbarung werden ferner Ausführungsformen in Betracht gezogen, in denen die Finnenstrukturen 106A-106D derart zurückgesetzt sind, dass die epitaxialen Source/Drain-Merkmale 118A-118D von zurückgesetzten Teilen der Finnenstrukturen 106A-106D aus aufwachsen. In einigen Ausführungen weisen die epitaxialen Source/Drain-Merkmale 118A-118D Materialien und/oder Dotierstoffe auf, mit denen in den Kanalbereichen die gewünschte Zugbeanspuchung und/oder Druckbeanspruchung erreicht wird. In einigen Ausführungen werden die epitaxialen Source/Drain-Merkmale 118A-118D während der Abscheidung dotiert, indem einem Ausgangsmaterial des Epitaxieprozesses Fremdatome zugesetzt werden. In einigen Ausführungen werden die epitaxialen Source/Drain-Merkmale 118A-118D durch einen Ionenimplantationsprozess dotiert, der auf einen Abscheidungsprozess folgt. In einigen Ausführungen werden Temperungsprozesse ausgeführt, um die Dotierstoffe in den epitaxialen Source/Drain-Merkmalen 118A-118D und/oder anderen Source/Drain-Bereichen (zum Beispiel, HDD-Bereichen und/oder LDD-Bereichen) zu aktivieren.
  • Über dem Substrat 102, insbesondere über den Finnenstrukturen 106A-106D und Gate-Strukturen 110A-110D, wird eine Zwischenschichtdielektrikum(ILD)-Schicht 120 abgeschieden. In einigen Ausführungen ist die ILD-Schicht 120 ein Teil eines Mehrschicht-Zwischenverbindungs(MLI)-Merkmals, das verschiedenartige Bauelemente (zum Beispiel, Transistoren, Widerstände, Kondensatoren und/oder induktive Bauelemente) und/oder Komponenten (zum Beispiel Gate-Strukturen und/oder Source/Drain-Merkmale) einer finnenbasierten integrierten Schaltungsvorrichtung 100 elektrisch derart verbindet, dass die verschiedenartigen Bauelemente und/oder Komponenten funktionieren können, wie es durch die Konstruktionsanforderungen der finnenbasierten integrierten Schaltungsvorrichtung 100 festgelegt ist. Die ILD-Schicht 120 weist ein dielektrisches Material auf, das zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, TEOS-geformtes Oxid, PSG, BPSG, low-k-dielektrisches Material, anderes geeignetes dielektrisches Material oder Kombinationen davon aufweist. Beispiele für low-k-dielektrische Materialien schließen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials of Santa Clara, California), Xerogel, Aerogel, amorpher fluorierter Kohlenstoff, Parylene, BCB, SiLK (Dow Chemical, Midland, Michigan), Polyimid, anderes low-k-dielektrisches Material oder Kombinationen davon ein. In einigen Ausführungen weist die ILD-Schicht 120 eine Mehrschichtstruktur mit mehreren dielektrischen Materialien auf. In einigen Ausführungen ist zwischen der ILD-Schicht 120 und den Finnenstrukturen 106A-106D und/oder Gate-Strukturen 110A-110D eine Kontakt-Ätzstoppschicht (CESL) angeordnet. Die CESL weist ein anderes Material als die ILD-Schicht 120 auf, wie z.B. ein dielektrisches Material, das sich von dem dielektrischen Material der ILD-Schicht 120 unterscheidet. In der dargestellten Ausführungsform, in der die ILD-Schicht 120 ein low-k-dielektrisches Material aufweist, weist die CESL Silizium und Stickstoff (zum Beispiel Siliziumnitrid oder Siliziumoxynitrid) auf. Die ILD-Schicht 120 und/oder die CESL werden über dem Substrat 102 ausgebildet, zum Beispiel durch einen Abscheidungsprozess (wie z.B. CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Verfahren oder Kombinationen davon). In einigen Ausführungen, werden die ILD-Schichten 120 und/oder die CESL durch einen fließfähigen CVD(FCVD)-Prozess ausgebildet, der zum Beispiel das Abscheiden eines fließfähigen Materials (wie z.B. einer flüssigen Verbindung) auf dem Substrat 102 und das Umwandeln des fließfähigen Materials in ein festes Material durch eine geeignete Technik, so zum Beispiel durch thermisches Ausheilen und/oder Ultraviolettstrahlungsbehandlung, umfasst. Im Anschluss an die Abscheidung der ILD-Schicht 120 und/oder der CESL werden ein CMP-Prozess und/oder ein anderer Planarisierungsprozess derart ausgeführt, dass ein oberer Teil der Gate-Strukturen 110A-110D erreicht (freigelegt) wird. In der dargestellten Ausführungsform liegen die Deckflächen der Dummy-Gateschichten 114A-114D im Wesentlichen in einer Ebene mit einer Deckfläche der ILD-Schicht 120.
  • Mit Bezugnahme auf die 2B-2L wird ein Gate-Austauschprozess ausgeführt, in dem Dummy-Gatestapel der Gate-Strukturen 110A-110D durch Metall-Gatestapel ersetzt werden. Mit Bezugnahme auf 2B beseitigt ein Ätzprozess selektiv die Dummy-Gateschichten 114A-114D der Gate-Strukturen 110A-110D, wodurch eine Öffnung 130A in der Gate-Struktur 110A, eine Öffnung 130B in der Gate-Struktur 110B, eine Öffnung 130C in der Gate-Struktur 110C und eine Öffnung 130D in der Gate-Struktur 110D ausgebildet werden. In der dargestellten Ausführungsform weisen die Öffnungen 130A-130D (die auch als Gategräben bezeichnet werden) Seitenwandflächen, die jeweils durch die Spacer 116A-116D festgelegt sind, und Bodenflächen auf, die jeweils durch die Grenzflächenschichten 112A-112D festgelegt sind. In einigen Ausführungen, in denen die Grenzflächenschichten 112A-112D bei den Gate-Strukturen 110A-110D entfallen, weisen die Öffnungen 130A-130D Bodenflächen auf, die durch die Finnenstrukturen 106A-106D festgelegt sind. Der Ätzprozess ist ein Trockenätzprozess, ein Nassätzprozess oder Kombinationen davon. Der Ätzprozess kann derart abgestimmt werden, dass die Dummy-Gateschichten 114A-114D ohne (oder mit einem minimalen) Ätzen anderer Merkmale der finnenbasierten integrierten Schaltungsvorrichtung 100, wie z.B. der ILD-Schicht 120, der Spacer 116A-116D, Grenzflächenschichten 112A-112D, und/oder Finnenstrukturen 106A-106D, beseitigt werden.
  • Mit Bezugnahme auf 2C wird eine Gatedielektrikumschicht 140 über der finnenbasierten integrierten Schaltungsvorrichtung 100 ausgebildet. Zum Beispiel wird in einem ALD-Prozess eine Gatedielektrikumschicht 140 über der finnenbasierten integrierten Schaltungsvorrichtung 100 konform derart abgeschieden, dass die Gatedielektrikumschicht 140 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnungen 130A-130D zum Teil auffüllt. In der dargestellten Ausführungsform ist die Gatedielektrikumschicht 140 auf den Seitenwandflächen und Bodenflächen, welche die Öffnungen 130A-130D festlegen, derart angeordnet, dass die Gatedielektrikumschicht 140 auf den Grenzflächenschichten 112A-112D und den Spacern 116A-116D angeordnet ist. In einigen Ausführungen weist die Gatedielektrikumschicht 140 eine Dicke von circa 5 Å bis zu circa 25 Å auf. In der dargestellten Ausführungsform weist die Gatedielektrikumschicht 140 ein high-k-dielektrisches Material auf (und kann somit als eine high-k-dielektrische Schicht bezeichnet werden), wie z.B. Hafniumdioxid (HfO2), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkonoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid(HfO2-Al2O3)-Legierung ein anderes geeignetes high-k-dielektrisches Material oder Kombinationen davon. High-k-dielektrisches Material bezieht sich im Allgemeinen auf dielektrische Materialien, die eine hohe Dielektrizitätskonstante aufweisen, die zum Beispiel größer als die von Siliziumoxid (k ≈ 3,9) ist. In einigen Ausführungen weist die Gatedielektrikumschicht 140 ein dielektrisches Material auf, wie z.B. Siliziumoxid oder ein anderes geeignetes dielektrisches Material. Alternativ wird die Gatedielektrikumschicht 140 unter Verwendung eines weiteren geeigneten Abscheidungsprozesses ausgebildet, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2D wird über der Gatedielektrikumschicht 140 eine Abdeckschicht 142 ausgebildet. Zum Beispiel wird mit einem ALD-Prozess auf der Gatedielektrikumschicht 140 die Abdeckschicht 142 derart konform abgeschieden, dass die Abdeckschicht 142 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnungen 130A-130D zum Teil auffüllt. In einigen Ausführungen weist die Abdeckschicht 142 eine Dicke von circa 5 Å bis zu circa 25 Å auf. Die Abdeckschicht 142 weist ein Material auf, dass eine Diffusion und/oder Reaktion von Bestandteilen zwischen der Gatedielektrikumschicht 140 und anderen Schichten der Gate-Strukturen 110A-110D (insbesondere Gateschichten, die Metall aufweisen) verhindert oder unterbindet. In einigen Ausführungen weist die Abdeckschicht 142 ein Metall und Stickstoff auf, wie z.B. Titannitrid (TiN), Tantalnitrid (TaN), Wolframnitrid (W2N), Titan-Silizium-Nitrid (TiSiN), Tantal-Silizium-Nitrid (TaSiN) oder Kombinationen davon. In der dargestellten Ausführungsform weist die Abdeckschicht 142 zum Beispiel Titan und Stickstoff (zum Beispiel TiN) auf. Alternativ wird die Abdeckschicht 142 unter Verwendung eines weiteren geeigneten Abscheidungsprozesses abgeschieden, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Die Bearbeitung wird fortgesetzt, um verschiedenartige Schichten zur Bereitstellung der gewünschten Schwellenspannungen für LVTs in den Niederspannungsbereichen, wie z.B. im LV-N-Bereich 104B und LV-P-Bereich 104C, und für HVTs in den Hochspannungsbereichen, wie z.B. im HV-N-Bereich 104A und HV-P-Bereich 104D, zu schaffen. Normalerweise werden die niedrigeren Schwellenspannungen in den Niederspannungsbereichen erreicht, indem eine Dicke einer Austrittsarbeit-Schicht, wie z.B. einer Austrittsarbeit-Schicht vom p-Typ und/oder einer Austrittsarbeit-Schicht vom n-Typ (wird nachfolgend weiter beschrieben), in den Niederspannungsbereichen bezüglich einer Dicke der Austrittsarbeit-Schicht in den Hochspannungsbereichen vergrößert wird und/oder indem Dotierstoffe in die Austrittsarbeit-Schicht (zum Beispiel unter Verwendung eines Ionenimplantationsprozesses) der Niederspannungsbereiche oder der Hochspannungsbereiche eingebaut werden. Da die IC-Technologieknoten jedoch schrumpfen, vorzugsweise auf 10 nm und darüber hinaus, schrumpfen auch die Öffnungen, die während des Gateaustauschprozesses ausgebildet werden, derart dass sich die Zunahme einer Dicke der Austrittsarbeit-Schicht, um niedrigere Schwellenspannungen zu erreichen, negativ auf die Lückenauffüllung auswirkt. Zum Beispiel verringert die Zunahme der Dicke der Austrittsarbeit-Schicht die Abmessungen der Öffnungen zum Ausbilden von nachfolgenden Gateschichten, wie z.B. von Metallfüllschichten, was Lücken und/oder Hohlräume in den entstehenden Gate-Strukturen verursachen kann, die das Leistungsvermögen des Bauelements verringern. Ferner werden die Ionenimplantationsprozesse durch die Finnenhöhe und den Finnenabstand, die mit den kleineren Technologieknoten verbunden sind (sowie durch eine Höhe von Maskierungselementen (zum Beispiel Fotolackschichten), die zum Abdecken von benachbarten Merkmalen während der Ionenimplantationsprozesse verwendet werden) derart eingeschränkt, dass ein oberer Teil der Austrittsarbeit-Schicht eine größere Dotierstoffkonzentration als ein unterer Teil der Austrittsarbeit-Schicht aufweist. Ein derartiges Phänomen, das ein gleichmäßiges Dotieren der Austrittsarbeit-Schicht verhindert, wird allgemein als Abschattungseffekte bezeichnet und kann das Bauelementleistungsvermögen unerwünscht verändern. Mit der vorliegenden Offenbarung werden die Probleme mit der Lückenauffüllung und/oder den Abschattungseffekten überwunden, indem eine Schwellenspannungsabstimmschicht realisiert wird, die ein Material mit einem Aluminiumblockierungsvermögen aufweist, das abnimmt, wenn eine Dicke der Schwellenspannungsabstimmschicht abnimmt, derart dass eine Schwellenspannung abnimmt, wenn die Dicke der Schwellenspannungsabstimmschicht abnimmt. Wie nachfolgend ausführlich beschrieben wird, weisen die Gate-Strukturen in den Niederspannungsbereichen und den Hochspannungsbereichen somit eine Schwellenspannungsabstimmschicht auf, wobei eine Dicke der Schwellenspannungsabstimmschicht in den Niederspannungsbereiche kleiner als eine Dicke in der Schwellenspannungsabstimmschicht in den Hochspannungsbereichen ist.
  • Mit Bezugnahme auf 2E wird über der Abdeckschicht 142 eine Schwellenspannungsabstimmschicht 144 ausgebildet. Zum Beispiel wird mit einem ALD-Prozess auf der Abdeckschicht 142 eine Schwellenspannungsabstimmschicht 144 derart konform abgeschieden, dass die Schwellenspannungsabstimmschicht 144 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnungen 130A-130D zum Teil auffüllt. In einigen Ausführungen weist die Schwellenspannungsabstimmschicht 144 eine Dicke von circa 10 Å bis zu circa 15 Å auf. In einigen Ausführungen umfasst der ALD-Prozess circa zwanzig bis zu circa dreißig ALD-Zyklen. In einigen Ausführungen wird der ALD-Prozess bei einer Temperatur von circa 200°C bis zu circa 300°C ausgeführt. Die Schwellenspannungsabstimmschicht 144 weist ein Material auf, das einen Wert der Austrittsarbeit hat, der von einer Dicke der Schwellenspannungsabstimmschicht 144 abhängt, wobei der Wert der Austrittsarbeit abnimmt, wenn eine Dicke der Schwellenspannungsabstimmschicht 144 abnimmt. Die Schwellenspannungsabstimmschicht 144 weist zum Beispiel ein Material auf, das Aluminium (zum Beispiel aus einer Austrittsarbeit-Schicht) blockieren kann, sodass verhindert wird, dass Aluminium in andere Gateschichten des Gatestapels der Gate-Strukturen 110A-110D eindringen kann. Eine Fähigkeit des Materials zum Blockieren von Aluminium ist von einer Dicke der Schwellenspannungsabstimmschicht 144 abhängig, wobei die Fähigkeit zum Blockieren von Aluminium abnimmt, wenn eine Dicke der Schwellenspannungsabstimmschicht 144 abnimmt. Dementsprechend nimmt bei einer Abnahme einer Dicke der Schwellenspannungsabstimmschicht 144 die Fähigkeit zum Blockieren von Aluminium ab, und es nimmt auch die Austrittsarbeit des entsprechenden Bauelements ab. Wenn umgekehrt eine Dicke der Schwellenspannungsabstimmschicht 144 zunimmt, nimmt die Fähigkeit zum Blockieren von Aluminium zu, und die Austrittsarbeit des entsprechenden Bauelements nimmt auch zu. Beispiele für Materialien für die Schwellenspannungsabstimmschicht 144 umfassen Tantal und Stickstoff, wie z.B. TaN, TaSiN, TaCN, ein anderes Tantal enthaltendes und Stickstoff enthaltendes Material oder Kombinationen davon. In der dargestellten Ausführungsform weist die Schwellenspannungsabstimmschicht 144 TaN auf. In derartigen Ausführungen kann der ALD-Prozess ein Tantal enthaltendes Vorprodukt und ein Stickstoff enthaltendes Vorprodukt aufweisen. Ferner wird die Schwellenspannungsabstimmschicht 144 alternativ unter Verwendung eines anderen geeigneten Abscheidungsprozesses ausgebildet, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2F wird über der Schwellenspannungsabstimmschicht 144 eine Austrittsarbeit-Schicht vom p-Typ 146 ausgebildet. Zum Beispiel wird mit einem ALD-Prozess auf der Schwellenspannungsabstimmschicht 144 eine Austrittsarbeit-Schicht vom p-Typ 146 derart konform abgeschieden, dass die Austrittsarbeit-Schicht vom p-Typ 146 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnungen 130A-130D zum Teil auffüllt. In einigen Ausführungen weist die Austrittsarbeit-Schicht vom p-Typ 146 eine Dicke von circa 10 Å bis zu circa 40 Å auf. In der dargestellten Ausführungsform ist eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146 in n-Typ-Bauelementbereichen (hier der HV-N-Bereich 104A und der LV-N-Bereich 104B) kleiner als eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146 in p-Typ-Bauelementbereichen (hier der HV-P-Bereich 104D und der LV-P-Bereich 104C). Zum Beispiel ist eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146 im HV-N-Bereich 104A und/oder LV-N-Bereich 104B circa 10 Å bis zu circa 20 Å, während eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146 im HV-P-Bereich 104D und/oder LV-P-Bereich 104C circa 20 Å bis zu circa 40 Å ist. In einigen Ausführungen umfasst der ALD-Prozess circa zwanzig bis zu circa fünfzig ALD-Zyklen. In einigen Ausführungen wird der ALD-Prozess bei einer Temperatur von circa 400°C bis zu circa 450°C ausgeführt (die größer als die Temperatur ist, die bei dem ALD-Prozess zum Ausbilden der Schwellenspannungsabstimmschicht 144 realisiert wird). Die Austrittsarbeit-Schicht vom p-Typ 146 weist ein beliebiges geeignetes Austrittsarbeit-Material vom p-Typ, wie z.B. TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, ein anderes Austrittsarbeit-Material vom p-Typ oder Kombinationen davon auf. In der dargestellten Ausführungsform weist die Austrittsarbeit-Schicht vom p-Typ 146 Titan und Stickstoff, wie z.B. TiN, auf. Alternativ wird die Austrittsarbeit-Schicht vom p-Typ 146 unter Verwendung eines weiteren geeigneten Abscheidungsprozesses ausgebildet, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2G wird die Austrittsarbeit-Schicht vom p-Typ 146 aus den Niederspannungsbereichen der finnenbasierten integrierten Schaltungsvorrichtung 100, wie z.B. dem LV-N-Bereich 104B und dem LV-P-Bereich 104C, entfernt. Zum Beispiel wird über der finnenbasierten integrierten Schaltungsvorrichtung 100 eine strukturierte Maskierungsschicht 150 ausgebildet. Die strukturierte Maskierungsschicht 150 weist eine oder mehrere Öffnungen 152 auf, die den LV-N-Bereich 104B und den LV-P-Bereich 104C freilegen, wobei insbesondere die Austrittsarbeit-Schicht vom p-Typ 146 im LV-N-Bereich 104B und LV-P-Bereich 104C freigelegt wird. Die strukturierte Maskierungsschicht 150 wird durch einen beliebigen geeigneten Prozess ausgebildet, wobei die Abscheidungsprozesse, Lithographieprozesse und/oder der hier beschriebene Ätzprozess einbezogen sind. In einigen Ausführungen weist die strukturierte Maskierungsschicht 150 ein Material mit einer anderen Ätzcharakteristik als die Austrittsarbeit-Schicht vom p-Typ 146 und/oder die Schwellenspannungsabstimmschicht 144 auf. Zum Beispiel weist die strukturierte Maskierungsschicht 150 Silizium, amorphes Silizium, Halbleiteroxid (zum Beispiel Siliziumoxid (SiO2)), Halbleiternitrid (zum Beispiel Siliziumnitrid (SiN)), Halbleiteroxynitrid (zum Beispiel Siliziumoxynitrid (SiON)) und/oder Halbleitercarbid (zum Beispiel, Siliziumcarbid (SiC)), ein anderes Halbleitermaterial und/oder ein anderes dielektrisches Material auf. In einigen Ausführungen weist die strukturierte Maskierungsschicht 150 ein Fotolackmaterial auf (und kann somit als eine strukturierte Fotolackschicht und/oder eine strukturierte Fotoresistschicht bezeichnet werden). Dann wird ein beliebiger geeigneter Prozess verwendet, um die Austrittsarbeit-Schicht vom p-Typ 146 aus den Niederspannungsbereichen zu entfernen, wodurch die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C freigelegt wird, wie dargestellt ist. Zum Beispiel wird die Austrittsarbeit-Schicht vom p-Typ 146 in einem Nassätzprozess unter Verwendung einer Ätzlösung entfernt, die Ammoniumhydroxid (NH4OH), Wasserstoffperoxid (H2O2), Schwefelsäure (H2SO4), Tetramethylammoniumhydroxid (TMAH), Salzsäure (HCl), eine andere geeignete Nassätzlösung oder von Kombinationen davon aufweist. Zum Beispiel wird in der Nassätzlösung eine NH4OH:H2O2-Lösung, eine HCl:H2O2:H2O-Lösung (die als ein Chlorwasserstoff-Peroxid-Gemisch (HPM) bekannt ist), eine NH4OH:H2O2:H2O-Lösung (die als ein Ammonium-Peroxid-Gemisch (APM) bekannt ist), oder eine H2SO4:H2O2-Lösung (die als ein Schwefelsäure-Peroxid-Gemisch (SPM) bekannt ist) verwendet. In der dargestellten Ausführungsform wird die Austrittsarbeit-Schicht vom p-Typ 146 durch einen Nassätzprozess entfernt, der ein HPM zur Anwendung bringt.
  • Mit Bezugnahme auf 2H wird eine Schwellenspannungsabstimmbehandlung 160 an der Schwellenspannungsabstimmschicht 144 in den Niederspannungsbereichen der finnenbasierten integrierten Schaltungsvorrichtung 100 ausgeführt, wodurch eine Schwellenspannung der LVTs im LV-N-Bereich 104B und LV-P-Bereich 104C herabgesetzt wird. Bei der Schwellenspannungsabstimmbehandlung 160 wird die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C unter Verwendung eines Chlorid enthaltenden Vorprodukts zurückgeätzt. In der dargestellten Ausführungsform wird bei der Schwellenspannungsabstimmbehandlung 160 zum Beispiel die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C zurückgeätzt, wobei ein Wolframchlorid enthaltendes Vorprodukt, wie z.B. Wolfram-Pentachlorid (WCl5), verwendet wird. Durch Verringern einer Dicke der Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C wird eine Fähigkeit der Schwellenspannungsabstimmschicht 144 zum Blockieren von Aluminium im LV-N-Bereich 104B und LV-P-Bereich 104C verringert, wodurch das Eindringen von Aluminium aus den Gateschichten, die über der Schwellenspannungsabstimmschicht 144 liegen, in die Gateschichten, die unter der Schwellenspannungsabstimmschicht 144 liegen, zunimmt, sodass eine Schwellenspannung der LVTs im LV-N-Bereich 104B und LV-P-Bereich 104C verringert wird. Es wurde beobachtet, dass das Anpassen einer verbleibenden Dicke der Schwellenspannungsabstimmschicht 144 eine Schwellenspannung der Niederspannungsbereiche, wie z.B. des LV-N-Bereichs 104B und LV-P-Bereichs 104C, bezüglich der Hochspannungsbereiche, wie z.B. des HV-N-Bereichs 104A und HV-N-Bereichs 104D, sogar um 120 mV herabsetzen kann. In der dargestellten Ausführungsform weist die Schwellenspannungsabstimmschicht 144 in LV-N-Bereich 104B und/oder LV-P-Bereich 104C nach der Schwellenspannungsabstimmbehandlung 160 eine Dicke von weniger als oder gleich circa 15 Å auf. In einigen Ausführungen wird die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und/oder LV-P-Bereich 104C in Abhängigkeit von den Schwellenspannungsanforderungen des LV-N-Bereichs 104B und/oder LV-P-Bereichs 104C vollständig entfernt. Es können verschiedenartige Ätzparameter, wie z.B. Ätzmittelzusammensetzung, Ätztemperatur, Ätzmittellösungskonzentration, Ätzzeit, Ätzdruck, Quellenleistung, HF-Vorspannung, HF-Vorspannleistung, Ätzmittel-Durchflussrate, andere geeignete Ätzparameter oder Kombinationen davon, abgestimmt werden, um die gewünschte Schwellenspannungsabstimmung zu erreichen (durch Abstimmen einer Dicke der Schwellenspannungsabstimmschicht 144 in den Niederspannungsbereichen bezüglich einer Dicke der Schwellenspannungsabstimmschicht 144 in den Hochspannungsbereichen). In einigen Ausführungen wird bei der Schwellenspannungsabstimmbehandlung 160 eine Ätztemperatur von circa 400°C bis zu circa 500°C realisiert. In einigen Ausführungen wird bei der Schwellenspannungsabstimmbehandlung 160 ein Ätzdruck von circa 20 Torr bis zu circa 30 Torr realisiert. In einigen Ausführungen läuft die Schwellenspannungsabstimmbehandlung 160 in weniger als oder in circa 100 Sekunden ab. Danach wird die strukturierte Maskierungsschicht 150 durch einen beliebigen geeigneten Prozess entfernt.
  • In einigen Ausführungen können die Flächen der Schwellenspannungsabstimmschicht 144 während der Bearbeitung, wenn sie einer Sauerstoffumgebung ausgesetzt sind, derart oxidiert werden, dass die Schwellenspannungsabstimmschicht 144 eine oxidierte Fläche (Schicht) aufweist, die Tantal und Sauerstoff (zum Beispiel, TaO) aufweist. In einigen Ausführungen wird bei der Schwellenspannungsabstimmbehandlung 160 das Wolframchlorid enthaltende Vorprodukt, wie z.B. WCl5. verwendet, um sowohl die oxidierte Fläche im LV-N-Bereich 104B und LV-P-Bereich 104C zu entfernen als auch die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C zurückzuätzen. In einigen Ausführungen wird bei der Schwellenspannungsabstimmbehandlung 160 ein Tantalchlorid enthaltendes Vorprodukt, wie z.B. Tantal-Pentachlorid (TaCl5) verwendet, um die oxidierte Fläche im LV-N-Bereich 104B und LV-P-Bereich 104C zu entfernen, und dann wird das Wolframchlorid enthaltende Vorprodukt, wie z.B. WCl5, verwendet, um die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C zurückzuätzen. In einigen Ausführungen wird bei der Schwellenspannungsabstimmbehandlung 160 nur ein Tantalchlorid enthaltendes Vorprodukt, wie z.B. TaCl5, verwendet, um nur die oxidierte Fläche zu entfernen, derart dass die Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B und LV-P-Bereich 104C keine oxidierte Fläche (Schicht) aufweist, während die Schwellenspannungsabstimmschicht 144 im HV-N-Bereich 104A und HV-P-Bereich 104D eine oxidierte Fläche (Schicht) aufweist.
  • Mit Bezugnahme auf 2I wird über der finnenbasierten integrierten Schaltungsvorrichtung 100 eine Austrittsarbeit-Schicht vom n-Typ 172 ausgebildet. In der dargestellten Ausführungsform wird die Austrittsarbeit-Schicht vom n-Typ 172 nicht in jedem Bereich der finnenbasierten integrierten Schaltungsvorrichtung 100 ausgebildet. Zum Beispiel wird über der finnenbasierten integrierten Schaltungsvorrichtung 100 eine strukturierte Maskierungsschicht 174 derart ausgebildet, dass die strukturierte Maskierungsschicht 174 den LV-P-Bereich 104C abdeckt und den HV-N-Bereich 104A, LV-N-Bereich 104B und HV-P-Bereich 104D freilegt. Insbesondere weist die strukturierte Maskierungsschicht 174 eine oder mehrere Öffnungen 176 auf, welche die Austrittsarbeit-Schicht vom p-Typ 146 im HV-N-Bereich 104A und HV-P-Bereich 104D und die Schwellenspannungsabstimmschicht 144 in LV-N-Bereich 104B freilegen. Die strukturierte Maskierungsschicht 174 wird durch einen beliebigen geeigneten Prozess, einschließlich der Abscheidungsprozesse, Lithographieprozesse und/oder des hier beschriebenen Ätzprozesses, ausgebildet. In einigen Ausführungen weist die, strukturierte Maskierungsschicht 174 ein beliebiges geeignetes Maskierungsmaterial auf, wie z.B. Silizium, amorphes Silizium, Halbleiteroxid (zum Beispiel SiO2), Halbleiternitrid (zum Beispiel SiN), Halbleiteroxynitrid (zum Beispiel SiON) und/oder Halbleitercarbid (zum Beispiel SiC), ein anderes Halbleitermaterial und/oder ein anderes dielektrisches Material. In einigen Ausführungen weist die strukturierte Maskierungsschicht 174 ein Fotolackmaterial auf. In Fortführung des Beispiels wird dann in einem ALD-Prozess über der Austrittsarbeit-Schicht vom p-Typ 146 und/oder der Schwellenspannungsabstimmschicht 144 eine Austrittsarbeit-Schicht vom n-Typ 172 konform derart abgeschieden, dass die Austrittsarbeit-Schicht vom n-Typ 172 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnung 130A, Öffnung 130B und Öffnung 130D zum Teil auffüllt. In der dargestellten Ausführungsform wird die Austrittsarbeit-Schicht vom n-Typ 172 somit auf der Austrittsarbeit-Schicht vom n-Typ 146 im HV-N-Bereich 104A und HV-P-Bereich 104D angeordnet, und sie wird auf der Schwellenspannungsabstimmschicht 144 im LV-N-Bereich 104B angeordnet. In einigen Ausführungen weist die Austrittsarbeit-Schicht vom n-Typ 172 eine Dicke von circa 25 Å bis zu circa 45 Å auf. Die Austrittsarbeit-Schicht vom n-Typ 172 weist ein beliebiges geeignetes Austrittsarbeit-Material vom n-Typ, wie z.B. Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, ein anderes Austrittsarbeit-Material vom n-Typ oder Kombinationen davon auf. In der dargestellten Ausführungsform weist die Austrittsarbeit-Schicht vom n-Typ 172 Titan und Aluminium auf, wie z.B. TaAlC, TaAl, TiAlC, TiAl, TaSiAl, TiSiAl, TaAlN oder TiAlN. Alternativ wird die Austrittsarbeit-Schicht vom n-Typ 172 unter Verwendung eines weiteren geeigneten Abscheidungsprozesses abgeschieden, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2J wird eine Klebstoffschicht 180 über der finnenbasierten integrierten Schaltungsvorrichtung 100 ausgebildet. In der dargestellten Ausführungsform wird die Klebstoffschicht 180 nicht in jedem Bereich der finnenbasierten integrierten Schaltungsvorrichtung 100 ausgebildet. Zum Beispiel verbleibt die strukturierte Maskierungsschicht 174 über dem LV-P-Bereich 104B, wobei die Austrittsarbeit-Schicht vom n-Typ 172 im HV-N-Bereich 104A, LV-N-Bereich 104B und HV-P-Bereich 104D freigelegt wird. Die Klebstoffschicht 180 wird somit im HV-N-Bereich 104A, LV-N-Bereich 104B, und HV-P-Bereich 104D ausgebildet. Zum Beispiel wird in einem ALD-Prozess auf der Austrittsarbeit-Schicht vom n-Typ 172 eine Klebstoffschicht 180 derart konform abgeschieden, dass die Klebstoffschicht 180 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnung 130A, Öffnung 130B und Öffnung 130D zum Teil auffüllt. Danach wird die strukturierte Maskierungsschicht 174 durch einen beliebigen geeigneten Prozess entfernt. In einigen Ausführungen weist die Klebstoffschicht 180 eine Dicke von circa 10 Å bis zu circa 15 Å auf. Die Klebstoffschicht 180 weist ein Material auf, das die Haftung zwischen benachbarten Schichten, wie z.B. der Austrittsarbeit-Schicht vom n-Typ 172 und den anschließend ausgebildeten Schichten der Gate-Strukturen 110A-110D (zum Beispiel den Metallfüllschichten) unterstützt. Zum Beispiel weist die Klebstoffschicht 180 ein Metall (zum Beispiel W, Al, Ta, Ti, Ni, Cu, Co, ein anderes geeignetes Metall oder Kombinationen davon), Metalloxide, Metallnitride oder Kombinationen davon auf. In der dargestellten Ausführungsform weist die Klebstoffschicht 180 Titan und Stickstoff, wie z.B. TiN, auf. Alternativ wird die Klebstoffschicht 180 unter Verwendung eines weiteren geeigneten Abscheidungsprozess abgeschieden, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2K wird über der finnenbasierten integrierten Schaltungsvorrichtung 100 eine Metallfüll- (oder Volumen-) Schicht 182 ist ausgebildet. Zum Beispiel wird die Metallfüllschicht 182 in einem ALD-Prozess auf der Klebstoffschicht 180 (im HV-N-Bereich 104A, LV-N-Bereich 104B und HV-P-Bereich 104D) und auf der Schwellenspannungsabstimmschicht 144 (im LV-P-Bereich 104C) derart konform abgeschieden, dass die Metallfüllschicht 182 eine im Wesentlichen gleichförmige Dicke aufweist und die Öffnungen 130A-130D auffüllt. In einigen Ausführungen weist die Metallfüllschicht 182 eine Dicke von circa 1500 Å bis zu circa 3000 Å auf. Die Metallfüllschicht 182 weist ein geeignetes leitfähiges Material, wie z.B. Al, W und/oder Cu, auf. In der dargestellten Ausführungsform weist die Metallfüllschicht 182 W auf. Die Metallfüllschicht 182 kann zusätzlich oder gemeinschaftlich andere Metalle, Metalloxide, Metallnitride, andere geeignete Materialien oder Kombinationen davon aufweisen. In einigen Ausführungen wird wahlweise über der finnenbasierten integrierten Schaltungsvorrichtung 100 vor dem Ausbilden der Metallfüllschicht 182 eine Blockierschicht 184 derart ausgebildet, dass die Metallfüllschicht 182 über der Blockierschicht 184 angeordnet ist. Zum Beispiel wird die Blockierschicht 184 in einem ALD-Prozess konform auf der Klebstoffschicht 180 und der Schwellenspannungsabstimmschicht 144 derart abgeschieden, dass die Blockierschicht 184 eine im Wesentlichen gleichmäßige Dicke aufweist und die Öffnungen 130A-130D zum Teil auffüllt. In einigen Ausführungen weist die Blockierschicht 184 eine Dicke von circa 25 Å bis zu circa 35 Å auf. Die Blockierschicht 184 weist ein Material auf, das die Diffusion zwischen den Gateschichten, wie z.B. der Metallfüllschicht 182 und der Austrittsarbeit-Schicht vom n-Typ 172 und/oder der Austrittsarbeit-Schicht vom p-Typ 146, blockiert und/oder vermindert. In der dargestellten Ausführungsform weist die Blockierschicht 184 Titan und Stickstoff, wie z.B. TiN, auf. Alternativ werden die Metallfüllschicht 182 und/oder die Blockierschicht 184 unter Verwendung eines weiteren geeigneten Abscheidungsprozesses ausgebildet, wie z.B. CVD, PVD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Rotationsbeschichtung, Plattieren, ein anderer Abscheidungsprozess oder Kombinationen davon.
  • Mit Bezugnahme auf 2L wird ein Planarisierungsprozess ausgeführt, um überschüssige Gatematerialien aus der finnenbasierten integrierten Schaltungsvorrichtung 100 derart zu entfernen, dass die Gate-Strukturen 110A-110D Metall-Gatestapel aufweisen. Zum Beispiel wird ein CMP-Prozess ausgeführt, bis eine Deckfläche der ILD-Schicht 120 erreicht (freigelegt) ist. In der dargestellten Ausführungsform liegen die Deckflächen der Gate-Strukturen 110A-110D im Wesentlichen in einer Ebene mit einer Deckfläche der ILD-Schicht 120 nach dem CMP-Prozess. Dementsprechend ist ein FinFET vom n-Typ 190A, der eine Gate-Struktur 110Aaufweist, im HV-N-Bereich 104A angeordnet, ein FinFET vom n-Typ 190B, der eine Gate-Struktur 110B aufweist, im LV-N-Bereich 104B angeordnet, ein FinFET vom p-Typ 190C, der eine Gate-Struktur 110C aufweist, im LV-P-Bereich 104C angeordnet und ein FinFET vom p-Typ 190D, der eine Gate-Struktur 110D aufweist, im HV-P-Bereich 104D angeordnet. Gemäß der Konfiguration sind der FinFET vom n-Typ 190A und der FinFET vom p-Typ 190B Hochspannungs-FinFETs, und der FinFET vom n-Typ 190B und der FinFET vom p-Typ 190C sind Niederspannungs-FinFETs, sodass der FinFET vom n-Typ 190A und der FinFET vom p-Typ 190B eine größere Schwellen(Betriebs)-Spannung aufweisen als der FinFET vom n-Typ 190B und FinFET vom p-Typ 190C. Die Gate-Struktur 110Aweist eine Grenzflächenschicht 112A, eine Gatedielektrikumschicht 140A, eine Abdeckschicht 142A, eine Schwellenspannungsabstimmschicht 144A, eine Austrittsarbeit-Schicht vom p-Typ 146A, eine Austrittsarbeit-Schicht vom n-Typ 172A, eine Klebstoffschicht 180A, eine Blockierschicht 184A und eine Metallfüllschicht 182A auf. Die Gate-Struktur 110B weist eine Grenzflächenschicht 112B, eine Gatedielektrikumschicht 140B, eine Abdeckschicht 142B, eine Schwellenspannungsabstimmschicht 144B, eine Austrittsarbeit-Schicht vom n-Typ 172B, eine Klebstoffschicht 180B, eine Blockierschicht 184B und eine Metallfüllschicht 182B auf. Die Gate-Struktur 110C weist eine Grenzflächenschicht 112C, eine Gatedielektrikumschicht 140C, eine Abdeckschicht 142C, eine Schwellenspannungsabstimmschicht 144C, eine Blockierschicht 184C und eine Metallfüllschicht 182C auf. Die Gate-Struktur 110D weist eine Grenzflächenschicht 112D, eine Gatedielektrikumschicht 140D, eine Abdeckschicht 142D, eine Schwellenspannungsabstimmschicht 144D, eine Austrittsarbeit-Schicht vom p-Typ 146D, eine Austrittsarbeit-Schicht vom n-Typ 172D, eine Klebstoffschicht 180D, eine Blockierschicht 184D und eine Metallfüllschicht 182D auf. Eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146D ist größer als eine Dicke der Austrittsarbeit-Schicht vom p-Typ 146A. Eine Dicke der Schwellenspannungsabstimmschicht 144A und/oder der Schwellenspannungsabstimmschicht 144D ist größer als eine Dicke der Schwellenspannungsabstimmschicht 144B und/oder der Schwellenspannungsabstimmschicht 144C. Hochspannungs-FinFETs haben somit Schwellenspannungsabstimmschichten (hier die Schwellenspannungsabstimmschichten 144A, 144D), die ein besseres Aluminiumblockierungsvermögen als die Schwellenspannungsabstimmschichten von Niederspannungs-FinFETs (hier die Schwellenspannungsabstimmschichten 144B, 144C) aufweisen, wobei Aluminium aus anderen Gate-Schichten (hier den Austrittsarbeit-Schichten vom n-Typ 172A, 172D) daran gehindert wird, in andere Gateschichten (wie z.B. die Grenzflächenschichten 112A, 112D; die Gatedielektrikumschichten 140A, 140D; und/oder die Abdeckschichten 142A, 142D) einzudringen, derart dass die Hochspannungs-FinFETs größere Schwellenspannungen aufweisen als die Niederspannungs-FinFETs. Durch Verändern eines Aluminiumblockierungsvermögens einer Schwellenspannungsabstimmschicht werden so Bauelemente mit mehreren Schwellenspannungen erhalten. Unterschiedliche Ausführungsformen können unterschiedliche Vorteile aufweisen, und kein spezieller Vorteil wird notwendigerweise von einer beliebigen Ausfiihrungsform verlangt.
  • Die Fertigung kann fortgesetzt werden, um die Herstellung der finnenbasierten integrierten Schaltungsvorrichtung 100 zu vollenden. Zum Beispiel können verschiedenartige Kontakte ausgebildet werden, um den Betrieb von FinFET-Bauelementen 190A-190D zu erleichtern. Zum Beispiel können eine oder mehrere ILD-Schichten, die ähnlich zur ILD-Schicht 120 sind, über dem Substrat 102 (insbesondere über der ILD-Schicht 120 und den Gate-Strukturen 110A-110D) ausgebildet werden. In der ILD-Schicht 120 und/oder in den ILD-Schichten, die über der ILD-Schicht 120 angeordnet sind, können dann Kontakte ausgebildet werden. Zum Beispiel sind die Kontakte jeweils mit den Gate-Strukturen 110A-110D der FinFETs 190A-190D (insbesondere den Gateelektroden der Gate-Strukturen 110A-110D) elektrisch verbunden, und die Kontakte sind jeweils mit Source/Drain-Bereichen der FinFETs 190A-190C (insbesondere den epitaxialen Source/Drain-Merkmalen 118A-118D) elektrisch verbunden. Die Kontakte weisen ein leitfähiges Material, wie z.B. ein Metall, auf. Die Metalle schließen Aluminium, Aluminiumlegierung (wie z.B. Aluminium/Silizium/Kupfer-Legierung), Kupfer, Kupferlegierung, Titan, Titannitrid, Tantal, Tantalnitrid, Wolfram, Polysilizium, Metallsilizid, andere geeignete Metalle oder Kombinationen davon ein. Das Metallsilizid kann Nickelsilizid, Kobaltsilizid, Wolframsilizid, Tantalsilizid, Titansilizid, Platinsilizid, Erbiumsilizid, Palladiumsilizid oder Kombinationen davon umfassen. In einigen Ausführungen sind die über der ILD-Schicht 120 angeordneten ILD-Schichten und die Kontakte (die sich zum Beispiel durch die ILD-Schicht 120 und/oder die anderen ILD-Schichten erstrecken) ein Teil eines MLI-Merkmals, das über dem Substrat 102 angeordnet ist, wie oben beschrieben wurde. Das MLI-Merkmal kann eine Kombination von Metallschichten und ILD-Schichten aufweisen, die konfiguriert sind, vertikale Zwischenverbindungsmerkmale, wie z.B. Kontakte und/oder Durchkontaktierungen, und/oder horizontale Zwischenverbindungsmerkmale, wie z.B. Zuleitungen, auszubilden. Die verschiedenartigen Leitfähigkeitsmerkmale weisen Materialien auf, die ähnlich zu den Kontakten sind. In einigen Ausführungen werden ein Damascene-Prozess und/oder ein Dual-Damascene-Prozess verwendet, um das MLI-Merkmal auszubilden.
  • Manchmal können eine oder mehrere von den Gateschichten der Gate-Strukturen 110A-110D während der Bearbeitung verändert werden, wenn sie zur Bearbeitung beim Transport der finnenbasierten integrierten Schaltungsvorrichtung 100 zwischen den Bearbeitungssystemen den Umgebungseinflüssen ausgesetzt werden. Zum Beispiel können die Oberflächen einer oder mehrerer von den Gateschichten der Gate-Strukturen 110A-110D oxydiert werden, wenn sie der Sauerstoffumgebung ausgesetzt werden, wobei die Schwellenspannungen, die zu den Gate-Strukturen 110A-110D gehören, unerwünscht verändert werden. Um derartige Vorgänge zu minimieren, können in einigen Ausführungen eine oder mehrere von den Gateschichten der Gate-Strukturen 110A-110D „in-situ“ bearbeitet werden, was sich im Allgemeinen auf das Ausführen von verschiedenartigen Prozessen an der finnenbasierten integrierten Schaltungsvorrichtung 100 in dem gleichen IC-Bearbeitungssystem oder IC-Bearbeitungswerkzeug bezieht, wobei ermöglicht wird, dass die finnenbasierte integrierte Schaltungsvorrichtung 100 während der verschiedenartigen Prozesse unter Vakuumbedingungen verbleibt. Von daher bezieht sich das „in-situ“ im Allgemeinen auch auf das Ausführen von verschiedenartigen Prozessen an der finnenbasierten integrierten Schaltungsvorrichtung 100, ohne dass die finnenbasierte integrierte Schaltungsvorrichtung 100 den Umgebungseinflüssen (zum Beispiel außerhalb eines IC-Bearbeitungssystems), wie z.B. dem Sauerstoff, ausgesetzt wird. In einigen Ausführungen werden die Schwellenspannungsabstimmbehandlung 160, die Herstellung der Austrittsarbeit-Schicht vom n-Typ 172, die Herstellung der Klebstoffschicht 180 und/oder die Herstellung der Blockierschicht 184 in-situ ausgeführt, wodurch minimiert (oder ausgeschlossen) wird, dass während der Bearbeitung Sauerstoff und/oder andere Umgebungseinflüsse einwirken.
  • 3 ist eine unvollständige grafische Darstellung eines Mehrkammer-Bearbeitungssystems 200 einer integrierten Schaltung (IC) gemäß verschiedenartigen Ausbildungen der vorliegenden Offenbarung. In einigen Ausführungen wird das Mehrkammer-IC-Bearbeitungssystem 200 als ein IC-Clusterwerkzeug bezeichnet. Das Mehrkammer-IC-Bearbeitungssystem 200 weist einen Lade-Port 202, eine oder mehrere Ladeschleusenkammern (zum Beispiel eine Ladeschleusenkammer 204A und eine Ladeschleusenkammer 204B), eine oder mehrere Prozesskammern (zum Beispiel eine Prozesskammer 210A, eine Prozesskammer 210B, eine Prozesskammer 210C, eine Prozesskammer 210D und eine Prozesskammer 210E) und eine Wafer-Handling-Kammer 220 auf. Die Wafer werden zwischen den Prozesskammern 210A-210E transportiert, um die verschiedenartigen Gateschichten in einer in-situ-Verfahrensweise derart zu bearbeiten, dass die Wafer während der Bearbeitung im Mehrkammer-IC-Bearbeitungssystem 200 unter Vakuumbedingungen verbleiben. In einigen Ausführungen werden die verschiedenartigen Gateaustauschprozesse an der finnenbasierten integrierten Schaltungsvorrichtung 100 im Mehrkammer-IC-Bearbeitungssystem 100 derart ausgeführt, dass die finnenbasierte integrierte Schaltungsvorrichtung 100 während der verschiedenartigen Gateaustauschprozesse unter Vakuumbedingungen verbleibt. 3 ist der Klarheit halber zum besseren Verständnis des Erfindungsgedankens der vorliegenden Offenbarung vereinfacht worden. Im Mehrkammer-IC-Bearbeitungssystem 200 können zusätzliche Merkmale hinzugefügt werden, und einige der nachfolgend beschriebenen Merkmale können in anderen Ausführungsformen des Mehrkammer-IC-Bearbeitungssystems 200 ersetzt, verändert oder weggelassen werden.
  • Die Ladeschleusenkammern 204A, 204B sind eingerichtet, einen von einem Lade-Port 202 aus übergebenen Wafer (der zum Beispiel eine darauf erzeugte finnenbasierte integrierte Schaltungsvorrichtung 100 aufweist) aufzunehmen. Die Ladeschleusenkammern 204A, 204B sind eingerichtet, die Übergabe von Wafern in das Mehrkammer-IC-Bearbeitungssystem 200 und aus ihm heraus zu ermöglichen. In einigen Ausführungen ist das Mehrkammer-IC-Bearbeitungssystem 200 evakuiert, und die Ladeschleusenkammer 204A, 204B kann eine Umgebung von Wafern, die in die Mehrkammer-IC-Bearbeitungssystem 200 eingeführt werden, „leer pumpen“ (zum Beispiel unter Verwendung einer mechanischen Pumpe und/oder einer Turbomolekularpumpe), derart dass die Umgebung der Wafer unter Vakuum ist. In einigen Ausführungen sind die Ladeschleusenkammern 204A, 204B eingerichtet, einen einzigen Wafer oder mehrere Wafer aufzunehmen (zum Beispiel Wafer, die in eine Wafer-Kassette, einen Behälter oder Träger geladen sind). In einigen Ausführungen sind die Ladeschleusenkammern 204A, 204B durch ein Schieberventil von der Wafer-Handling-Kammer 220 getrennt, wodurch ermöglicht wird, dass die Wafer-Handling-Kammer 220 unter Vakuum verbleibt, wenn die Ladeschleusenkammer 204A und/oder die Ladeschleusenkammer 204B während des Betriebs belüftet wird. Die Wafer-Handling-Kammer 220 weist einen automatisierten Roboterarm auf, der die Wafer längs einer beliebigen von einer horizontalen, vertikalen und/oder Drehachse zwischen der Ladeschleusenkammer 204A, Ladeschleusenkammer 204B und/oder einer beliebigen von den Prozesskammern 210A-210E befördern kann. Die Prozesskammern 210A-210E sind eingerichtet, eine Anzahl von IC-Bearbeitungsschritten, wie z.B. ALD, CVD, PVD, Ätzen, Vorbehandlung/Vorimprägnieren, Entgasen, Tempern sowie eine Anzahl von Messprozessen, wie z.B. XPS-Analyse, AFM-Analyse, und/oder andere geeignete Bearbeitungs-oder Messvorgänge auszuführen. Die Wafer können somit während der Bearbeitung im Mehrkammer-IC-Bearbeitungssystem 100 im Vakuum verbleiben, derart dass die Wafer während der Gateausbildungsvorgänge den Umwelteinflüssen, wie z.B. dem Sauerstoff, nicht (oder minimal) ausgesetzt sind. In der vorliegenden Offenbarung wird in Betracht gezogen, dass das Mehrkammer-IC-Bearbeitungssystem 200 in Abhängigkeit von den IC-Prozessanforderungen mehr oder weniger Ladeschleusenkammern, Prozesskammern, Wafer-Handling-Kammern und/oder andere Kammern aufweisen kann.
  • In einigen Ausführungen ist die Prozesskammer 210A eingerichtet, eine Schwellenspannungsabstimmbehandlung, wie z.B. die Schwellenspannungsabstimmbehandlung 160, auszuführen; die Prozesskammer 210B, Prozesskammer 210C und/oder Prozesskammer 210D sind eingerichtet, einen ALD-Prozess auszuführen, um eine Austrittsarbeit-Schicht vom n-Typ, wie z.B. die Austrittsarbeit-Schicht vom n-Typ 172, auszubilden; und die Prozesskammer 210E ist eingerichtet, einen ALD-Prozess auszuführen, um eine Klebstoffschicht, wie z.B. die Klebstoffschicht 180, und/oder eine Blockierschicht, wie z.B. die Blockierschicht 184, auszubilden. Die Prozesskammer 210A ist zum Beispiel eingerichtet, ein oder mehrere Vorprodukte aufzunehmen, um eine Dicke einer Schwellenspannungsabstimmschicht, die Tantal und Stickstoff aufweist, wie z.B. der Schwellenspannungsabstimmschicht 144 in der dargestellten Ausführungsform, zu reduzieren; die Prozesskammer 210B, Prozesskammer 210C und/oder Prozesskammer 210D sind eingerichtet, ein oder mehrere Vorprodukte aufzunehmen, die geeignet sind, eine Austrittsarbeit-Schicht vom n-Typ auszubilden, die Titan und Aluminium aufweist; und die Prozesskammer 210E ist eingerichtet, ein oder mehrere Vorprodukte aufzunehmen, die geeignet sind, eine Klebstoffschicht und/oder eine Blockierschicht auszubilden, die Titan und Stickstoff aufweist. In einigen Ausführungen ist die Prozesskammer 210A eingerichtet, ein Wolframchlorid enthaltendes Vorprodukt, wie z.B. WCl5, aufzunehmen; die Prozesskammer 210B, Prozesskammer 210C und/oder Prozesskammer 210D sind eingerichtet, ein Aluminium enthaltendes Vorprodukt, wie z.B. Dimethylaluminiumhydrid (DMAH) oder Dimethylethyl-Amylamin (DMEAA), aufzunehmen; und die Prozesskammer 210E ist eingerichtet, ein Titan enthaltendes Vorprodukt, wie z.B. Titantetrachlorid (TiCl4), und ein Stickstoff enthaltendes Vorprodukt, wie z.B. Ammoniak (NH3), aufzunehmen. In einigen Ausführungen ist die Prozesskammer 210A eingerichtet, eine Temperatur von circa 400°C bis zu circa 500°C aufrechtzuerhalten. In einigen Ausführungen ist die Prozesskammer 210A eingerichtet, einen Druck von circa 20 Torr bis zu circa 30 Torr aufrechtzuhalten. In der vorliegenden Offenbarung werden Ausführungen in Betracht gezogen, in denen die Prozesskammern 210A-210E eingerichtet sind, andere Gateaustauschprozesse, wie z.B. das Ausbilden einer Gatedielektrikumschicht (zum Beispiel der high-k-dielektrischen Schicht 140), das Ausbilden einer Abdeckschicht (zum Beispiel der Abdeckschicht 142), das Ausbilden einer Schwellenspannungsabstimmschicht (zum Beispiel der Schwellenspannungsabstimmschicht 144), das Ausbilden einer Austrittsarbeit-Schicht vom p-Typ (zum Beispiel der Austrittsarbeit-Schicht vom p-Typ 146), die Behandlung einer oder mehrerer von den Gate-Schichten und/oder einen beliebigen anderen Gateaustauschprozess auszuführen, die von einer in-situ-Bearbeitung profitieren können. In Ausführungen, in denen eine der Prozesskammern 210A-210E eingerichtet ist, eine Schwellenspannungsabstimmschicht auszubilden, die Tantal und Stickstoff aufweist, kann die Prozesskammer eingerichtet sein, ein Tantal enthaltendes Vorprodukt, wie z.B. Pentakis-Dimethylamino-Tantal (PDMAT), und ein Stickstoff enthaltendes Vorprodukt, wie z.B. NH3, aufzunehmen.
  • Die vorliegende Offenbarung stellt viele verschiedene Ausführungsformen bereit. Hier werden Verfahren zur Abstimmung von Schwellenspannungen finnenartiger Feldeffekttransistor-Bauelemente offenbart. Ein Verfahrensbeispiel umfasst die Ausbildung einer ersten Öffnung in einer ersten Gate-Struktur und einer zweiten Öffnung in einer zweiten Gate-Struktur. Die erste Gate-Struktur wird über einer ersten Finnenstruktur angeordnet, und die zweite Gate-Struktur wird über einer zweiten Finnenstruktur angeordnet. Das Verfahren umfasst ferner das Auffüllen der ersten Öffnung und der zweiten Öffnung durch Ausbilden einer Gatedielektrikumschicht, das Ausbilden einer Schwellenspannungsabstimmschicht über der Gatedielektrikumschicht, das Zurückätzen der Schwellenspannungsabstimmschicht in der zweiten Öffnung, das Ausbilden einer Austrittsarbeit-Schicht über der Schwellenspannungsabstimmschicht und das Ausbilden einer Metallfüllschicht über der Austrittsarbeit-Schicht. Die Schwellenspannungsabstimmschicht weist Tantal und Stickstoff auf. Beim Zurückätzen wird ein Wolframchlorid enthaltendes Vorprodukt verwendet. In einigen Ausführungen umfasst das Ausbilden der Schwellenspannungsabstimmschicht das Ausführen eines Atomlagenabscheidungsprozesses. In einigen Ausführungen umfasst das Zurückätzen das Abstimmen von Ätzparametern, um eine Schwellenspannung, die zu der zweiten Gate-Struktur gehört, bezüglich einer Schwellenspannung, die zu der ersten Gate-Struktur gehört, zu verringern. In einigen Ausführungen umfasst das Auffüllen der ersten Öffnung und der zweiten Öffnung ferner das Ausbilden einer Abdeckschicht über der Gatedielektrikumschicht, wobei die Abdeckschicht zwischen der Gatedielektrikumschicht und der Schwellenspannungsabstimmschicht angeordnet wird.
  • In einigen Ausführungen wird die Austrittsarbeit-Schicht nach dem Zurückätzen der Schwellenspannungsabstimmschicht ausgebildet. In derartigen Ausführungen ist die Austrittsarbeit-Schicht eine Austrittsarbeit-Schicht vom ersten Typ, und das Auffüllen der ersten Öffnung und der zweiten Öffnung umfasst ferner das Ausbilden einer Austrittsarbeit-Schicht vom zweiten Typ über der Schwellenspannungsabstimmschicht in der ersten Öffnung und der zweiten Öffnung vor dem Zurückätzen der Schwellenspannungsabstimmschicht, das Entfernen der Austrittsarbeit-Schicht vom zweiten Typ aus der zweiten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung für das Zurückätzen freigelegt wird, und das Ausbilden der Austrittsarbeit-Schicht vom ersten Typ über der Austrittsarbeit-Schicht vom zweiten Typ in der ersten Öffnung und über der Schwellenspannungsabstimmschicht in der zweiten Öffnung. In einigen Ausführungen wird die Austrittsarbeit-Schicht vor dem Zurückätzen der Schwellenspannungsabstimmschicht ausgebildet. In derartigen Ausführungen ist die Austrittsarbeit-Schicht eine Austrittsarbeit-Schicht vom ersten Typ, und das Auffüllen der ersten Öffnung und der zweiten Öffnung umfasst ferner das Entfernen der Austrittsarbeit-Schicht vom ersten Typ aus der zweiten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung für das Zurückätzen freigelegt wird, und das Ausbilden einer Austrittsarbeit-Schicht vom zweiten Typ über der Austrittsarbeit-Schicht vom ersten Typ in der ersten Öffnung nach dem Zurückätzen.
  • Ein weiteres Verfahrensbeispiel umfasst das Ausbilden einer ersten Öffnung in einer ersten Gate-Struktur eines ersten finnenartigen Feldeffekttransistor (FinFET), einer zweiten Öffnung in einer zweiten Gate-Struktur eines zweiten FinFET, einer dritten Öffnung in einer dritten Gate-Struktur eines dritten FinFET und einer vierten Öffnung in einer vierten Gate-Struktur eines vierten FinFET. Das Verfahren umfasst ferner das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer high-k-dielektrischen Schicht. Das Verfahren umfasst ferner das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Schwellenspannungsabstimmschicht, wobei die Schwellenspannungsabstimmschicht über der high-k-dielektrischen Schicht angeordnet wird. Das Verfahren umfasst ferner das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Austrittsarbeit-Schicht vom ersten Typ, wobei die Austrittsarbeit-Schicht vom ersten Typ über der Schwellenspannungsabstimmschicht angeordnet wird. Das Verfahren umfasst ferner das Entfernen der Austrittsarbeit-Schicht vom ersten Typ aus der zweiten Öffnung und der dritten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung und der dritten Öffnung freigelegt wird. Das Verfahren umfasst ferner das Ausführen einer Schwellenspannungsabstimmbehandlung an der Schwellenspannungsabstimmschicht, die in der zweiten Öffnung und der dritten Öffnung freigelegt ist, derart dass eine Schwellenspannung des zweiten FinFET und des dritten FinFET niedriger als eine Schwellenspannung des ersten FinFET und des vierten FinFET ist, wobei die Schwellenspannungsabstimmbehandlung ein Wolframchlorid enthaltendes Vorprodukt zur Anwendung bringt. Das Verfahren umfasst ferner das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung mit einer Austrittsarbeit-Schicht vom zweiten Typ, wobei die Austrittsarbeit-Schicht vom zweiten Typ über der Schwellenspannungsabstimmschicht in der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung angeordnet wird. Das Verfahren umfasst ferner das Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Metallfüllschicht, wobei die Metallfüllschicht über der Austrittsarbeit-Schicht vom zweiten Typ in der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung angeordnet wird, und wobei die Metallfüllschicht ferner über der Schwellenspannungsabstimmschicht in der dritten Öffnung angeordnet wird. In einigen Ausführungen umfasst das Verfahren ferner das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Abdeckschicht über der high-k-dielektrischen Schicht vor dem Ausbilden der Schwellenspannungsabstimmschicht. In einigen Ausführungen umfasst das Verfahren ferner das Auffüllen der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung mit einer Klebstoffschicht über der Austrittsarbeit-Schicht vom zweiten Typ.
  • In einigen Ausführungen umfasst das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Schwellenspannungsabstimmschicht ein Ausbilden einer Tantal-und-Stickstoff enthaltenden Schicht. In einigen Ausführungen umfasst das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Schwellenspannungsabstimmschicht ein Ausführen eines Atomlagenabscheidungsprozesses. In einigen Ausführungen umfasst das Ausführen der Schwellenspannungsabstimmbehandlung das Verringern der Dicke der Schwellenspannungsabstimmschicht in der zweiten Öffnung und der dritten Öffnung. In einigen Ausführungen weist die Austrittsarbeit-Schicht vom ersten Typ ein Austrittsarbeit-Material vom p-Typ und die Austrittsarbeit-Schicht vom zweiten Typ ein Austrittsarbeit-Material vom n-Typ auf. In einigen Ausführungen umfasst das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Austrittsarbeit-Schicht vom ersten Typ das Ausbilden der Austrittsarbeit-Schicht vom ersten Typ in einer ersten Dicke in der ersten Öffnung und der zweiten Öffnung und das Ausbilden der Austrittsarbeit-Schicht vom ersten Typ in einer zweiten Dicke in der dritten Öffnung und der vierten Öffnung. Die zweite Dicke ist größer als die erste Dicke.
  • Ein Beispiel für eine integrierte Schaltungsvorrichtung weist einen ersten finnenartigen Feldeffekttransistor (FinFET) mit einer ersten Gate-Struktur auf, die eine erste high-k-dielektrische Schicht, eine erste Schwellenspannungsabstimmschicht, die über der ersten high-k-dielektrischen Schicht angeordnet ist, eine erste Austrittsarbeit-Schicht vom p-Typ, die über der ersten Schwellenspannungsabstimmschicht angeordnet ist, eine erste Austrittsarbeit-Schicht vom n-Typ, die über ersten Schwellenspannungsabstimmschicht angeordnet ist, und eine erste Metallfüllschicht aufweist, die über der ersten Austrittsarbeit-Schicht vom n-Typ angeordnet ist. Die integrierte Schaltung weist ferner einen zweiten FinFET mit einer zweiten Gate-Struktur auf, die eine zweite high-k-dielektrische Schicht, eine zweite Schwellenspannungsabstimmschicht, die über der zweiten high-k-dielektrischen Schicht angeordnet ist, eine zweite Austrittsarbeit-Schicht vom n-Typ, die über der zweiten Schwellenspannungsabstimmschicht angeordnet ist, und eine zweite Metallfüllschicht aufweist, die über der zweiten Schwellenspannungsabstimmschicht angeordnet ist. Die integrierte Schaltung weist ferner einen dritten FinFET mit einer dritten Gate-Struktur auf, die eine dritte high-k-dielektrische Schicht, eine dritte Schwellenspannungsabstimmschicht, die über der dritten high-k-dielektrischen Schicht angeordnet ist, und eine dritte Metallfüllschicht aufweist, die über der dritten Schwellenspannungsabstimmschicht angeordnet ist. Die integrierte Schaltung weist ferner einen vierten FinFET mit einer vierten Gate-Struktur auf, die eine vierte high-k-dielektrische Schicht, eine vierte Schwellenspannungsabstimmschicht, die über der vierten high-k-dielektrischen Schicht angeordnet ist, eine zweite Austrittsarbeit-Schicht vom p-Typ, die über der vierten Schwellenspannungsabstimmschicht angeordnet ist, eine dritte Austrittsarbeit-Schicht vom n-Typ, die über der vierten Schwellenspannungsabstimmschicht angeordnet ist, und eine vierte Metallfüllschicht aufweist, die über der dritten Austrittsarbeit-Schicht vom n-Typ angeordnet ist. Eine Dicke der zweiten Schwellenspannungsabstimmschicht und der dritten Schwellenspannungsabstimmschicht ist kleiner als eine Dicke der ersten Schwellenspannungsabstimmschicht und der vierten Schwellenspannungsabstimmschicht. Eine Dicke der zweiten Austrittsarbeit-Schicht vom p-Typ ist größer eine Dicke der ersten Austrittsarbeit-Schicht vom p-Typ. In einigen Ausführungen weisen die erste Schwellenspannungsabstimmschicht, die zweite Schwellenspannungsabstimmschicht, die dritte Schwellenspannungsabstimmschicht und die vierte Schwellenspannungsabstimmschicht Tantal und Stickstoff auf.
  • Die integrierte Schaltung weist ferner eine erste high-k-Abdeckschicht, die zwischen der ersten high-k-dielektrischen Schicht und der ersten Schwellenspannungsabstimmschicht angeordnet ist, eine zweite high-k-Abdeckschicht, die zwischen der zweiten high-k-dielektrischen Schicht und der zweiten Schwellenspannungsabstimmschicht angeordnet ist, eine dritte high-k-Abdeckschicht, die zwischen der dritten high-k-dielektrischen Schicht und der dritten Schwellenspannungsabstimmschicht angeordnet ist, und eine vierte high-k-Abdeckschicht auf, die zwischen der vierten high-k-dielektrischen Schicht und der vierten Schwellenspannungsabstimmschicht angeordnet ist. In einigen Ausführungen weisen die erste Austrittsarbeit-Schicht vom p-Typ und die zweite Austrittsarbeit-Schicht vom p-Typ Titan und Stickstoff auf. In einigen Ausführungen weisen die erste Austrittsarbeit-Schicht vom n-Typ, die zweite Austrittsarbeit-Schicht vom n-Typ und die dritte Austrittsarbeit-Schicht vom n-Typ Titan und Aluminium auf. In einigen Ausführungen weisen die erste Metallfüllschicht, die zweite Metallfüllschicht, die dritte Metallfüllschicht und die vierte Metallfüllschicht Wolfram auf.
  • Vorangehend werden Merkmale verschiedener Ausführungsformen kurz dargestellt, sodass Fachleute die Ausbildungen der vorliegenden Offenbarung besser verstehen können. Fachleute sollten anerkennen, dass sie die vorliegende Offenbarung leicht als eine Grundlage dafür einsetzen können, andere Prozesse und Strukturen zu konzipieren oder abzuwandeln, um die gleichen Zielstellungen zu realisieren und/oder die gleichen Vorteile der hier dargelegten Ausführungsformen zu erreichen. Fachleute sollten auch erkennen, dass derartige gleichwertige Konstruktionen nicht vom Grundgedanken und Umfang der vorliegenden Offenbarung abweichen und dass sie hierin verschiedenartige Veränderungen, Ersetzungen und Abwandlungen erzeugen können, ohne vom Grundgedanken und Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, umfassend: Ausbilden einer ersten Öffnung in einer ersten Gate-Struktur und einer zweiten Öffnung in einer zweiten Gate-Struktur, wobei die erste Gate-Struktur über einer ersten Finnenstruktur und die zweite Gate-Struktur über einer zweiten Finnenstruktur angeordnet wird; und Auffüllen der ersten Öffnung und der zweiten Öffnung mittels: Ausbilden einer Gatedielektrikumschicht, Ausbilden einer Schwellenspannungsabstimmschicht über der Gatedielektrikumschicht, wobei die Schwellenspannungsabstimmschicht Tantal und Stickstoff aufweist, Zurückätzen der Schwellenspannungsabstimmschicht in der zweiten Öffnung unter Verwendung eines Wolframchlorid enthaltenden Vorprodukts, Ausbilden einer Austrittsarbeit-Schicht über der Schwellenspannungsabstimmschicht, und Ausbilden einer Metallfüllschicht über der Austrittsarbeit-Schicht.
  2. Verfahren nach Anspruch 1, wobei das Ausbilden der Schwellenspannungsabstimmschicht ein Ausführen eines Atomlagenabscheidungsprozesses umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Zurückätzen der Schwellenspannungsabstimmschicht ein Abstimmen von Ätzparametern umfasst, um eine Schwellenspannung, die zu der zweiten Gate-Struktur gehört, bezüglich einer Schwellenspannung, die zu der ersten Gate-Struktur gehört, zu verringern.
  4. Verfahren nach einem der vorhergehenden Ansprüche, ferner ein Ausbilden der Austrittsarbeit-Schicht nach dem Zurückätzen der Schwellenspannungsabstimmschicht umfassend.
  5. Verfahren nach Anspruch 4, wobei die Austrittsarbeit-Schicht eine Austrittsarbeit-Schicht vom ersten Typ ist und wobei das Auffüllen der ersten Öffnung sowie der zweiten Öffnung ferner umfasst: Ausbilden einer Austrittsarbeit-Schicht vom zweiten Typ über der Schwellenspannungsabstimmschicht in der ersten Öffnung und der zweiten Öffnung vor dem Zurückätzen der Schwellenspannungsabstimmschicht; Entfernen der Austrittsarbeit-Schicht vom zweiten Typ aus der zweiten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung für das Zurückätzen freigelegt wird; und Ausbilden der Austrittsarbeit-Schicht vom ersten Typ über der Austrittsarbeit-Schicht vom zweiten Typ in der ersten Öffnung und über der Schwellenspannungsabstimmschicht in der zweiten Öffnung.
  6. Verfahren nach einem der vorhergehenden Ansprüche, ferner ein Ausbilden der Austrittsarbeit-Schicht vor dem Zurückätzen der Schwellenspannungsabstimmschicht umfassend.
  7. Verfahren nach Anspruch 6, wobei die Austrittsarbeit-Schicht eine Austrittsarbeit-Schicht vom ersten Typ ist und wobei das Auffüllen der ersten Öffnung sowie der zweiten Öffnung ferner umfasst: Entfernen der Austrittsarbeit-Schicht vom ersten Typ aus der zweiten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung für das Zurückätzen freigelegt wird; und Ausbilden einer Austrittsarbeit-Schicht vom zweiten Typ über der Austrittsarbeit-Schicht vom ersten Typ in der ersten Öffnung nach dem Zurückätzen.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Auffüllen der ersten Öffnung und der zweiten Öffnung ferner ein Ausbilden einer Abdeckschicht über der Gatedielektrikumschicht umfasst, wobei die Abdeckschicht zwischen der Gatedielektrikumschicht und der Schwellenspannungsabstimmschicht angeordnet wird.
  9. Verfahren, umfassend: Ausbilden einer ersten Öffnung in einer ersten Gate-Struktur eines ersten finnenartigen Feldeffekttransistors (FinFET), einer zweiten Öffnung in einer zweiten Gate-Struktur eines zweiten FinFET, einer dritten Öffnung in einer dritten Gate-Struktur eines dritten FinFET und einer vierten Öffnung in einer vierten Gate-Struktur eines vierten FinFET; teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer high-k-dielektrischen Schicht; teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Schwellenspannungsabstimmschicht, wobei die Schwellenspannungsabstimmschicht über der high-k-dielektrischen Schicht angeordnet wird; teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Austrittsarbeit-Schicht vom ersten Typ, wobei die Austrittsarbeit-Schicht vom ersten Typ über der Schwellenspannungsabstimmschicht angeordnet wird; Entfernen der Austrittsarbeit-Schicht vom ersten Typ aus der zweiten Öffnung und der dritten Öffnung, wodurch die Schwellenspannungsabstimmschicht in der zweiten Öffnung und der dritten Öffnung freigelegt wird; Ausführen einer Schwellenspannungsabstimmbehandlung an der Schwellenspannungsabstimmschicht, die in der zweiten Öffnung und der dritten Öffnung freigelegt wurde, derart dass eine Schwellenspannung des zweiten FinFET und des dritten FinFET niedriger als eine Schwellenspannung des ersten FinFET und des vierten FinFET ist, wobei die Schwellenspannungsabstimmbehandlung ein Wolframchlorid enthaltendes Vorprodukt zur Anwendung bringt; teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung mit einer Austrittsarbeit-Schicht vom zweiten Typ, wobei die Austrittsarbeit-Schicht vom zweiten Typ über der Schwellenspannungsabstimmschicht in der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung angeordnet wird; und Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Metallfüllschicht, wobei die Metallfüllschicht über der Austrittsarbeit-Schicht vom zweiten Typ in der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung angeordnet wird, und wobei ferner die Metallfüllschicht über der Schwellenspannungsabstimmschicht in der dritten Öffnung angeordnet wird.
  10. Verfahren nach Anspruch 9, wobei das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Schwellenspannungsabstimmschicht ein Ausbilden einer Tantal-und-Stickstoff enthaltenden Schicht umfasst.
  11. Verfahren nach Anspruch 9 oder 10, wobei das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Schwellenspannungsabstimmschicht ein Ausführen eines Atomlagenabscheidungsprozesses umfasst.
  12. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 11, wobei das Ausführen der Schwellenspannungsabstimmbehandlung das Verringern einer Dicke der Schwellenspannungsabstimmschicht in der zweiten Öffnung und der dritten Öffnung umfasst.
  13. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 12, ferner ein teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit einer Abdeckschicht über der high-k-dielektrischen Schicht vor dem Ausbilden der Schwellenspannungsabstimmschicht umfassend.
  14. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 13, ferner ein teilweises Auffüllen der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung mit einer Klebstoffschicht über der Austrittsarbeit-Schicht vom zweiten Typ umfassend.
  15. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 14, wobei das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung, der dritten Öffnung und der vierten Öffnung mit der Austrittsarbeit-Schicht vom ersten Typ umfasst: Ausbilden der Austrittsarbeit-Schicht vom ersten Typ von einer ersten Dicke in der ersten Öffnung und der zweiten Öffnung; und Ausbilden der Austrittsarbeit-Schicht vom ersten Typ von einer zweiten Dicke in der dritten Öffnung und der vierten Öffnung, wobei die zweite Dicke größer als die erste Dicke ist.
  16. Verfahren nach einem der vorhergehenden Ansprüche 9 bis 15, wobei die Schwellenspannungsabstimmbehandlung und das teilweise Auffüllen der ersten Öffnung, der zweiten Öffnung und der vierten Öffnung mit der Austrittsarbeit-Schicht vom zweiten Typ in situ ausgeführt werden.
  17. Integrierte Schaltungsvorrichtung mit: einem ersten finnenartigen Feldeffekttransistor (FinFET) mit einer ersten Gate-Struktur, die eine erste high-k-dielektrische Schicht, eine erste Schwellenspannungsabstimmschicht, die über der ersten high-k-dielektrischen Schicht angeordnet ist, eine erste Austrittsarbeit-Schicht vom p-Typ, die über der ersten Schwellenspannungsabstimmschicht angeordnet ist, eine erste Austrittsarbeit-Schicht vom n-Typ, die über ersten Schwellenspannungsabstimmschicht angeordnet ist, und eine erste Metallfüllschicht aufweist, die über der ersten Austrittsarbeit-Schicht vom n-Typ angeordnet ist, einem zweiten FinFET mit einer zweiten Gate-Struktur, die eine zweite high-k-dielektrische Schicht, eine zweite Schwellenspannungsabstimmschicht, die über der zweiten high-k-dielektrischen Schicht angeordnet ist, eine zweite Austrittsarbeit-Schicht vom n-Typ, die über der zweiten Schwellenspannungsabstimmschicht angeordnet ist, und eine zweite Metallfüllschicht aufweist, die über der zweiten Schwellenspannungsabstimmschicht angeordnet ist, einem dritten FinFET mit einer dritten Gate-Struktur, die eine dritte high-k-dielektrische Schicht, eine dritte Schwellenspannungsabstimmschicht, die über der dritten high-k-dielektrischen Schicht angeordnet ist, und eine dritte Metallfüllschicht aufweist, die über der dritten Schwellenspannungsabstimmschicht angeordnet ist, einem vierten FinFET mit einer vierten Gate-Struktur auf, die eine vierte high-k-dielektrische Schicht, eine vierte Schwellenspannungsabstimmschicht, die über der vierten high-k-dielektrischen Schicht angeordnet ist, eine zweite Austrittsarbeit-Schicht vom p-Typ, die über der vierten Schwellenspannungsabstimmschicht angeordnet ist, ein dritte Austrittsarbeit-Schicht vom n-Typ, die über der vierten Schwellenspannungsabstimmschicht angeordnet ist, und eine vierte Metallfüllschicht aufweist, die über der dritten Austrittsarbeit-Schicht vom n-Typ angeordnet ist, wobei eine Dicke der zweiten Schwellenspannungsabstimmschicht und der dritten Schwellenspannungsabstimmschicht kleiner als eine Dicke der ersten Schwellenspannungsabstimmschicht und der vierten Schwellenspannungsabstimmschicht ist, wobei eine Dicke der zweiten Austrittsarbeit-Schicht vom p-Typ größer eine Dicke der ersten Austrittsarbeit-Schicht vom p-Typ ist.
  18. Integrierte Schaltungsvorrichtung nach Anspruch 17, wobei die erste Schwellenspannungsabstimmschicht, die zweite Schwellenspannungsabstimmschicht, die dritte Schwellenspannungsabstimmschicht und die vierte Schwellenspannungsabstimmschicht Tantal und Stickstoff aufweisen.
  19. Integrierte Schaltungsvorrichtung nach Anspruch 17 oder 18, ferner mit: einer ersten high-k-Abdeckschicht, die zwischen der ersten high-k-dielektrischen Schicht und der ersten Schwellenspannungsabstimmschicht angeordnet ist; einer zweiten high-k-Abdeckschicht, die zwischen der zweiten high-k-dielektrischen Schicht und der zweiten Schwellenspannungsabstimmschicht angeordnet ist; einer dritten high-k-Abdeckschicht, die zwischen der dritten high-k-dielektrischen Schicht und der dritten Schwellenspannungsabstimmschicht angeordnet ist; und einer vierten high-k-Abdeckschicht, die zwischen der vierten high-k-dielektrischen Schicht und der vierten Schwellenspannungsabstimmschicht angeordnet ist.
  20. Integrierte Schaltungsvorrichtung einem der vorhergehenden Ansprüche 17 bis 19, wobei: die erste Austrittsarbeit-Schicht vom p-Typ und die zweite Austrittsarbeit-Schicht vom p-Typ Titan und Stickstoff aufweisen; die erste Austrittsarbeit-Schicht vom n-Typ, die zweite Austrittsarbeit-Schicht vom n-Typ und die dritte Austrittsarbeit-Schicht vom n-Typ Titan und Aluminium aufweisen; und die erste Metallfüllschicht, die zweite Metallfüllschicht, die dritte Metallfüllschicht und die vierte Metallfüllschicht Wolfram aufweisen.
DE102017127708.0A 2017-11-09 2017-11-23 Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung Pending DE102017127708A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/808,285 US10790196B2 (en) 2017-11-09 2017-11-09 Threshold voltage tuning for fin-based integrated circuit device
US15/808,285 2017-11-09

Publications (1)

Publication Number Publication Date
DE102017127708A1 true DE102017127708A1 (de) 2019-05-09

Family

ID=66179244

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017127708.0A Pending DE102017127708A1 (de) 2017-11-09 2017-11-23 Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung

Country Status (5)

Country Link
US (3) US10790196B2 (de)
KR (1) KR102046023B1 (de)
CN (1) CN109768013B (de)
DE (1) DE102017127708A1 (de)
TW (1) TWI704604B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019112394A1 (de) * 2019-04-30 2020-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10770563B2 (en) 2018-10-24 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and patterning method for multiple threshold voltages
KR20210033102A (ko) * 2019-09-17 2021-03-26 삼성전자주식회사 반도체 소자
DE102020115368A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und herstellungsverfahren
US11362006B2 (en) * 2019-10-29 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11502185B2 (en) * 2019-11-26 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a gate electrode having metal layers with different average grain sizes
US11264503B2 (en) * 2019-12-18 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structures of semiconductor devices
US11417611B2 (en) * 2020-02-25 2022-08-16 Analog Devices International Unlimited Company Devices and methods for reducing stress on circuit components
US11488873B2 (en) * 2020-06-22 2022-11-01 Taiwan Semiconductor Manufacturing Company Metal gates and methods of forming thereby
US11699736B2 (en) * 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US20220343053A1 (en) * 2021-04-23 2022-10-27 Mediatek Inc. Semiconductor structure of cell array with adaptive threshold voltage
KR102641124B1 (ko) * 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20160093536A1 (en) * 2014-09-26 2016-03-31 United Microelectronics Corp. Integrated circuit having plural transistors with work function metal gate structures
US20170110324A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036129A1 (en) 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US8420476B2 (en) 2010-05-27 2013-04-16 International Business Machines Corporation Integrated circuit with finFETs and MIM fin capacitor
KR101823105B1 (ko) 2012-03-19 2018-01-30 삼성전자주식회사 전계 효과 트랜지스터의 형성 방법
US8722431B2 (en) 2012-03-22 2014-05-13 Varian Semiconductor Equipment Associates, Inc. FinFET device fabrication using thermal implantation
US9231106B2 (en) * 2013-03-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with an asymmetric source/drain structure and method of making same
US8877580B1 (en) * 2013-05-17 2014-11-04 Globalfoundries Inc. Reduction of oxide recesses for gate height control
US9362385B2 (en) 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
US9306023B2 (en) * 2014-02-06 2016-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with gate stacks and method of manufacturing the same
US9576952B2 (en) * 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
KR102218547B1 (ko) 2014-06-26 2021-02-22 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
TWI624863B (zh) * 2014-10-13 2018-05-21 聯華電子股份有限公司 半導體元件及其製作方法
US20160163603A1 (en) * 2014-12-08 2016-06-09 International Business Machines Corporation Pfet gate stack materials having improved threshold voltage, mobility and nbti performance
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles
US10043802B2 (en) * 2015-04-17 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with additional oxide layer
US9685383B2 (en) * 2015-05-13 2017-06-20 United Microelectronics Corp. Method of forming semiconductor device
JP6478813B2 (ja) * 2015-05-28 2019-03-06 東京エレクトロン株式会社 金属膜の成膜方法
KR102290685B1 (ko) * 2015-06-04 2021-08-17 삼성전자주식회사 반도체 장치
KR102395061B1 (ko) * 2015-07-02 2022-05-10 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN106409830B (zh) * 2015-07-27 2020-05-05 联华电子股份有限公司 具有金属栅极的半导体元件及其制作方法
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
US10056301B2 (en) * 2016-06-20 2018-08-21 Semiconductor Manufacturing International (Shanghai) Corporation Transistor and fabrication method thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20160093536A1 (en) * 2014-09-26 2016-03-31 United Microelectronics Corp. Integrated circuit having plural transistors with work function metal gate structures
US20170110324A1 (en) * 2015-10-20 2017-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition methods and structures thereof

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102019112394A1 (de) * 2019-04-30 2020-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102019112394B4 (de) 2019-04-30 2023-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung

Also Published As

Publication number Publication date
US20190139954A1 (en) 2019-05-09
TWI704604B (zh) 2020-09-11
US11322410B2 (en) 2022-05-03
CN109768013A (zh) 2019-05-17
KR102046023B1 (ko) 2019-11-19
TW201919111A (zh) 2019-05-16
US20220254687A1 (en) 2022-08-11
CN109768013B (zh) 2021-02-26
US20190139828A1 (en) 2019-05-09
US10790196B2 (en) 2020-09-29
KR20190053068A (ko) 2019-05-17

Similar Documents

Publication Publication Date Title
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
DE102015106608B4 (de) FinFET-Wärmeschutzverfahren und verwandte Strukturen
DE102019116996A1 (de) Halbleiterstruktur mit luftspalt und verfahren zum abdichten des luftspalts
DE102017127095B4 (de) Gesteuerte luftspalte unter kontaktmerkmalen zwischen finnen von finfets
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102017127554B3 (de) Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen
DE102015108837B4 (de) Verfahren zur Herstellung eines FinFET und FinFET-Struktur
DE102018100114B4 (de) Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019117656A1 (de) Gate structures having interfacial layers
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
US11610822B2 (en) Structures for tuning threshold voltage
DE102020111602A1 (de) Gate-strukturierungsprozess für mehr-gate-vorrichtungen
DE102017120565A1 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102019130454A1 (de) Gate-strukturen mit neutralen zonen zur minimierung von metallischen gate-grenzeffekten und verfahren zu deren herstellung
DE102019118061A1 (de) Selektive doppelsilizidherstellung unter verwendung eines maskenlosen herstellungsprozessablaufs
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
CN106158932A (zh) 具有TaAlCN层的金属栅极堆叠件
DE102022132143A1 (de) Verfahren zum herstellen von halbleitervorrichtungen und halbleitervorrichtungen
DE102017122702A1 (de) Struktur und Verfahren für FinFET-Vorrichtung mit asymmetrischem Kontakt
DE102020119452A1 (de) Halbleitervorrichtung und Verfahren
DE102020102548A1 (de) Selbstausrichtende kontaktanordnung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication