DE102019112394A1 - Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung - Google Patents

Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung Download PDF

Info

Publication number
DE102019112394A1
DE102019112394A1 DE102019112394.1A DE102019112394A DE102019112394A1 DE 102019112394 A1 DE102019112394 A1 DE 102019112394A1 DE 102019112394 A DE102019112394 A DE 102019112394A DE 102019112394 A1 DE102019112394 A1 DE 102019112394A1
Authority
DE
Germany
Prior art keywords
layer
work function
barrier layer
titanium
nidride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019112394.1A
Other languages
English (en)
Other versions
DE102019112394B4 (de
Inventor
Hsin-Yi Lee
Ya-Huei Li
Da-Yuan Lee
Ching-Hwanq Su
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019112394A1 publication Critical patent/DE102019112394A1/de
Application granted granted Critical
Publication of DE102019112394B4 publication Critical patent/DE102019112394B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

Ein Verfahren weist die folgenden Schritte auf: Herstellen eines Gate-Dielektrikums, das einen Teil aufweist, der sich auf einem Halbleiterbereich erstreckt; Herstellen einer Sperrschicht, die einen Teil aufweist, der sich über dem Teil des Gate-Dielektrikums erstreckt; Herstellen einer Austrittsarbeits-Einstellschicht, die einen Teil über dem Teil der Sperrschicht aufweist; Dotieren eines Dotierungselements in die Austrittsarbeits-Einstellschicht; Entfernen des Teils der Austrittsarbeits-Einstellschicht; Dünnen des Teils der Sperrschicht; und Herstellen einer Austrittsarbeitsschicht über dem Teil der Sperrschicht.

Description

  • Hintergrund der Erfindung
  • Für Metall-Oxid-Halbleiter-Bauelemente (MOS-Bauelemente) werden normalerweise Metall-Gates verwendet, die zum Lösen des Problems des Polysiliziumverarmungseffekts in herkömmlichen Polysilizium-Gates hergestellt werden. Der Polysiliziumverarmungseffekt tritt auf, wenn die angelegten elektrischen Felder Träger aus Gatebereichen dicht an Gatedielektrika wegräumen, sodass Verarmungsschichten entstehen. In einer n-dotierten Polysiliziumschicht weist die Verarmungsschicht ionisierte nicht-bewegliche Donatorplätze auf, während die Verarmungsschicht in einer p-dotierten Polysiliziumschicht ionisierte nicht-bewegliche Akzeptorplätze aufweist. Der Verarmungseffekt führt zu einer Zunahme der effektiven Dicke des Gate-Dielektrikums, sodass eine Inversionsschicht schwerer an der Oberfläche des Halbleiters entstehen kann.
  • Ein Metall-Gate kann eine Mehrzahl von Schichten umfassen, um die Anforderungen an NMOS- und PMOS-Bauelemente zu erfüllen. Die Herstellung von Metall-Gates umfasst normalerweise das Abscheiden einer Mehrzahl von Metallschichten, das Herstellen eines Füllmetallbereichs mit Wolfram und das anschließende Durchführen eines CMP-Prozesses (CMP: chemisch-mechanische Polierung), um überschüssige Teile der Metallschichten zu entfernen. Die verbliebenen Teile der Metallschicht bilden Metall-Gates.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • Die 1 bis 6, 7A, 7B, 8A, 8B, 9 bis 21, 22A und 22B zeigen perspektivische Darstellungen und Schnittansichten von Zwischenstufen bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) gemäß einigen Ausführungsformen.
    • 23 zeigt einen Prozessablauf zum Herstellen von FinFETs gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Gemäß einigen Ausführungsformen werden Transistoren mit Ersatz-Gates und Verfahren zu deren Herstellung bereitgestellt. Es werden Zwischenstufen der Herstellung der Transistoren gemäß einigen Ausführungsformen erläutert. Außerdem werden einige Abwandlungen einiger Ausführungsformen erörtert. In allen Darstellungen und erläuternden Ausführungsformen werden ähnliche Bezugssymbole zum Bezeichnen von ähnlichen Elementen verwendet. Bei den dargestellten Ausführungsformen dient die Herstellung von Finnen-Feldeffekttransistoren (FinFETs) als ein Beispiel zum Erläutern des Prinzips der vorliegenden Erfindung. Das Prinzip der vorliegenden Erfindung kann auch für planare Transistoren und Gate-all-around-Transistoren (GAA-Transistoren) verwendet werden. Bei einigen Ausführungsformen der vorliegenden Erfindung wird Aluminium in eine (Titannidrid-) Austrittsarbeits-Einstellschicht dotiert, um die Ätzselektivität zwischen einer (Tantalnitrid-)Sperrschicht und der Titannidrid-Austrittsarbeits-Einstellschicht zu erhöhen, sodass beim Dünnen der Sperrschicht der Dickenverlust der Austrittsarbeits-Einstellschicht reduziert wird und die Verteilung zwischen den Schwellenspannungen der Transistoren nicht reduziert wird.
  • Die 1 bis 6, 7A, 7B, 8A, 8B, 9 bis 21, 22A und 22B zeigen Schnittansichten und perspektivische Darstellungen von Zwischenstufen bei der Herstellung von Finnen-Feldeffekttransistoren (FinFETs) gemäß einigen Ausführungsformen der vorliegenden Erfindung. Die in diesen Figuren gezeigten Prozesse sind auch in dem Prozessablauf 400 schematisch angegeben, der in 23 gezeigt ist.
  • In 1 wird ein Substrat 20 bereitgestellt. Das Substrat 20 kann ein Halbleitersubstrat, wie etwa ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI-Substrat) oder dergleichen sein, das dotiert (z. B. mit einem p- oder einem n-Dotanden) oder undotiert sein kann. Das Halbleitersubstrat 20 kann ein Teil eines Wafers 10, wie etwa eines Siliziumwafers, sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht), eine Siliziumoxidschicht oder dergleichen sein. Die Isolierschicht wird auf einem Substrat, normalerweise einem Silizium- oder Glassubstrat, hergestellt. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 Folgendes umfassen: Silizium; Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon.
  • Bleiben wir bei 1, in der ein Wannenbereich 22 in dem Substrat 20 hergestellt wird. Der entsprechende Schritt ist als Schritt 402 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung ist der Wannenbereich 22 ein p-Wannenbereich, der durch Implantieren eines p-Dotierungsstoffs, der Bor, Indium oder dergleichen sein kann, in das Substrat 20 hergestellt wird. Bei anderen Ausführungsformen der vorliegenden Erfindung ist der Wannenbereich 22 ein n-Wannenbereich, der durch Implantieren eines n-Dotierungsstoffs, der Phosphor, Arsen, Antimon oder dergleichen sein kann, in das Substrat 20 hergestellt wird. Der resultierende Wannenbereich 22 kann sich bis zu einer Oberseite des Substrats 20 erstrecken. Die n- oder p-Dotierungskonzentration kann gleich oder kleiner als 1018 cm-3 sein und kann zum Beispiel etwa 1017 cm-3 bis etwa 1018 cm-3 betragen.
  • In 2 werden Isolationsbereiche 24 so hergestellt, dass sie sich von der Oberseite des Substrats 20 in das Substrat 20 hinein erstrecken. Die Isolationsbereiche 24 werden nachstehend alternativ als STI-Bereiche (STI: flache Grabenisolation) bezeichnet. Der entsprechende Schritt ist als Schritt 404 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Teile des Substrats 20 zwischen benachbarten STI-Bereichen 24 werden als Halbleiterstreifen 26 bezeichnet. Um die STI-Bereiche 24 herzustellen, werden auf dem Halbleitersubstrat 20 eine Pad-Oxidschicht 28 und eine Hartmaskenschicht 30 hergestellt, die anschließend strukturiert wird. Die Pad-Oxidschicht 28 kann eine dünne Schicht sein, die aus Siliziumoxid besteht. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Pad-Oxidschicht 28 in einem thermischen Oxidationsprozess hergestellt, in dem eine Oberflächenschicht des Halbleitersubstrats oxidiert wird. Die Pad-Oxidschicht 28 fungiert als eine Haftschicht zwischen dem Halbleitersubstrat 20 und der Hartmaskenschicht 30. Die Pad-Oxidschicht 28 kann auch als eine Ätzstoppschicht zum Ätzen der Hartmaskenschicht 30 fungieren. Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Hartmaskenschicht 30 aus Siliziumnitrid zum Beispiel durch chemische Aufdampfung bei Tiefdruck (LPCVD) hergestellt. Bei anderen Ausführungsformen der vorliegenden Erfindung wird die Hartmaskenschicht 30 durch thermische Nitrierung von Silizium oder plasmaunterstützte chemische Aufdampfung (PECVD) hergestellt. Ein Fotoresist (nicht dargestellt) wird auf der Hartmaskenschicht 30 hergestellt und dann strukturiert. Anschließend wird die Hartmaskenschicht 30 unter Verwendung des strukturierten Fotoresists als eine Ätzmaske strukturiert, um Hartmasken 30 herzustellen, die in 2 gezeigt sind.
  • Dann wird die strukturierte Hartmaskenschicht 30 als eine Ätzmaske zum Ätzen der Pad-Oxidschicht 28 und des Substrats 20 verwendet, und anschließend werden die resultierenden Gräben in dem Substrat 20 mit einem oder mehreren dielektrischen Materialien gefüllt. Ein Planarisierungsprozess, wie etwa eine chemisch-mechanische Polierung (CMP) oder ein mechanischer Schleifprozess, wird durchgeführt, um überschüssige Teile der dielektrischen Materialien zu entfernen, und die verbliebenen Teile der dielektrischen Materialien sind STI-Bereiche 24. Die STI-Bereiche 24 können einen Dielektrikumbelag (nicht dargestellt) aufweisen, der ein thermisches Oxid sein kann, das durch eine thermische Oxidation der Oberflächenschicht des Substrats 20 entsteht. Der Dielektrikumbelag kann auch eine abgeschiedene Siliziumoxidschicht, Siliziumnitridschicht oder dergleichen sein, die zum Beispiel durch Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD) oder chemische Aufdampfung (CVD) hergestellt wird. Die STI-Bereiche 24 können außerdem ein dielektrisches Material über dem Dielektrikumbelag aufweisen, wobei das dielektrische Material durch fließfähige chemische Aufdampfung (FCVD) Schleuderbeschichtung oder dergleichen abgeschieden werden kann. Das dielektrische Material über dem Dielektrikumbelag kann bei einigen Ausführungsformen Siliziumoxid sein.
  • Die Oberseiten der Hartmasken 30 können im Wesentlichen auf gleicher Höhe mit den Oberseiten der STI-Bereiche 24 sein. Bei einigen Ausführungsformen der vorliegenden Erfindung sind die Halbleiterstreifen 26 Teile des ursprünglichen Substrats 20, und daher ist das Material der Halbleiterstreifen 26 das Gleiche wie das des Substrats 20. Bei alternativen Ausführungsformen der vorliegenden Erfindung sind die Halbleiterstreifen 26 Ersatzstreifen, die durch Ätzen der Teile des Substrats 20 zwischen den STI-Bereichen 24 zum Erzeugen von Aussparungen und durch Durchführen einer Epitaxie zum erneuten Aufwachsen eines anderen Halbleitermaterials in den Aussparungen hergestellt werden. Daher bestehen die Halbleiterstreifen 26 aus einem Halbleitermaterial, das von dem des Substrats 20 verschieden ist. Bei einigen Ausführungsformen bestehen die Halbleiterstreifen 26 aus Siliziumgermanium, Silizium-Kohlenstoff oder einem III-V-Verbindungshalbleitermaterial.
  • In 3 werden die STI-Bereiche 24 ausgespart, sodass obere Teile der Halbleiterstreifen 26 über Oberseiten 24A der verbliebenen Teile der STI-Bereiche 24 überstehen, sodass überstehende Finnen 36 entstehen. Der entsprechende Schritt ist als Schritt 406 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Ätzung kann mit einem Trockenätzprozess erfolgen, in dem zum Beispiel HF3 und NH3 als Ätzgase verwendet werden. Während des Ätzprozesses wird ein Plasma erzeugt. Es kann auch Argon verwendet werden. Bei alternativen Ausführungsformen der vorliegenden Erfindung erfolgt das Aussparen der STI-Bereiche 24 mit einem Nassätzprozess. Die Ätzchemikalie kann zum Beispiel HF sein.
  • Bei den vorstehend erläuterten Ausführungsformen können die Finnen mit jedem geeigneten Verfahren strukturiert werden. Zum Beispiel können die Finnen mit einem oder mehreren fotolithografischen Prozessen, wie etwa Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen, strukturiert werden. Im Allgemeinen vereinen Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse fotolithografische und selbstjustierte Prozesse, mit denen Strukturen erzeugt werden können, die zum Beispiel Rasterabstände haben, die kleiner als die sind, die ansonsten mit einem einzelnen direkten fotolithografischen Prozess erzielt werden können. Zum Beispiel wird bei einer Ausführungsform eine Opferschicht über einem Substrat hergestellt, die dann mit einem fotolithografischen Prozess strukturiert wird. Entlang der strukturierten Opferschicht werden mit einem selbstjustierten Prozess Abstandshalter hergestellt. Anschließend wird die Opferschicht entfernt, und die verbliebenen Abstandshalter, oder Dorne, können dann zum Strukturieren der Finnen verwendet werden.
  • In 4 werden Dummy-Gate-Stapel 38 so hergestellt, dass sie sich auf Oberseiten und Seitenwänden der (überstehenden) Finnen 36 erstrecken. Der entsprechende Schritt ist als Schritt 408 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Dummy-Gate-Stapel 38 können Dummy-Gate-Dielektrika 40 und Dummy-Gate-Elektroden 42 über den Dummy-Gate-Dielektrika 40 umfassen. Die Dummy-Gate-Elektroden 42 können zum Beispiel unter Verwendung von Polysilizium hergestellt werden, aber andere Materialien können ebenfalls verwendet werden. Die Dummy-Gate-Stapel 38 können außerdem jeweils eine (oder mehrere) Hartmaskenschichten 44 über den Dummy-Gate-Elektroden 42 aufweisen. Die Hartmaskenschichten 44 können aus Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid oder Multischichten davon bestehen. Die Dummy-Gate-Stapel 38 können über nur eine(n) oder mehrere der überstehenden Finnen 36 und/oder der STI-Bereiche 24 hinwegführen. Die Dummy-Gate-Stapel 38 können außerdem Längsrichtungen haben, die senkrecht zu den Längsrichtungen der überstehenden Finnen 36 sind.
  • Dann werden Gate-Abstandshalter 46 auf den Seitenwänden der Dummy-Gate-Stapel 38 hergestellt. Der entsprechende Schritt ist ebenfalls als Schritt 408 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung bestehen die Gate-Abstandshalter 46 aus einem oder mehreren dielektrischen Materialien, wie etwa Siliziumnitrid, Siliziumcarbonitrid oder dergleichen, und sie können eine Einschichtstruktur oder eine Mehrschichtstruktur mit einer Mehrzahl von dielektrischen Schichten haben.
  • Dann wird ein Ätzprozess durchgeführt, um die Teile der überstehenden Finnen 36 zu ätzen, die nicht von den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 bedeckt sind, sodass die in 5 gezeigte Struktur entsteht. Der entsprechende Schritt ist als Schritt 410 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Aussparung kann anisotrop sein, und dadurch werden die Teile der Finnen 36 direkt unter den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 geschützt und nicht geätzt. Die Oberseiten der ausgesparten Halbleiterstreifen 26 können bei einigen Ausführungsformen niedriger als die Oberseiten 24A der STI-Bereiche 24 sein. Die Zwischenräume, die von den geätzten Teilen der überstehenden Finnen 36 zurückgelassen werden, werden als Aussparungen 50 bezeichnet. Die Aussparungen 50 weisen Teile, die sich auf gegenüberliegenden Seiten der Dummy-Gate-Stapel 38 befinden, und Teile zwischen den verbliebenen Teilen der überstehenden Finnen 36 auf.
  • Dann werden Epitaxiebereiche (Source-/Drain-Bereiche) 54 durch selektives Aufwachsen (mittels Epitaxie) eines Halbleitermaterials in den Aussparungen 50 hergestellt, sodass die in 6 gezeigte Struktur entsteht. Der entsprechende Schritt ist als Schritt 412 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. In Abhängigkeit davon, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann ein p- oder ein n-Dotierungsstoff im Verlauf der Epitaxie in situ dotiert werden. Wenn der resultierende FinFET zum Beispiel ein p-FinFET ist, kann Silizium-Germanium-Bor (SiGeB), Silizium-Bor (SiB) oder dergleichen aufgewachsen werden. Wenn der resultierende FinFET hingegen ein n-FinFET ist, kann Silizium-Phosphor (SiP), Silizium-Kohlenstoff-Phosphor (SiCP) oder dergleichen aufgewachsen werden. Bei alternativen Ausführungsformen der vorliegenden Erfindung weisen die Epitaxiebereiche 54 III-V-Verbindungshalbleiter, wie etwa GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP oder GaP, Kombinationen davon oder Multischichten davon auf. Nachdem die Aussparungen 50 mit den Epitaxiebereichen 54 gefüllt worden sind, bewirkt ein weiteres epitaxiales Aufwachsen der Epitaxiebereiche 54, dass diese sich horizontal ausdehnen, und es können Abschrägungen entstehen. Durch das weitere Aufwachsen der Epitaxiebereiche 54 können außerdem benachbarte Epitaxiebereiche 54 miteinander verschmelzen. Es können Hohlräume (Luftspalte) 56 entstehen. Bei einigen Ausführungsformen der vorliegenden Erfindung kann die Herstellung der Epitaxiebereiche 54 beendet werden, wenn die Oberseite der Epitaxiebereiche 54 immer noch wellig sind oder wenn die Oberseite der verschmolzenen Epitaxiebereiche 54 planar geworden ist, was durch weiteres Aufwachsen auf den Epitaxiebereichen 54 erreicht wird, wie in 6 gezeigt ist.
  • Nach dem Epitaxieprozess können die Epitaxiebereiche 54 weiter mit einem p- oder einem n-Dotierungsstoff implantiert werden, um Source- und Drain-Bereiche herzustellen, die ebenfalls mit dem Bezugssymbol 54 bezeichnet sind. Bei alternativen Ausführungsformen der vorliegenden Erfindung entfällt der Implantationsprozess, wenn die Epitaxiebereiche 54 während der Epitaxie in situ mit dem p- oder n-Dotierungsstoff implantiert werden.
  • 7A zeigt eine perspektivische Darstellung der Struktur nach der Herstellung einer Kontakt-Ätzstoppschicht (CESL) 58 und eines Zwischenschicht-Dielektrikums (ILD) 60. Der entsprechende Schritt ist als Schritt 414 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die CESL 58 kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid oder dergleichen bestehen und kann durch CVD, ALD oder dergleichen hergestellt werden. Das ILD 60 kann ein dielektrisches Material sein, das zum Beispiel durch FCVD, Schleuderbeschichtung, CVD oder mit einem anderen Abscheidungsverfahren hergestellt wird. Das ILD 60 kann aus einem sauerstoffhaltigen dielektrischen Material bestehen, das ein Material auf Siliziumoxid-Basis sein kann, wie etwa Siliziumoxid, Phosphorsilicatglas (PSG), Borsilicatglas (BSG), Borphosphorsilicatglas (BPSG) oder dergleichen. Ein Planarisierungsprozess, wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess, kann durchgeführt werden, um die Oberseiten des ILD 60, der Dummy-Gate-Stapel 38 und der Gate-Abstandshalter 46 miteinander auf gleiche Höhe zu bringen.
  • 7B zeigt Schnittansichten einer Zwischenstruktur bei der Herstellung eines ersten, eines zweiten und eines dritten FinFET auf dem gleichen Substrat 20. Der erste, der zweite und der dritte FinFET werden in einem Bauelementbereich 100, 200 bzw. 300 hergestellt. Bei einigen Ausführungsformen haben der erste, der zweite und der dritte FinFET den gleichen Leitfähigkeitstyp, und sie können alle p-FinFETs oder n-FinFETs sein. Der erste, der zweite und der dritte FinFET sollen mit unterschiedlichen Schwellenspannungen mit entsprechenden Differenzen (Verteilung) hergestellt werden. Wenn die FinFETs zum Beispiel n-FinFETs sind, hat der FinFET (190 in 22A) in dem Bauelementbereich 100 die niedrigste Schwellenspannung unter FinFETs 190, 290 und 390, und der FinFET (390 in 22A) in dem Bauelementbereich 300 hat die höchste Schwellenspannung. Wenn die FinFETs hingegen p-FinFETs sind, hat der FinFET in dem Bauelementbereich 100 die höchste Schwellenspannung unter den FinFETs 190, 290 und 390, und der FinFET in dem Bauelementbereich 300 hat die niedrigste Schwellenspannung. Bei alternativen Ausführungsformen haben der erste, der zweite und der dritte FinFET unterschiedliche Leitfähigkeitstypen, und der erste, der zweite und der dritte FinFET können jeweils ein p-FinFET oder ein n-FinFET in einer Kombination sein. Die ersten Herstellungsprozesse für den ersten, den zweiten und den dritten FinFET können die Prozesse sein, die in den 1 bis 7A gezeigt sind, und daher können die FinFETs eine Struktur haben, die der in 7A gezeigten Struktur ähnlich ist. Die Strukturen in dem ersten Bauelementbereich 100, dem zweiten Bauelementbereich 200 und dem dritten Bauelementbereich 300, die in 7B gezeigt sind, können von dem in 7B gezeigten Referenzquerschnitt 7B - 7B erhalten werden.
  • Nachdem die in den 7A und 7B gezeigte Struktur hergestellt worden ist, werden die Dummy-Gate-Stapel 38 in den Bauelementbereichen 100, 200 und 300 durch Metall-Gates und Ersatz-Gate-Dielektrika ersetzt, wie in den 8A, 8B und 9 bis 20 gezeigt ist. In den 8A, 8B und 9 bis 20 sind die Oberseiten 24A der STI-Bereiche 24 gezeigt, und Halbleiterfinnen 24' stehen über die jeweiligen Oberseiten 24A über.
  • Um die Ersatz-Gates herzustellen, werden die Hartmaskenschichten 44, die Dummy-Gate-Elektroden 42 und die Dummy-Gate-Dielektrika 40, die in den 7A und 7B gezeigt sind, zunächst entfernt, sodass Öffnungen 59 entstehen, die in den 8A und 8B gezeigt sind. Der entsprechende Schritt ist als Schritt 416 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Oberseiten und Seitenwände von überstehenden Finnen 24' sind zu den Öffnungen 59 freigelegt.
  • Dann werden in 9 Gatedielektrika 63 hergestellt, die jeweils in die Öffnungen 59 hinein reichen. Der entsprechende Schritt ist als Schritt 418 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Bei einigen Ausführungsformen der vorliegenden Erfindung weisen die Gatedielektrika 63 Grenzflächenschichten (ILs) 61 auf, die auf den freiliegenden Oberflächen der überstehenden Finnen 24' hergestellt sind. Die ILs 61 können jeweils eine Oxidschicht, wie etwa eine Siliziumoxidschicht, aufweisen, die durch die thermische Oxidation der überstehenden Finnen 24', einen chemischen Oxidationsprozess oder einen Abscheidungsprozess entstehen. Die Gatedielektrika 63 können außerdem dielektrische High-k-Schichten 62 über den entsprechenden ILs 61 aufweisen. Die dielektrischen High-k-Schichten 62 können aus einem dielektrischen High-k-Material bestehen, wie etwa Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirconiumoxid oder dergleichen. Die Dielektrizitätskonstante (k-Wert) des dielektrischen High-k-Materials ist höher als 3,9 und kann höher als etwa 7,0 sein und gelegentlich sogar 21,0 oder höher sein. Die dielektrischen High-k-Schichten 62 sind über den jeweiligen tieferliegenden ILs 61 angeordnet und können diese kontaktieren. Die dielektrischen High-k-Schichten 62 werden als konforme Schichten hergestellt und erstrecken sich auf den Seitenwänden der überstehenden Finnen 24' und der Oberseite und den Seitenwänden der Gate-Abstandshalter 46. Bei einigen Ausführungsformen der vorliegenden Erfindung werden die dielektrischen High-k-Schichten 62 durch ALD oder CVD hergestellt. Die dielektrischen High-k-Schichten 62 in den Bauelementbereichen 100, 200 und 300 können Teile der gleichen dielektrischen Schicht sein und sie werden gleichzeitig mit dem gleichen Material und der gleichen Dicke hergestellt, oder sie werden getrennt mit unterschiedlichen Materialien und/oder mit unterschiedlichen Dicken hergestellt.
  • Dann werden Verkappungsschichten 64 und Sperrschichten 66 konform auf den Gatedielektrika 63 hergestellt. Der entsprechende Schritt ist als Schritt 420 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Verkappungsschichten 64 und die Sperrschichten 66 können auch als erste Teil-Verkappungsschichten bzw. zweite Teil-Verkappungsschichten bezeichnet werden. Bei einigen Ausführungsformen können die Verkappungsschicht 64 und die Sperrschicht 66 jeweils eine einzelne Schicht sein oder sie können weitere Teilschichten aufweisen. Die Sperrschichten 66 können so funktionieren, dass sie ein später abgeschiedenes metallhaltiges Material daran hindern, in die Gatedielektrika 63 einzudiffundieren. Die Sperrschichten 66 können, wie gezeigt ist, als Ätzstoppschichten während der späteren Ätzung der Austrittsarbeits-Einstellschichten in den Bauelementbereichen 100 und 200 funktionieren, wenn die Verkappungsschichten 64 aus dem gleichen Material wie die später hergestellten Austrittsarbeits-Einstellschichten bestehen, wie später klar wird. Die Verkappungsschichten 64 können aus Titannidrid (TiN) oder dergleichen bestehen oder TiN aufweisen, das auf den Gatedielektrika 63 durch ALD, CVD oder dergleichen konform abgeschieden wird. Die Sperrschichten 66 können aus Tantalnidrid (TaN) oder dergleichen bestehen oder TaN aufweisen, das auf den Verkappungsschichten 64 durch ALD, CVD oder dergleichen konform abgeschieden wird. Die Dicke der Verkappungsschichten 64 kann etwa 5 Å bis etwa 30 Å betragen, und die Dicke der Sperrschichten 66 kann ebenfalls etwa 5 Å bis etwa 30 Å betragen.
  • In 10 wird eine erste Austrittsarbeits-Einstellschicht 68A konform auf den Sperrschichten 66 hergestellt. Der entsprechende Schritt ist als Schritt 422 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die erste Austrittsarbeits-Einstellschicht 68A kann aus jedem geeigneten Material zum Einstellen einer Austrittsarbeit eines Bauelements auf einen Sollwert bestehen, der von der Anwendung des herzustellenden Bauelements abhängig ist, und sie kann mit jedem geeigneten Abscheidungsverfahren abgeschieden werden. Bei einigen Ausführungsformen besteht die erste Austrittsarbeits-Einstellschicht 68A aus Titannidrid (TiN) oder dergleichen oder sie weist TiN auf, das durch ALD, CVD oder dergleichen abgeschieden wird. Die erste Austrittsarbeits-Einstellschicht 68A weist kein Dotierungselement, wie etwa Aluminium, auf. Eine Dicke der ersten Austrittsarbeits-Einstellschicht 68A kann etwa 5 Å bis etwa 30 Å betragen.
  • In 11 wird eine Ätzmaske 70 hergestellt, die anschließend so strukturiert wird, dass sie den Bauelementbereich 300 bedeckt, während die Bauelementbereiche 100 und 200 unbedeckt bleiben. Somit liegen die Teile der Austrittsarbeits-Einstellschicht 68A in den Bauelementbereichen 100 und 200 frei. Bei einigen Ausführungsformen ist die Ätzmaske 70 ein Fotoresist.
  • Nachdem die strukturierte Ätzmaske 70 hergestellt worden ist, wird ein Ätzprozess durchgeführt, um die erste Austrittsarbeits-Einstellschicht 68A zu strukturieren. Der entsprechende Schritt ist als Schritt 424 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. In dem Strukturierungsprozess werden die Teile der ersten Austrittsarbeits-Einstellschicht 68A von dem ersten Bauelementbereich 100 und dem zweiten Bauelementbereich 200 entfernt, sodass der Teil der ersten Austrittsarbeits-Einstellschicht 68A in dem Bauelementbereich 300 zurückbleibt. Die Sperrschichten 66 können als eine Ätzstoppschicht während dieses Ätzprozesses fungieren. Bei einigen Ausführungsformen kann die erste Austrittsarbeits-Einstellschicht 68A zum Beispiel unter Verwendung einer fluorhaltigen Chemikalie, wie etwa Fluorwasserstoff(HF)-Lösung, geätzt werden. Dann wird die Ätzmaske 70 entfernt, zum Beispiel mit einem geeigneten Ablösungsprozess, wenn die Ätzmaske 70 ein Fotoresist ist. Die resultierende Struktur ist in 12 gezeigt.
  • 13 zeigt die Herstellung einer zweiten Austrittsarbeits-Einstellschicht 68B, die konform hergestellt wird und in die Bauelementbereiche 100, 200 und 300 hinein reicht. Der entsprechende Schritt ist als Schritt 426 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. In den Bauelementbereichen 100 und 200 kann die zweite Austrittsarbeits-Einstellschicht 68B die Oberseite der Sperrschicht 66 kontaktieren. In dem Bauelementbereich 300 kann die zweite Austrittsarbeits-Einstellschicht 68B die erste Austrittsarbeits-Einstellschicht 68A kontaktieren. Die zweite Austrittsarbeits-Einstellschicht 68B kann aus jedem geeigneten Material zum Einstellen einer Austrittsarbeit eines Bauelements auf einen Sollwert bestehen, der von der Anwendung des herzustellenden Bauelements abhängig ist, und sie kann mit jedem geeigneten Abscheidungsverfahren abgeschieden werden. Bei einigen Ausführungsformen wird die zweite Austrittsarbeits-Einstellschicht 68B durch ALD, CVD oder dergleichen abgeschieden wird. Die Dicke der zweiten Austrittsarbeits-Einstellschicht 68B kann etwa 5 Å bis etwa 30 Å betragen.
  • Bei einigen Ausführungsformen weist die zweite Austrittsarbeits-Einstellschicht 68B Titannidrid (TiN) auf. Das Atomverhältnis von Titan zu Nitrid in der zweiten Austrittsarbeits-Einstellschicht 68B kann gleich dem Atomverhältnis von Titan zu Nitrid in der ersten Austrittsarbeits-Einstellschicht 68A sein oder von diesem verschieden sein. Die Austrittsarbeits-Einstellschichten 68A und 68B können voneinander sein oder auch nicht. Zum Beispiel kann es eine andere Grenzfläche zwischen den Austrittsarbeits-Einstellschichten 68A und 68B geben oder auch nicht. Die Austrittsarbeits-Einstellschicht 68B kann ein Dotierungselement aufweisen (oder auch nicht), das Aluminium oder ein anderes geeignetes Element sein kann, das eine Ätzselektivität ES zwischen der zweiten Austrittsarbeits-Einstellschicht 68B und der Sperrschicht 66 beeinflussen kann. Insbesondere lässt das Dotierungselement, wenn es in die zweite Austrittsarbeits-Einstellschicht 68B implantiert wird, in dem nachfolgenden Dünnungsprozess für die Sperrschicht 66 die Ätzrate der zweiten Austrittsarbeits-Einstellschicht 68B kleiner (als ohne Dotierung) werden, wie in 15 gezeigt ist. Außerdem kann die erste Austrittsarbeits-Einstellschicht 68A im Abscheidungszustand kein Dotierungselement aufweisen.
  • Bei einigen Ausführungsformen weist die zweite Austrittsarbeits-Einstellschicht 68B TiN mit einer Aluminium-Dotierung auf, und somit ist die zweite Austrittsarbeits-Einstellschicht 68B eine TiAlN-Schicht. Die Abscheidung der zweiten Austrittsarbeits-Einstellschicht 68B kann durch CVD oder ALD erfolgen. Das Prozessgas zum Einbringen von Titan in TiAlN kann zum Beispiel TiCl4 oder dergleichen sein. Das Prozessgas zum Einbringen von Stickstoff in TiAlN kann zum Beispiel Ammoniak (NH3) oder dergleichen sein. Das Prozessgas zum Einbringen von Aluminium in TiAlN kann zum Beispiel AlCl3 oder dergleichen sein. Bei einigen Ausführungsformen der vorliegenden Erfindung hat das Aluminium einen Atomanteil von etwa 10 % bis etwa 20 %.
  • Bei alternativen Ausführungsformen weist die zweite Austrittsarbeits-Einstellschicht 68B (im Abscheidungszustand) TiN auf, aber sie weist kein Dotierungselement, wie etwa Aluminium, auf, und das Dotierungselement wird in einem späteren thermischen Vollsaugprozess dotiert. Die Abscheidung der zweiten Austrittsarbeits-Einstellschicht 68B kann ebenfalls durch CVD oder ALD erfolgen, wobei die Vorläufer TiCl4, Ammoniak oder dergleichen sein können. Bei einigen Ausführungsformen beträgt während der Abscheidung der Austrittsarbeits-Einstellschicht 68B eine Temperatur des Wafers 10 etwa 300 °C bis etwa 550 °C oder etwa 400 °C bis etwa 450 °C. Der Durchsatz von TiCl4 kann etwa 30 Ncm3/min bis etwa 300 Ncm3/min betragen. Der Durchsatz von Ammoniak kann etwa 500 Ncm3/min bis etwa 5000 Ncm3/min betragen.
  • Wenn in 14 die Austrittsarbeits-Einstellschicht 68B im Abscheidungszustand kein Dotierungselement aufweist, wird ein thermischer Vollsaugprozess (der durch Pfeile 69 dargestellt ist) durchgeführt, um das Dotierungselement in die Austrittsarbeits-Einstellschicht 68B zu dotieren. Der entsprechende Schritt ist als Schritt 428 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Bei einigen Ausführungsformen, bei denen die abgeschiedene zweite Austrittsarbeits-Einstellschicht 68B bereits das Dotierungselement aufweist, kann der thermische Vollsaugprozess durchgeführt oder weggelassen werden. Der Schritt 428, der in dem Prozessablauf 400 von 23 aufgeführt ist, ist mit einem gestrichelten Rechteck gekennzeichnet, um anzugeben, dass er durchgeführt werden kann oder auch nicht. Bei einigen Ausführungsformen umfassen die Prozessgase für den thermischen Vollsaugprozess ein aluminiumhaltiges Prozessgas wie AlCl3 oder dergleichen, und sie können Trägergase wie H2, Ar oder dergleichen enthalten. Bei einigen Ausführungsformen führt der thermische Vollsaugprozess dazu, dass das Dotierungselement einen gewünschten Atomanteil (z. B. etwa 10 % bis etwa 20 %) in der Austrittsarbeits-Einstellschicht 68B erreicht, wobei kein (oder im Wesentlichen kein) Dotierungselement in die Sperrschicht 66 und die erste Austrittsarbeits-Einstellschicht 68A eindiffundiert wird.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung wird der thermische Vollsaugprozess durchgeführt, wenn der Wafer 10 eine Temperatur in dem Bereich von etwa 300 °C bis etwa 550 °C oder in dem Bereich von etwa 400 °C bis etwa 450 °C hat, und der Druck des Prozessgases kann etwa 0,5 Torr bis etwa 30 Torr betragen. Die Dauer des thermischen Vollsaugprozesses kann etwa 1 s bis etwa 300 s betragen.
  • In 15 wird eine Ätzmaske 72 hergestellt, die anschließend so strukturiert wird, dass sie die Bauelementbereiche 200 und 300 bedeckt, während der Bauelementbereich 100 unbedeckt bleibt. Somit liegt der Teil der Austrittsarbeits-Einstellschicht 68B in dem Bauelementbereich 100 frei. Bei einigen Ausführungsformen ist die Ätzmaske 72 ein Fotoresist.
  • Nachdem die strukturierte Ätzmaske 72 hergestellt worden ist, wird ein Ätzprozess durchgeführt, um die zweite Austrittsarbeits-Einstellschicht 68B zu strukturieren. Der entsprechende Schritt ist als Schritt 430 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Der Teil der Austrittsarbeits-Einstellschicht 68B in dem ersten Bauelementbereich 100 wird entfernt, sodass die Teile der Austrittsarbeits-Einstellschicht 68B in den Bauelementbereichen 200 und 300 zurückbleiben. In dem Ätzprozess kann die Sperrschicht 66 als eine Ätzstoppschicht fungieren. Bei einigen Ausführungsformen wird die Austrittsarbeits-Einstellschicht 68B zum Beispiel unter Verwendung einer fluorhaltigen Chemikalie, wie etwa einer Fluorwasserstoff(HF)-Lösung, geätzt. Dann wird die Ätzmaske 72 entfernt, zum Beispiel mit einem geeigneten Ablösungsprozess, wenn die Ätzmaske 72 ein Fotoresist ist. Die resultierende Struktur ist in 16 gezeigt. In dem Bauelementbereich 100 liegt die Sperrschicht 66 frei. In den Bauelementbereichen 200 und 300 liegt die Austrittsarbeits-Einstellschicht 68B frei.
  • 17 zeigt einen selektiven Dünnungsprozess durch Ätzung, in dem die Sperrschicht 66 in dem Bauelementbereich 100 gedünnt (teilweise oder vollständig entfernt) wird. In dem Ätzprozess werden der Teil der Sperrschicht 66 in dem Bauelementbereich 100 und die Teile der Austrittsarbeits-Einstellschicht 68B in den Bauelementbereichen 200 und 300 mit dem Ätzmittel behandelt. Das Ätzmittel wird so gewählt, dass die Ätzselektivität ES, die das Verhältnis der Ätzrate der Sperrschicht 66 zu der Ätzrate der Austrittsarbeits-Einstellschicht 68B ist, hoch ist. Die Ätzselektivität ES kann zum Beispiel höher als etwa 5 sein und kann etwa 5 bis 10 betragen oder höher sein. Es dürfte wohlverstanden sein, dass der Ätzprozess nach dem Entfernen der Ätzmaske 72 durchgeführt wird, statt die Ätzmaske 72 als eine Ätzmaske zu verwenden. Der Grund dafür ist, dass die Ätzung bei einer hohen Temperatur durchgeführt werden kann, die so hoch sein kann, dass die Ätzmaske 72 beschädigt wird, und die beschädigte Ätzmaske 72 kann die Ätzkammer verunreinigen.
  • Bei einigen Ausführungsformen der vorliegenden Erfindung wird die Ätzung der Sperrschicht 66 unter Verwendung einer chlorhaltigen Chemikalie durchgeführt. Bei einigen Ausführungsformen wird die selektive Ätzung unter Verwendung eines chlorhaltigen Gases durchgeführt, das ein Metallchloridgas, wie etwa TiClx, TaClx, WClx oder dergleichen, oder eine Kombination davon sein kann. Es dürfte wohlverstanden sein, dass TiClx, TaClx und WClx in Abhängigkeit von der Temperatur flüssig oder gasförmig sein können, wobei die Flüssigkeit bei einer hohen Temperatur zu einem Gas verdampft. Der selektive Ätzprozess kann ein thermischer Ätzprozess ohne Erzeugung von Plasma sein. Bei einigen Ausführungsformen kann bei Verwendung des chlorhaltigen Gases für die selektive Ätzung die Temperatur des Wafers 10 etwa 200 °C bis etwa 600 °C betragen, wobei ein Durchsatz des chlorhaltigen Gases etwa 100 Ncm3/min bis etwa 10.000 Ncm3/min beträgt. Die Ätzdauer kann etwa 10 s bis etwa 300 s, z. B. etwa 30 s bis etwa 120 Schicht, betragen.
  • Die Ätzung führt dazu, dass die Dicke des Teils der Sperrschicht 66 in dem Bauelementbereich 100 von einer Dicke T1 (16) vor der Ätzung auf eine Dicke T2 ( 17) nach der Ätzung reduziert wird. Ein Verhältnis T2/T1 kann kleiner als etwa 0,7 oder kleiner als etwa 0,5 sein. Das Verhältnis T2/T1 kann auch 0 sein, was bedeutet, dass der Teil der Sperrschicht 66 in dem Bauelementbereich 100 entfernt wird. Das Verhältnis T2/T1 kann auch in dem Bereich von etwa 0,1 bis etwa 0,5 liegen. Die Dicke T1 vor der Ätzung kann zum Beispiel etwa 5 Å bis etwa 30 Å betragen, und die Dicke T2 kann etwa 2 Å bis etwa 10 Å betragen.
  • Wie vorstehend dargelegt worden ist, wird durch die Dotierung des Dotierungselements die Ätzselektivität ES erhöht, zum Beispiel auf einen Wert von etwa 5 bis etwa 10. Somit ist bei der selektiven Ätzung die Reduzierung der Dicke der Austrittsarbeits-Einstellschicht 68B in den Bauelementbereichen 200 und 300 gering.
  • Die Dicken der Sperrschichten 66 und der Austrittsarbeits-Einstellschichten 68A und 68B beeinflussen die Schwellenspannungen der entsprechenden FinFETs 190, 290 und 390 (22A). Wenn die FinFETs 190, 290 und 390 zum Beispiel n-FinFETs sind, führt die Reduzierung der Dicken der Sperrschichten 66 und der Austrittsarbeits-Einstellschichten 68A und 68B zu einer Verringerung der Schwellenspannungen der entsprechenden FinFETs 190, 290 und 390. Wenn die Sperrschicht 66 geätzt wird, wird die Schwellenspannung des FinFET 190 verringert. Die Schwellenspannungen der FinFETs 190, 290 und 390 sollten eine breite Verteilung haben, um die Anforderungen an unterschiedliche Schaltungen zu erfüllen. Wenn beim Ätzen der Sperrschicht 66 die Austrittsarbeits-Einstellschichten 68B in den Bauelementbereichen 200 und 300 zu stark geätzt werden, werden auch die Schwellenspannungen der FinFETs 290 und 390 (22A) zu stark reduziert, sodass die Verteilung zwischen den Schwellenspannungen des FinFET 190 und den Schwellenspannungen der FinFETs 290 und 390 unerwünscht reduziert werden. Dadurch wird die Verteilung zwischen den Schwellenspannungen der FinFETs 190, 290 und 390 aufrechterhalten.
  • Wenn die FinFETs 190, 290 und 390 p-FinFETs sind, führt das Dünnen der Sperrschichten 66 und der Austrittsarbeits-Einstellschichten 68A und 68B zu einer Zunahme der Schwellenspannungen der FinFETs 190, 290 und 390. Durch Dotieren der Austrittsarbeits-Einstellschicht 68B während des Ätzens der Sperrschicht 66 wird auf Grund der hohen Ätzselektivität ES die Reduzierung der Dicke der Austrittsarbeits-Einstellschicht 68B verringert, und die Zunahme der Schwellenspannungen der FinFETs 290 und 390 wird ebenfalls verringert. Die Verteilung der Schwellenspannungen wird ebenfalls aufrechterhalten. Versuchsergebnisse haben gezeigt, dass wenn die Austrittsarbeits-Einstellschicht 68B nicht mit dem Dotierungselement dotiert wird, die Ätzselektivität ES etwa 3 beträgt, und wenn die Austrittsarbeits-Einstellschicht 68B dotiert wird, zum Beispiel mit Aluminium, die Ätzselektivität ES auf etwa 5 bis 10 steigt. Der Dickenverlust der Austrittsarbeits-Einstellschicht 68B wird signifikant verringert, sodass eine Änderung ΔVFB einer Flachbandspannung VFB des resultierenden FinFET (wenn die Schicht 68B dotiert wird) von etwa 1/7 der Änderung ΔVFB des resultierenden FinFET (wenn die Schicht 68B nicht dotiert wird) entsteht.
  • In 18 wird eine Austrittsarbeitsschicht 74 konform so abgeschieden, dass sie in die Bauelementbereiche 100, 200 und 300 hinein reicht. Die Austrittsarbeitsschicht 74 kann durch ALD, CVD oder dergleichen hergestellt werden. Der entsprechende Schritt ist als Schritt 432 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Austrittsarbeitsschicht 74 kann eine einzelne Schicht mit einer homogenen Zusammensetzung (mit den gleichen Elementen und den gleichen Anteilen dieser Elemente) sein, oder sie kann eine Mehrzahl von Teilschichten aufweisen, die aus unterschiedlichen Materialien bestehen. Die Austrittsarbeitsschicht 74 kann Austrittsarbeitsmetalle aufweisen, die in Abhängigkeit davon gewählt sind, ob die jeweiligen FinFETs, die in den Bauelementbereichen 100, 200 und 300 hergestellt werden, n-FinFETs oder p-FinFETs sind. Wenn die FinFETs zum Beispiel n-FinFETs sind, kann die Austrittsarbeitsschicht 74 eine aluminiumhaltige Schicht sein (die zum Beispiel aus TiAl, TiAlN, TiAlC, TaAlN oder TaAlC besteht oder dieses aufweist). Die aluminiumhaltige Schicht kann zum Beispiel in Kontakt mit der Sperrschicht 66 (in dem Bauelementbereich 200) und der Austrittsarbeits-Einstellschicht 68B (in dem Bauelementbereich 200) sein oder auch nicht. Wenn die FinFETs p-FinFETs sind, kann die Austrittsarbeitsschicht 74 frei von aluminiumhaltigen Materialien sein oder auch nicht. Die Austrittsarbeitsschicht 74 der p-FinFETs kann zum Beispiel eine TiN-Schicht, eine TaN-Schicht und eine weitere TiN-Schicht aufweisen, und sie kann frei von aluminiumhaltigen Materialien sein. Der Teil der Austrittsarbeitsschicht 74, der frei von Aluminium ist, kann in Kontakt mit der Austrittsarbeits-Einstellschicht 68B sein. Bei einigen Ausführungsformen bestehen die Teile der Austrittsarbeitsschicht 74 in den Bauelementbereichen 100, 200 und 300 aus dem gleichen Material, und sie können in einem gemeinsamen Abscheidungsprozess hergestellt werden oder auch nicht. Bei alternativen Ausführungsformen bestehen die Teile der Austrittsarbeitsschicht 74 in den Bauelementbereichen 100, 200 und 300 aus unterschiedlichen Materialien, und sie werden in getrennten Abscheidungsprozessen hergestellt. Zum Beispiel können die Teile der Austrittsarbeitsschicht 74 in den Bauelementbereichen 100, 200 und 300 jeweils aus einem p-Austrittsarbeitsmetall und einem n-Austrittsarbeitsmetall in einer Kombination bestehen.
  • Unabhängig davon, ob die FinFETs in den Bauelementbereichen 200 und 300 n-FinFETs oder p-FinFETs sind, kann die Austrittsarbeitsschicht 74 kein Aluminium enthalten (im Abscheidungszustand, vor einem späteren Temperprozess), oder die Austrittsarbeitsschicht 74 kann eine aluminiumhaltige Teilschicht aufweisen, aber die aluminiumhaltige Schicht ist (im Abscheidungszustand) von der Austrittsarbeits-Einstellschicht 68B durch eine aluminiumfreie Teilschicht getrennt, die in Kontakt mit der Austrittsarbeits-Einstellschicht 68B ist. Daher kann, obwohl spätere thermische Prozesse zum Eindiffundieren von Aluminium führen können, die Austrittsarbeits-Einstellschicht 68B immer noch einen höheren Atomanteil (Konzentration) von Aluminium als die darüber befindliche aluminiumfreie Teilschicht und die darunter befindliche Schicht (die Sperrschicht 66 in dem Bauelementbereich 200 oder die Austrittsarbeits-Einstellschicht 68A in dem Bauelementbereich 300) haben.
  • In 19 wird eine Blockierschicht 76 (die auch eine Sperrschicht ist) konform so abgeschieden, dass sie in die Bauelementbereiche 100, 200 und 300 hinein reicht. Der entsprechende Schritt ist als Schritt 434 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Bei einigen Ausführungsformen weist die Blockierschicht 76 Titannidrid (TiN) oder dergleichen auf, das durch ALD, CVD oder dergleichen abgeschieden wird. Eine Dicke der Blockierschicht 76 kann etwa 5 Å bis etwa 50 Å betragen.
  • 19 zeigt außerdem die Herstellung von Füllmetallbereichen 78. Bei einigen Ausführungsformen bestehen die Füllmetallbereiche 78 aus Wolfram, Cobalt oder dergleichen, das durch ALD, CVD oder eine Kombination davon abgeschieden werden kann. Der entsprechende Schritt ist als Schritt 436 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Nach der Herstellung der Füllmetallbereiche 78 kann ein Planarisierungsprozess durchgeführt werden, um überschüssige Teile der abgeschiedenen Schichten zu entfernen, die in 19 gezeigt sind, sodass Gatestapel 180, 280 und 380 entstehen, die in 20 gezeigt sind. Der entsprechende Schritt ist als Schritt 438 in dem Prozessablauf 400 angegeben, der in 23 gezeigt ist. Die Gatestapel 180, 280 und 380 umfassen eine Gate-Elektrode 179, 279 bzw. 379. Die Gate-Elektrode 179 weist die Verkappungsschicht 64, die Sperrschicht 66, die Austrittsarbeitsschicht 74, die Blockierschicht 76 und den Füllmetallbereich 78 auf. Die Gate-Elektrode 279 weist die Verkappungsschicht 64, die Sperrschicht 66, die Austrittsarbeits-Einstellschicht 68B, die Austrittsarbeitsschicht 74, die Blockierschicht 76 und den Füllmetallbereich 78 auf. Die Gate-Elektrode 379 weist die Verkappungsschicht 64, die Sperrschicht 66, die Austrittsarbeits-Einstellschichten 68A und 68B, die Austrittsarbeitsschicht 74, die Blockierschicht 76 und den Füllmetallbereich 78 auf.
  • 21 zeigt die Herstellung von Hartmasken 82 gemäß einigen Ausführungsformen. Die Herstellung der Hartmasken 82 kann Folgendes umfassen: Durchführen eines Ätzprozesses zum Aussparen der Gatestapel 180, 280 und 380, sodass Aussparungen zwischen den Gate-Abstandshaltern 46 entstehen; Füllen der Aussparungen mit einem dielektrischen Material; und anschließendes Durchführen eines Planarisierungsprozesses, wie etwa eines CMP-Prozesses oder eines mechanischen Schleifprozesses, um überschüssige Teile des dielektrischen Materials zu entfernen. Die Hartmasken 82 können aus Siliziumnitrid, Siliziumoxidnitrid, Siliziumoxidcarbonitrid oder dergleichen bestehen.
  • 22A zeigt die Herstellung von Source-/Drain-Kontaktstiften 84 und Silizidbereichen 86. Die Herstellung der Source-/Drain-Kontaktstifte 84 umfasst Folgendes: Ätzen des ILD 60, um die darunter befindlichen Teile der CESL 58 freizulegen; und anschließendes Ätzen der freigelegten Teile der CESL 58, um Kontaktöffnungen zu erzeugen, durch die die Source-/Drain-Bereiche 54 freigelegt werden. In einem nachfolgenden Prozess wird eine Metallschicht (wie etwa eine Ti-Schicht) so abgeschieden, dass sie in die Kontaktöffnungen hinein reicht. Es kann eine Metallnitrid-Verkappungsschicht hergestellt werden. Dann wird ein Temperprozess durchgeführt, um die Metallschicht mit dem oberen Teil der Source-/Drain-Bereiche 54 zur Reaktion zu bringen, um die Silizidbereiche 86 herzustellen, die in 20 gezeigt sind. Dann wird ein metallisches Füllmaterial, wie etwa Wolfram, Cobalt oder dergleichen, in die Kontaktöffnungen gefüllt, und anschließend wird eine Planarisierung durchgeführt, um überschüssige Materialien zu entfernen, sodass die Source-/Drain-Kontaktstifte 84 entstehen. Dann können eine Ätzstoppschicht 91 und ein ILD 93 abgeschieden werden. Außerdem werden Gate-Kontaktstifte 88 so hergestellt, dass sie durch die Hartmasken 82 hindurchgehen, um die Gate-Elektroden 179, 279 und 379 zu kontaktieren. Außerdem werden Source-/Drain-Kontaktstifte 89 hergestellt. Auf diese Weise entstehen die FinFETs 190, 290 und 390.
  • 22B zeigt eine perspektivische Darstellung eines FinFET, der einen der FinFETs 190, 290 und 390 darstellen kann, die in 22A gezeigt sind. Die Gate-Kontaktstifte 88, die Source-/Drain-Silizidbereiche 86 und die Source-/Drain-Kontaktstifte 84 sind ebenfalls dargestellt.
  • Die Ausführungsformen der vorliegenden Erfindung haben mehrere Vorzüge. Ein integrierter Schaltkreis kann Transistoren mit unterschiedlichen Schwellenspannungen aufweisen. Die Verteilung zwischen den Schwellenspannungen der Transistoren sollte signifikant sein. Durch Dotieren der Austrittsarbeits-Einstellschicht mit einem Dotierungselement wie Aluminium beim Ätzen der Sperrschicht eines Transistors wird die nachteilige Ätzung der freiliegenden Austrittsarbeits-Einstellschichten in anderen Transistoren verringert, und die nachteilige Reduzierung der Verteilung der Schwellenspannungen wird verringert.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Herstellen eines Gate-Dielektrikums, das einen ersten Teil aufweist, der sich auf einem ersten Halbleiterbereich erstreckt; Herstellen einer Sperrschicht, die einen ersten Teil aufweist, der sich über dem ersten Teil des Gate-Dielektrikums erstreckt; Herstellen einer ersten Austrittsarbeits-Einstellschicht, die einen ersten Teil über dem ersten Teil der Sperrschicht aufweist; Dotieren eines Dotierungselements in die erste Austrittsarbeits-Einstellschicht; Entfernen des ersten Teils der ersten Austrittsarbeits-Einstellschicht; Dünnen des ersten Teils der Sperrschicht; und Herstellen einer Austrittsarbeitsschicht über dem ersten Teil der Sperrschicht. Bei einer Ausführungsform weist die erste Austrittsarbeits-Einstellschicht Titannidrid auf, und das Dotierungselement umfasst Aluminium. Bei einer Ausführungsform umfasst das Dotieren des Dotierungselements das In-situ-Dotieren von Aluminium, wenn die erste Austrittsarbeits-Einstellschicht abgeschieden wird. Bei einer Ausführungsform wird das Dotieren des Dotierungselements nach dem Abscheiden der ersten Austrittsarbeits-Einstellschicht durchgeführt. Bei einer Ausführungsform umfasst das Dotieren des Dotierungselements das thermische Vollsaugenlassen der ersten Austrittsarbeits-Einstellschicht in einem aluminiumhaltigen Gas. Bei einer Ausführungsform weist das Gatedielektrikum weiterhin einen zweiten Teil auf, der sich auf einem zweiten Halbleiterbereich erstreckt; die Sperrschicht weist weiterhin einen zweiten Teil auf, der sich über dem zweiten Teil des Gate-Dielektrikums erstreckt; und die erste Austrittsarbeits-Einstellschicht weist weiterhin einen zweiten Teil auf, der sich über dem zweiten Teil der Sperrschicht erstreckt, wobei beim Entfernen des ersten Teils der ersten Austrittsarbeits-Einstellschicht der zweite Teil der ersten Austrittsarbeits-Einstellschicht von einer Ätzmaske gegen das Entfernen geschützt wird. Bei einer Ausführungsform wird beim Dünnen des ersten Teils der Sperrschicht der zweite Teil der Sperrschicht von dem zweiten Teil der ersten Austrittsarbeits-Einstellschicht geschützt. Bei einer Ausführungsform umfasst das Verfahren weiterhin Folgendes: vor dem Herstellen der ersten Austrittsarbeits-Einstellschicht Herstellen einer zweiten Austrittsarbeits-Einstellschicht; und nach dem Herstellen der zweiten Austrittsarbeits-Einstellschicht Strukturieren der zweiten Austrittsarbeits-Einstellschicht, um einen Teil der zweiten Austrittsarbeits-Einstellschicht zu entfernen, der den ersten Teil der Sperrschicht überdeckt.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist ein Verfahren die folgenden Schritte auf: Abscheiden einer Sperrschicht, die einen ersten Teil und einen zweiten Teil in einem ersten Transistorbereich bzw. einem zweiten Transistorbereich aufweist; Abscheiden einer ersten Titannidridschicht, die einen ersten Teil und einen zweiten Teil aufweist, die den ersten Teil bzw. den zweiten Teil der Sperrschicht überdecken; Dotieren von Aluminium in die erste Titannidridschicht; Entfernen des ersten Teils der ersten Titannidridschicht, wobei der zweite Teil der ersten Titannidridschicht bestehen bleibt; partielles Ätzen der Sperrschicht, um eine Dicke des ersten Teils der Sperrschicht zu reduzieren, wobei der zweite Teil der Sperrschicht von dem zweiten Teil der ersten Titannidridschicht geschützt wird; und Herstellen einer Austrittsarbeitsschicht, die einen ersten Teil, der den ersten Teil der Sperrschicht kontaktiert, und einen zweiten Teil aufweist, der den zweiten Teil der ersten Titannidridschicht kontaktiert. Bei einer Ausführungsform weist die Sperrschicht weiterhin einen dritten Teil in einem dritten Transistorbereich auf, und die erste Titannidridschicht weist weiterhin einen dritten Teil über dem dritten Teil der Sperrschicht auf, wobei das Verfahren weiterhin Folgendes umfasst: vor dem Herstellen der ersten Titannidridschicht Abscheiden einer zweiten Titannidridschicht, die einen ersten Teil, einen zweiten Teil und einen dritten Teil aufweist, die den ersten Teil, den zweiten Teil bzw. den dritten Teil der Sperrschicht überdecken; und vor dem Herstellen der ersten Titannidridschicht Entfernen des ersten Teils und des zweiten Teils der zweiten Titannidridschicht. Bei einer Ausführungsform wird kein Aluminium in die zweite Titannidridschicht dotiert, bevor die erste Titannidridschicht hergestellt wird. Bei einer Ausführungsform umfasst das Dotieren des Aluminiums in die erste Titannidridschicht das thermische Vollsaugenlassen der ersten Titannidridschicht in einem aluminiumhaltigen Gas. Bei einer Ausführungsform wird das partielle Ätzen der Sperrschicht unter Verwendung eines Metallchloridgases durchgeführt. Bei einer Ausführungsform wird bei dem partiellen Ätzen der Sperrschicht die Dicke des ersten Teils der Sperrschicht um einen Betrag von etwa 50 % bis etwa 90 % reduziert. Bei einer Ausführungsform wird während des partiellen Ätzens der Sperrschicht der zweite Teil der ersten Titannidridschicht mit dem gleichen Ätzgas wie für das Ätzen der Sperrschicht behandelt.
  • Gemäß einigen Ausführungsformen der vorliegenden Erfindung weist eine integrierte Schaltkreisvorrichtung Folgendes auf: einen Halbleiterbereich; ein Gatedielektrikum über dem Halbleiterbereich; eine Sperrschicht über dem Gatedielektrikum; eine erste Titannidridschicht über der Sperrschicht, wobei die erste Titannidridschicht wiederum Aluminium aufweist; und eine Austrittsarbeitsschicht über der ersten Titannidridschicht, wobei ein Atomanteil von Aluminium in der ersten Titannidridschicht höher als Atomanteile von Aluminium in einer höherliegenden Schicht, die über der ersten Titannidridschicht angeordnet ist und diese kontaktiert, und in einer tieferliegenden Schicht ist, die unter der ersten Titannidridschicht angeordnet ist und diese kontaktiert. Bei einer Ausführungsform weist die integrierte Schaltkreisvorrichtung weiterhin eine zweite Titannidridschicht zwischen der ersten Titannidridschicht und der Sperrschicht auf, wobei die tieferliegende Schicht die zweite Titannidridschicht ist. Bei einer Ausführungsform sind die Austrittsarbeitsschicht, die erste Titannidridschicht, die Sperrschicht und das Gatedielektrikum Bestandteil eines p-Transistors. Bei einer Ausführungsform ist die tieferliegende Schicht die Sperrschicht.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.

Claims (20)

  1. Verfahren mit den folgenden Schritten: Herstellen eines Gate-Dielektrikums, das einen ersten Teil aufweist, der sich auf einem ersten Halbleiterbereich erstreckt; Herstellen einer Sperrschicht, die einen ersten Teil aufweist, der sich über dem ersten Teil des Gate-Dielektrikums erstreckt; Herstellen einer ersten Austrittsarbeits-Einstellschicht, die einen ersten Teil über dem ersten Teil der Sperrschicht aufweist; Dotieren eines Dotierungselements in die erste Austrittsarbeits-Einstellschicht; Entfernen des ersten Teils der ersten Austrittsarbeits-Einstellschicht; Dünnen des ersten Teils der Sperrschicht; und Herstellen einer Austrittsarbeitsschicht über dem ersten Teil der Sperrschicht.
  2. Verfahren nach Anspruch 1, wobei die erste Austrittsarbeits-Einstellschicht Titannidrid aufweist und das Dotierungselement Aluminium umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Dotieren des Dotierungselements das In-situ-Dotieren von Aluminium während des Abscheidens der ersten Austrittsarbeits-Einstellschicht umfasst.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Dotieren des Dotierungselements nach dem Abscheiden der ersten Austrittsarbeits-Einstellschicht durchgeführt wird.
  5. Verfahren nach Anspruch 4, wobei das Dotieren des Dotierungselements das thermische Vollsaugenlassen der ersten Austrittsarbeits-Einstellschicht in einem aluminiumhaltigen Gas umfasst.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Gatedielektrikum weiterhin einen zweiten Teil aufweist, der sich auf einem zweiten Halbleiterbereich erstreckt, die Sperrschicht weiterhin einen zweiten Teil aufweist, der sich über dem zweiten Teil des Gate-Dielektrikums erstreckt, und die erste Austrittsarbeits-Einstellschicht weiterhin einen zweiten Teil aufweist, der sich über dem zweiten Teil der Sperrschicht erstreckt, wobei beim Entfernen des ersten Teils der ersten Austrittsarbeits-Einstellschicht der zweite Teil der ersten Austrittsarbeits-Einstellschicht mit einer Ätzmaske gegen das Entfernen geschützt wird.
  7. Verfahren nach Anspruch 6, wobei während des Dünnens des ersten Teils der Sperrschicht der zweite Teil der Sperrschicht von dem zweiten Teil der ersten Austrittsarbeits-Einstellschicht geschützt wird.
  8. Verfahren nach einem der vorhergehenden Ansprüche, das weiterhin Folgendes umfasst: vor dem Herstellen der ersten Austrittsarbeits-Einstellschicht Herstellen einer zweiten Austrittsarbeits-Einstellschicht; und nach dem Herstellen der zweiten Austrittsarbeits-Einstellschicht Strukturieren der zweiten Austrittsarbeits-Einstellschicht, um einen Teil der zweiten Austrittsarbeits-Einstellschicht zu entfernen, der den ersten Teil der Sperrschicht überdeckt.
  9. Verfahren mit den folgenden Schritten: Abscheiden einer Sperrschicht, die einen ersten Teil und einen zweiten Teil in einem ersten Transistorbereich bzw. einem zweiten Transistorbereich aufweist; Abscheiden einer ersten Titannidridschicht, die einen ersten Teil und einen zweiten Teil aufweist, die den ersten Teil bzw. den zweiten Teil der Sperrschicht überdecken; Dotieren von Aluminium in die erste Titannidridschicht; Entfernen des ersten Teils der ersten Titannidridschicht, wobei der zweite Teil der ersten Titannidridschicht bestehen bleibt; partielles Ätzen der Sperrschicht, um eine Dicke des ersten Teils der Sperrschicht zu reduzieren, wobei der zweite Teil der Sperrschicht von dem zweiten Teil der ersten Titannidridschicht geschützt wird; und Herstellen einer Austrittsarbeitsschicht, die einen ersten Teil, der den ersten Teil der Sperrschicht kontaktiert, und einen zweiten Teil aufweist, der den zweiten Teil der ersten Titannidridschicht kontaktiert.
  10. Verfahren nach Anspruch 9, wobei die Sperrschicht weiterhin einen dritten Teil in einem dritten Transistorbereich aufweist und die erste Titannidridschicht weiterhin einen dritten Teil über dem dritten Teil der Sperrschicht aufweist, wobei das Verfahren weiterhin Folgendes umfasst: vor dem Herstellen der ersten Titannidridschicht Abscheiden einer zweiten Titannidridschicht, die einen ersten Teil, einen zweiten Teil und einen dritten Teil aufweist, die den ersten Teil, den zweiten Teil bzw. den dritten Teil der Sperrschicht überdecken; und vor dem Herstellen der ersten Titannidridschicht Entfernen des ersten Teils und des zweiten Teils der zweiten Titannidridschicht.
  11. Verfahren nach Anspruch 10, wobei kein Aluminium in die zweite Titannidridschicht dotiert wird, bevor die erste Titannidridschicht hergestellt wird.
  12. Verfahren nach einem der Ansprüche 9 bis 11, wobei das Dotieren des Aluminiums in die erste Titannidridschicht das thermische Vollsaugenlassen der ersten Titannidridschicht in einem aluminiumhaltigen Gas umfasst.
  13. Verfahren nach einem der Ansprüche 9 bis 12, wobei das partielle Ätzen der Sperrschicht unter Verwendung eines Metallchloridgases durchgeführt wird.
  14. Verfahren nach einem der Ansprüche 9 bis 13, wobei bei dem partiellen Ätzen der Sperrschicht die Dicke des ersten Teils der Sperrschicht um einen Betrag von etwa 50 % bis etwa 90 % reduziert wird.
  15. Verfahren nach einem der Ansprüche 9 bis 14, wobei während des partiellen Ätzens der Sperrschicht der zweite Teil der ersten Titannidridschicht mit dem gleichen Ätzgas wie für das Ätzen der Sperrschicht behandelt wird.
  16. Integrierte Schaltkreisvorrichtung mit: einem Halbleiterbereich; einem Gatedielektrikum über dem Halbleiterbereich; einer Sperrschicht über dem Gatedielektrikum; einer ersten Titannidridschicht über der Sperrschicht, wobei die erste Titannidridschicht wiederum Aluminium aufweist; und einer Austrittsarbeitsschicht über der ersten Titannidridschicht, wobei ein Atomanteil von Aluminium in der ersten Titannidridschicht höher als Atomanteile von Aluminium in einer höherliegenden Schicht, die über der ersten Titannidridschicht angeordnet ist und diese kontaktiert, und in einer tieferliegenden Schicht ist, die unter der ersten Titannidridschicht angeordnet ist und diese kontaktiert.
  17. Integrierte Schaltkreisvorrichtung nach Anspruch 16, wobei die höherliegende Schicht die Austrittsarbeitsschicht ist.
  18. Integrierte Schaltkreisvorrichtung nach Anspruch 16 oder 17, die weiterhin eine zweite Titannidridschicht zwischen der ersten Titannidridschicht und der Sperrschicht aufweist, wobei die tieferliegende Schicht die zweite Titannidridschicht ist.
  19. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 16 bis 18, wobei die Austrittsarbeitsschicht, die erste Titannidridschicht, die Sperrschicht und das Gatedielektrikum Bestandteile eines p-Transistors sind.
  20. Integrierte Schaltkreisvorrichtung nach einem der Ansprüche 16 bis 19, wobei die tieferliegende Schicht die Sperrschicht ist.
DE102019112394.1A 2019-04-30 2019-05-13 Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung Active DE102019112394B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/398,922 2019-04-30
US16/398,922 US11289578B2 (en) 2019-04-30 2019-04-30 Selective etching to increase threshold voltage spread

Publications (2)

Publication Number Publication Date
DE102019112394A1 true DE102019112394A1 (de) 2020-11-05
DE102019112394B4 DE102019112394B4 (de) 2023-12-21

Family

ID=72839442

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019112394.1A Active DE102019112394B4 (de) 2019-04-30 2019-05-13 Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung

Country Status (5)

Country Link
US (3) US11289578B2 (de)
KR (1) KR102271584B1 (de)
CN (1) CN111863620A (de)
DE (1) DE102019112394B4 (de)
TW (1) TWI742634B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020124234A1 (de) 2020-06-22 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgates und verfahren zu ihrer herstellung

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289578B2 (en) * 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11430652B2 (en) * 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling threshold voltages through blocking layers
US11264477B2 (en) * 2019-09-23 2022-03-01 Globalfoundries U.S. Inc. Field-effect transistors with independently-tuned threshold voltages
US20220310398A1 (en) * 2021-03-26 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Contact Resistance Reduction for Transistors
US20230009485A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. Gate Structure in Semiconductor Device and Method of Forming the Same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120329261A1 (en) * 2011-06-21 2012-12-27 Wang shao-wei Manufacturing method for metal gate
US20130200467A1 (en) * 2012-02-07 2013-08-08 International Business Machines Corporation Dual metal fill and dual threshold voltage for replacement gate metal devices
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
DE102017127708A1 (de) * 2017-11-09 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050070109A1 (en) * 2003-09-30 2005-03-31 Feller A. Daniel Novel slurry for chemical mechanical polishing of metals
US9472637B2 (en) * 2010-01-07 2016-10-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same
US10396229B2 (en) * 2011-05-09 2019-08-27 International Business Machines Corporation Solar cell with interdigitated back contacts formed from high and low work-function-tuned silicides of the same metal
US10658361B2 (en) 2011-12-28 2020-05-19 Intel Corporation Methods of integrating multiple gate dielectric transistors on a tri-gate (FINFET) process
US8836048B2 (en) * 2012-10-17 2014-09-16 International Business Machines Corporation Field effect transistor device having a hybrid metal gate stack
TW201814080A (zh) * 2012-10-23 2018-04-16 美商.應用材料股份有限公司 包含具高鋁含量的鋁合金之膜的沉積
US9362385B2 (en) 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
US9583362B2 (en) 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9812366B2 (en) * 2014-08-15 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of tuning work function for a semiconductor device
US9431304B2 (en) * 2014-12-22 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for metal gates
CN105826265B (zh) * 2015-01-09 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN106328594B (zh) 2015-07-02 2019-08-27 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
US9947540B2 (en) * 2015-07-31 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Pre-deposition treatment and atomic layer deposition (ALD) process and structures formed thereby
US9871114B2 (en) * 2015-09-30 2018-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9972694B2 (en) 2015-10-20 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition methods and structures thereof
US9978601B2 (en) 2015-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for pre-deposition treatment of a work-function metal layer
US20170162570A1 (en) * 2015-12-02 2017-06-08 Advanced Device Research Inc. Complementary Transistor Pair Comprising Field Effect Transistor Having Metal Oxide Channel Layer
US9748235B2 (en) 2016-02-02 2017-08-29 Globalfoundries Inc. Gate stack for integrated circuit structure and method of forming same
US10109507B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine contamination control in semiconductor manufacturing process
US10128237B2 (en) 2016-06-24 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
US10049940B1 (en) 2017-08-25 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for metal gates with roughened barrier layer
TWI712084B (zh) 2016-11-17 2020-12-01 聯華電子股份有限公司 半導體裝置以及其製作方法
US10269569B2 (en) 2016-11-29 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10177043B1 (en) * 2017-08-14 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing multi-voltage devices using high-K-metal-gate (HKMG) technology
US10707318B2 (en) * 2017-11-15 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10510621B2 (en) * 2018-04-13 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for threshold voltage tuning and structures formed thereby
US10692770B2 (en) * 2018-05-30 2020-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Geometry for threshold voltage tuning on semiconductor device
US10504789B1 (en) * 2018-05-30 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-deposition treatment for FET technology and devices formed thereby
US11289578B2 (en) * 2019-04-30 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching to increase threshold voltage spread

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120329261A1 (en) * 2011-06-21 2012-12-27 Wang shao-wei Manufacturing method for metal gate
US20130200467A1 (en) * 2012-02-07 2013-08-08 International Business Machines Corporation Dual metal fill and dual threshold voltage for replacement gate metal devices
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
DE102017127708A1 (de) * 2017-11-09 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020124234A1 (de) 2020-06-22 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metallgates und verfahren zu ihrer herstellung
US11488873B2 (en) 2020-06-22 2022-11-01 Taiwan Semiconductor Manufacturing Company Metal gates and methods of forming thereby

Also Published As

Publication number Publication date
KR20200127119A (ko) 2020-11-10
KR102271584B1 (ko) 2021-07-05
TW202107571A (zh) 2021-02-16
US11289578B2 (en) 2022-03-29
US11742395B2 (en) 2023-08-29
US20200350414A1 (en) 2020-11-05
US20220216307A1 (en) 2022-07-07
US20230335601A1 (en) 2023-10-19
DE102019112394B4 (de) 2023-12-21
CN111863620A (zh) 2020-10-30
TWI742634B (zh) 2021-10-11

Similar Documents

Publication Publication Date Title
DE102019112394B4 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102015109820B4 (de) Metallgate-Schema für Bauelement und Verfahren zum Ausbilden
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102019111297B4 (de) Halbleiter-Bauelement und Verfahren
DE102018119795B4 (de) Spannungsmodulation für dielektrische Schichten
DE102019126339B4 (de) Rückstandsentfernung in metall-gate schneideprozess
DE102019116328A1 (de) Halbleiterbauelement und verfahren
DE102019109857A1 (de) Halbleiter-bauelement und herstellungsverfahren
DE102019118621A1 (de) Strukturieren ultraschmaler gräben durch plasmaätzen
DE102019117322A1 (de) Silizium-mischschicht zur blockierung von diffusion
DE102019129773B4 (de) Transistoren mit reduzierten defekten und verfahren zu deren herstellung
DE102019117011A1 (de) Halbleiter-bauelement und verfahren
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102017123359B4 (de) Finnen-feldeffekttransistor-bauelement und verfahren
DE102020124234A1 (de) Metallgates und verfahren zu ihrer herstellung
DE102019119716B4 (de) Isolation von source/drain-regionen zweier multi-gate-transistoren in dichter anordnung
DE102017127658B4 (de) Halbleitervorrichtung und verfahren
DE102016113819B4 (de) Verfahren zur Herstellung eines Halbleiter-Bauelements
DE102020114991A1 (de) In-situ-ausbilden von metallgate-modulatoren
DE102021105456A1 (de) Halbleitervorrichtung und verfahren
DE102020120848A1 (de) Implementierung mehrerer schwellspannung durch einsatz von lanthan

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division