DE102018100058B4 - Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht - Google Patents

Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht Download PDF

Info

Publication number
DE102018100058B4
DE102018100058B4 DE102018100058.8A DE102018100058A DE102018100058B4 DE 102018100058 B4 DE102018100058 B4 DE 102018100058B4 DE 102018100058 A DE102018100058 A DE 102018100058A DE 102018100058 B4 DE102018100058 B4 DE 102018100058B4
Authority
DE
Germany
Prior art keywords
nitrogen
ald
layer
titanium
cycle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018100058.8A
Other languages
English (en)
Other versions
DE102018100058A1 (de
Inventor
Chung-Liang Cheng
Yu-Lin Liu
Ming-Hsien Lin
Tzo-Hung Luo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018100058A1 publication Critical patent/DE102018100058A1/de
Application granted granted Critical
Publication of DE102018100058B4 publication Critical patent/DE102018100058B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Verfahren, umfassend:
Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht, wobei die Kontaktöffnung Seitenwände, die durch die dielektrische Schicht definiert sind, und einen Boden aufweist, der durch ein leitfähiges Merkmal definiert ist;
Durchführen eines ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma an den Seitenwänden der Kontaktöffnung;
Durchführen eines ALD-Prozesses, um eine titan- und stickstoffhaltige Sperrschicht über den Seitenwänden und dem Boden der Kontaktöffnung auszubilden; und
Ausbilden einer kobalthaltigen Bulk-Schicht über der titan- und stickstoffhaltigen Sperrschicht, wobei die titan- und stickstoffhaltige Sperrschicht und die kobalthaltige Bulk-Schicht die Kontaktöffnung ausfüllen,
wobei ein Zyklus des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma umfasst:
eine Pulsphase mit stickstoffhaltigem Plasma; und
eine Spülphase.

Description

  • HINTERGRUND
  • Die IC-Branche hat ein exponentielles Wachstum erlebt. Technischer Fortschritt bei IC-Materialien und -Design hat Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl von miteinander verbundenen Einrichtungen je Chipfläche) im Allgemeinen erhöht, während sich die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsverfahrens erzeugt werden kann) verringert hat. Dieser Verkleinerungsverfahren bietet im Allgemeinen Vorteile, indem er die Produktionseffizienz erhöht und die damit verbundenen Kosten senkt.
  • Eine solche Verkleinerung hat auch die Komplexität der Verarbeitung und Fertigung von ICs erhöht und, damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen in der IC-Verarbeitung und Fertigung erforderlich. Als zum Beispiel IC-Technologien in Sub-20-nm-Technologieknoten vordrangen, wurden kobaltbasierte Verbindungsstrukturen implementiert, um die Leistung zu verbessern. Kobaltbasierte Verbindungsstrukturen zeigen eine bessere Leistung bei Schichtbeständigkeit und/oder Elektromigration (EM) im Vergleich zu herkömmlichen kupferbasierten Verbindungsstrukturen. Obwohl existierende kobaltbasierte Verbindungsstrukturen im Allgemeinen für ihre beabsichtigten Zwecke ausreichend waren, waren sie nicht in jeder Hinsicht vollkommen zufriedenstellend. Die US 2003/0 064 607 A1 beschreibt ein Verfahren zur Verbesserung der Nukleation und / oder Adhäsion eines CVD- oder ALD-abgeschiedenen Films auf einer dielektrischen Schicht mit niedriger dielektrischer Konstante (low-k), wie einem polymeren Dielektrikum oder einem mit Kohlenstoff dotierten Oxid. In einer Ausführungsform umfasst das Verfahren das Bereitstellen eines Substrats in einer Abscheidungskammer. Eine dielektrische Schicht mit einer reaktiven Komponente wird über dem Substrat gebildet. Die gebildete dielektrische Schicht mit der reaktiven Komponente wird dann bearbeitet, um polare Gruppen oder polare Stellen zumindest auf einer Oberfläche der gebildeten dielektrischen Schicht zu erzeugen.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der folgenden detaillierten Beschreibung verstanden, wenn sie mit den beigefügten Zeichnungen gelesen wird. Es wird betont, dass in Übereinstimmung mit dem üblichen Vorgehen in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind und nur der Beschreibung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Beschreibung beliebig vergrößert oder verkleinert werden.
    • Die 1A-1H sind schematische Teilansichten einer integrierten Schaltungsvorrichtung, teilweise oder vollständig, in verschiedenen Herstellungsstufen, die mit dem Ausbilden einer Verbindungsstruktur gemäß verschiedenen Aspekten der vorliegenden Offenbarung verbunden sind.
    • 2 ist ein Flussdiagramm eines ALD-artigen Stickstoffplasma-Vorbehandlungsprozesses, der in 1D implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 3 ist ein Flussdiagramm eines ALD-Prozesses, der in 1E implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • 4 ist ein Flussdiagramm eines Verfahrens zum Herstellen eines Kontakts, das in den 1A-1H implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
  • DETAILLIERTE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft allgemein integrierte Schaltungsvorrichtungen und insbesondere Verbindungsstrukturen für integrierte Schaltungsvo rrichtungen.
  • Die folgende Offenbarung sieht viele verschiedene Ausführungsformen oder Beispiele vor, um verschiedene Merkmale der Erfindung zu implementieren. Spezielle Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend wirken. Beispielsweise kann das Ausbilden eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und das zweite Element in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Elemente zwischen dem ersten Element und dem zweiten Element ausgebildet sein können, so dass das erste und das zweite Element nicht in direktem Kontakt stehen müssen.
  • Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und erzwingt an sich keine Beziehung zwischen den verschiedenen beschriebenen Ausführungsformen und/oder Konfigurationen. Darüber hinaus kann das Ausbilden eines Merkmals auf, verbunden mit und/oder gekoppelt mit einem anderen Merkmal in der vorliegenden folgenden Offenbarung Ausführungsformen umfassen, in denen die Merkmale in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen umfassen, in denen zusätzliche Merkmale so ausgebildet werden können, dass sie zwischen den Merkmalen angeordnet sind, so dass die Merkmale nicht in direktem Kontakt stehen müssen. Darüber hinaus werden räumlich relative Begriffe der Beziehung eines Merkmals zu einem anderen Merkmal, beispielsweise „unten“, „oben“, „horizontal“, „vertikal“, „oberhalb“, „über“, „unterhalb“, „unter“, „herauf“, „herunter“, „oberer“, „unterer“ usw. sowie Ableitungen davon (z. B. „horizontal“, „nach unten“, „nach oben“ usw.) zur Vereinfachung der vorliegenden Offenbarung verwendet. Die räumlich relativen Begriffe sollen verschiedene Orientierungen der Vorrichtung einschließlich der Merkmale abdecken.
  • Ein Mehrschicht-Verbindungs- (MLI) -Merkmal verbindet elektrisch verschiedene Vorrichtungen (zum Beispiel Transistoren, Widerstände, Kondensatoren und/oder Induktoren) und/oder Komponenten (zum Beispiel Gatestrukturen und/oder Source/Drain-Merkmale) einer integrierten Schaltung (IC), so dass die verschiedenen Vorrichtungen und/oder Komponenten gemäß den Entwurfsanforderungen arbeiten können. Das MLI-Merkmal umfasst eine Kombination von dielektrischen Schichten und leitfähigen Schichten, die so konfiguriert sind, dass sie verschiedene Verbindungsstrukturen bilden. Die leitfähigen Schichten sind so konfiguriert, dass sie vertikale Verbindungsmerkmale, wie beispielsweise Kontakte auf Vorrichtungsebene und/oder Durchkontaktierungen, und/oder horizontale Verbindungsmerkmale wie beispielsweise Leiterbahnen bilden. Vertikale Verbindungsmerkmale verbinden typischerweise horizontale Verbindungsmerkmale in unterschiedlichen Schichten (oder unterschiedlichen Ebenen) des MLI-Merkmals. Während des Betriebs der IC-Vorrichtung leiten die Verbindungsstrukturen Signale zwischen den Vorrichtungen und/oder Komponenten der IC-Vorrichtung und/oder verteilen Signale (z. B. Taktsignale, Spannungssignale und/oder Massesignale) an die Vorrichtungen und/oder Komponenten.
  • Die Verbindungsstrukturen weisen typischerweise Kupfer auf. Da jedoch IC-Technologien zu kleineren Technologieknoten fortschreiten und Verbindungsstrukturen kompakter werden, wurde beobachtet, dass kupferbasierte Verbindungsstrukturen die Leistung verschlechtern, Hohlräume bilden (die beispielsweise aus Verbindungsöffnungen mit größerem Seitenverhältnis entstehen) und die Widerstand-Kapazität- (RC) -Verzögerung in ICs erhöhen. Um diese Probleme zu lösen, erforschen IC-Hersteller neue Materialien für die Verbindungsstrukturen, beispielsweise Aluminium, Wolfram, Kobalt und/oder Ruthenium. Insbesondere Kobalt weist eine bessere Leistung bei Schichtbeständigkeit und/oder Elektromigration (EM) als traditionelle Verbindungsmaterialien wie Kupfer auf und kann leicht in herkömmliche IC-Herstellungsverfahren integriert werden. Kontakte von MLI-Merkmalen umfassen somit oft eine Kobalt-Bulk-Schicht, wobei die Kobalt-Bulk-Schicht über einer titan- und stickstoffhaltigen Sperrschicht angeordnet ist (die oft entlang Seitenwänden und/oder Böden einer Kontaktöffnung angeordnet ist, die beispielsweise durch eine Zwischenebenen-Dielektrikums- (ILD) -Schicht und/oder ein darunterliegendes leitfähiges Merkmal definiert ist).
  • Herkömmliche Kontaktbildungsverfahren implementieren einen chemischen Gasphasenabscheidungs- (CVD) -Prozess, um die titan- und stickstoffhaltige Sperrschicht auszubilden. Es wurde jedoch beobachtet, dass eine durch CVD ausgebildete titan- und stickstoffhaltige Sperrschicht sich schlecht an die Oberflächen des MLI-Merkmals anpasst, das die Kontaktöffnung definiert (wie zum Beispiel Seitenwandflächen, die durch eine ILD-Schicht definiert sind). Die durch CVD ausgebildete titan- und stickstoffhaltige Sperrschicht ist oft entlang der Oberflächen des MLI-Merkmals nicht durchgehend, das die Kontaktöffnung definiert. Es wurde ferner beobachtet, dass die Kobalt-Bulk-Schicht schlecht an der nicht konformen und/oder nicht durchgehenden CVD-ausgebildeten titan- und stickstoffhaltigen Sperrschicht haftet, wodurch eine oder mehrere Hohlräume in der Kobalt-Bulk-Schicht erzeugt werden. Solche Hohlräume verschlechtern die EM-Leistung der Kobalt-Bulk-Schicht signifikant, was die Gesamtleistung der IC-Vorrichtung verschlechtert. Die vorliegende Offenbarung schlägt somit ein zweistufiger Atomlagenabscheidungs-(ALD) -basierter Prozess vor, der eine konforme und/oder durchgehende titan- und stickstoffhaltige Sperrschicht ausbilden kann. Der hier beschriebene zweistufige ALDbasierte Prozess verbessert die Haftung einer durch ALD ausgebildeten titan- und stickstoffhaltigen Sperrschicht an Oberflächen des MLI-Merkmals, das die Kontaktöffnung definiert, wodurch die Haftung der Kobalt-Bulk-Schicht an der durch ALD ausgebildeten titan- und stickstoffhaltigen Sperrschicht verbessert wird. Es wurde beobachtet, dass die verbesserten Hafteigenschaften Hohlräume in der Kobalt-Bulk-Schicht eliminieren (oder signifikant verringern), wodurch EM-Leistungsvorteile erhalten bleiben, die durch kobaltbasierte Verbindungsstrukturen erzielt werden. Unterschiedliche Ausführungsformen können unterschiedliche Vorteile haben und es ist kein bestimmter Vorteil in irgendeiner Ausführungsform erforderlich.
  • Die 1A-1H sind schematische Teilansichten einer integrierten Schaltungsvorrichtung 10, teilweise oder vollständig, in verschiedenen Herstellungsstufen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die integrierte Schaltungsvorrichtung 10 kann in einem Mikroprozessor, einem Speicher und/oder einer anderen integrierten Schaltungsvorrichtung vorgesehen sein. In einigen Implementierungen ist die integrierte Schaltungsvorrichtung 10 Teil eines IC-Chips, eines System-on-Chip (SoC) oder eines Teils davon, der verschiedene passive und aktive mikroelektronische Vorrichtungen wie Widerstände, Kondensatoren, Induktoren, Dioden, p-Feldeffekttransistoren (PFETs), n-Feldeffekttransistoren (NFETs), Metalloxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxid-Halbleiter- (CMOS) -Transistoren, Bipolartransistoren (BJTs), lateral diffundierte MOS- (LDMOS) -Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon umfasst. Die Transistoren können planare Transistoren oder Multi-Gate-Transistoren sein, wie zum Beispiel finnenartige FETs (FinFETs). Die 1A-1H wurden aus Gründen der Klarheit vereinfacht, um die erfinderischen Konzepte der vorliegenden Offenbarung besser zu verstehen. Zusätzliche Merkmale können in der integrierten Schaltungsvorrichtung 10 hinzugefügt werden und einige der nachstehend beschriebenen Merkmale können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 10 ersetzt, modifiziert oder eliminiert werden.
  • Bezugnehmend auf 1A umfasst die integrierte Schaltungsvorrichtung 10 ein Substrat (Wafer) 12. In der gezeigten Ausführungsform umfasst das Substrat 12 Silizium. Alternativ oder zusätzlich umfasst das Substrat 12 einen anderen elementaren Halbleiter wie Germanium; einen Verbindungshalbleiter wie Siliziumkarbid (SiC), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid; einen Legierungshalbleiter wie Silizium-Germanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Alternativ ist das Substrat 12 ein Halbleiter-auf-Isolator-Substrat, wie beispielsweise ein Silizium-auf-Isolator- (SOI) -Substrat, ein Silizium-Germanium-auf-Isolator- (SGOI) -Substrat oder ein Germanium-auf-Isolator- (GOI) - Substrat. Halbleiter-auf-Isolator-Substrate können unter Verwendung einer Trennung durch Implantation von Sauerstoff (SIMOX), Waferbonden und/oder anderer geeigneter Verfahren und Prozesse hergestellt werden. Das Substrat 12 kann verschiedene dotierte Bereiche (nicht gezeigt) umfassen, abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10. In einigen Implementierungen umfasst das Substrat 12 p-dotierte Bereiche (zum Beispiel p-dotierte Wannen), die mit p-Dotierstoffen wie beispielsweise Bor (zum Beispiel BF2), Indium, einem anderen p-Dotierstoff oder Kombinationen davon dotiert sind. In einigen Implementierungen umfasst das Substrat 12 n-dotierte Bereiche (zum Beispiel n-Wannen), die mit n-Dotierstoffen wie Phosphor, Arsen, einem anderen n-Dotierstoff oder Kombinationen davon dotiert sind. In einigen Implementierungen umfasst das Substrat 12 dotierte Bereiche, die mit einer Kombination von p-Dotierstoffen und n-Dotierstoffen ausgebildet sind. Die verschiedenen dotierten Bereiche können direkt auf und/oder in dem Substrat 12 ausgebildet werden, zum Beispiel durch Bereitstellen einer p-Wannenstruktur, einer n-Wannenstruktur, einer Doppelwannenstruktur, einer erhabenen Struktur oder Kombinationen davon. Ein Ionenimplantationsprozess, ein Diffusionsprozess und/oder ein anderer geeigneter Dotierungsprozess kann durchgeführt werden, um die verschiedenen dotierten Bereiche auszubilden.
  • Ein oder mehrere Isolationsmerkmale 14 sind über und/oder in dem Substrat 12 ausgebildet, um verschiedene Bereiche, wie verschiedene Vorrichtungsbereiche, der integrierten Schaltungsvorrichtung 10 zu isolieren. Zum Beispiel definieren die Isolationsmerkmale 14 aktive Vorrichtungsbereiche und/oder passive Vorrichtungsbereiche und isolieren sie elektrisch voneinander. Die Isolationsmerkmale 14 umfassen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, ein anderes geeignetes Isolationsmaterial (das zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder ein anderes geeignetes Isolationselement aufweist) oder Kombinationen davon. Die Isolationsmerkmale 14 können unterschiedliche Strukturen umfassen, wie flache Grabenisolations- (STI) -Strukturen, tiefe Grabenisolations- (DTI) -Strukturen und/oder lokale Oxidation von Silizium- (LOCOS) -Strukturen. In einigen Implementierungen werden die Isolationsmerkmale 14 durch Ätzen eines Grabens in dem Substrat 12 (zum Beispiel unter Verwendung eines Trockenätzprozesses und/oder Nassätzprozesses) und Füllen des Grabens mit Isolationsmaterial (zum Beispiel unter Verwendung eines chemischen Gasphasenabscheidungs- (CVD) -Prozesses oder eines Spin-on-Glas-Prozesses) ausgebildet. Ein chemisch-mechanischer Polier- (CMP) - Prozess kann durchgeführt werden, um überschüssiges Isolationsmaterial zu entfernen und/oder eine obere Fläche der Isolationsmerkmale 14 zu planarisieren. In einigen Ausführungsformen können die Isolationsmerkmale 14 ausgebildet werden, indem ein Isolationsmaterial über dem Substrat nach dem Ausbilden von Finnen (in einigen Implementierungen so, dass die Isolationsmaterialschicht Lücken (Gräben) zwischen den Finnen füllt) abgeschieden und die Isolationsmaterialschicht zurückgeätzt wird. In einigen Implementierungen umfassen die Isolationsmerkmale 14 eine Mehrschichtstruktur, die die Gräben füllt, wie beispielsweise eine dielektrische Bulk-Schicht, die über einer dielektrischen Auskleidungsschicht angeordnet ist, wobei die dielektrische Bulk-Schicht und die dielektrische Auskleidungsschicht Materialien abhängig von Entwurfsanforderungen umfassen (zum Beispiel eine dielektrische Bulk-Schicht, die Siliziumnitrid umfasst, die über einer dielektrischen Auskleidungsschicht angeordnet ist, die thermisches Oxid umfasst). In einigen Implementierungen umfassen die Isolationsmerkmale 14 eine dielektrische Schicht, die über einer dotierten Auskleidungsschicht (die zum Beispiel Borsilikatglas (BSG) oder Phosphorsilikatglas (PSG) aufweist) angeordnet ist.
  • Eine Gatestruktur 20 ist über dem Substrat 12 angeordnet. Die Gatestruktur 20 liegt zwischen einem Source-Bereich und einem Drain-Bereich, wobei ein Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich definiert ist. Die Gatestruktur 20 greift in den Kanalbereich ein, so dass während des Betriebs Strom zwischen den Source/Drain-Bereichen fließen kann. In einigen Implementierungen ist die Gatestruktur 20 über einer Finnenstruktur ausgebildet, so dass die Gatestruktur 20 einen Abschnitt der Finnenstruktur umhüllt. Zum Beispiel umhüllt die Gatestruktur 20 einen Kanalbereich der Finnenstruktur, wodurch sie zwischen einem Source-Bereich und einem Drain-Bereich der Finnenstruktur liegt. Die Gatestruktur 20 umfasst einen Gatestapel 22, der konfiguriert ist, um die gewünschte Funktionalität gemäß den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10 zu erreichen. In einigen Implementierungen umfasst der Gatestapel 22 ein Gatedielektrikum (zum Beispiel eine Gatedielektrikumsschicht) und eine Gateelektrode (zum Beispiel eine Austrittsarbeitsschicht und/oder eine leitfähige Bulk-Schicht). Der Gatestapel 22 kann zahlreiche weitere Schichten umfassen, beispielsweise Deckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. In einigen Implementierungen ist die Gatedielektrikumsschicht über einer Grenzflächenschicht (umfassend ein Dielektrikum wie beispielsweise Siliziumoxid) angeordnet und die Gateelektrode ist über der Gatedielektrikumsschicht angeordnet. Die Gatedielektrikumsschicht umfasst ein Dielektrikum, wie beispielsweise Siliziumoxid, ein High-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon. Beispiele für High-k-Dielektrika sind Hafniumdioxid (HfO2,), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkoniumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid (HfO2-Al2O3), andere geeignete High-k-Dielektrika oder Kombinationen davon. In einigen Implementierungen ist die Gatedielektrikumsschicht eine High-k-Dielektrikumsschicht. Die Gateelektrode umfasst ein leitfähiges Material, wie beispielsweise Polysilizium, Aluminium (Al), Kupfer (Cu), Titan (Ti), Tantal (Ta), Wolfram (W), Molybdän (Mo), Kobalt (Co), TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, andere leitfähige Materialien oder Kombinationen davon. In einigen Implementierungen ist die Austrittsarbeitsschicht eine leitfähige Schicht, die so abgestimmt ist, dass sie eine gewünschte Austrittsarbeit aufweist (wie eine n-Austrittsarbeit oder eine p-Austrittsarbeit), und die leitfähige Bulk-Schicht ist eine leitfähige Schicht, die über der Austrittsarbeitsschicht ausgebildet ist. In einigen Implementierungen umfasst die Austrittsarbeitsschicht n-Austrittsarbeitsmaterialien, wie beispielsweise Ti, Silber (Ag), TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mangan (Mn), Zirkonium (Zr), andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. In einigen Implementierungen umfasst die Austrittsarbeitsschicht ein p-Austrittsarbeitsmaterial, wie beispielsweise TiN, TaN, Ruthenium (Ru), Mo, Al, WN, ZrSi2,, MoSi2,, TaSi2,, NiSi2,, WN, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Die leitfähige Schicht (oder Füllschicht) umfasst ein geeignetes leitfähiges Material, wie Al, W und/oder Cu. Die leitfähige Bulk-Schicht kann zusätzlich oder insgesamt Polysilizium, Ti, Ta, Metalllegierungen, andere geeignete Materialien oder Kombinationen davon umfassen. Die vorliegende Offenbarung erwägt ferner Ausführungsformen, bei denen die Gatedielektrikumsschicht, die Austrittsarbeitsschicht, die leitfähige Bulk-Schicht und/oder andere Schichten des Gatestapels 22 eine Mehrschichtstruktur aufweisen.
  • Der Gatestapel 22 wird durch Abscheidungsprozesse, Lithographieprozesse, Ätzprozesse, andere geeignete Prozesse oder Kombinationen davon ausgebildet. Die Abscheidungsprozesse umfassen CVD, physikalische Gasphasenabscheidung (PVD), ALD, hochdichte Plasma-CVD (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaunterstützte CVD (PECVD), Niederdruck-CVD (LPCVD) Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), Plattieren, andere geeignete Prozesse oder Kombinationen davon. Die Lithographie-Strukturierungsprozesse umfassen Resist-Beschichtung (zum Beispiel Rotationsbeschichtung), Weichbacken, Maskenausrichten, Belichten, Nachbelichtungsbacken, Entwickeln des Resists, Spülen, Trocknen (zum Beispiel Hartbacken), andere geeignete Prozesse oder Kombinationen davon. Alternativ wird der Lithographie-Belichtungsprozess durch andere Prozesse wie maskenlose Lithographie, Elektronenstrahlschreiben oder Ionenstrahlschreiben unterstützt, implementiert oder ersetzt. Die Ätzprozesse umfassen Trockenätzprozesse, Nassätzprozesse, andere Ätzprozesse oder Kombinationen davon. Der Gatestapel 22 wird gemäß einem Gate-Zuletzt-Prozess, einem Gate-Zuerst-Prozess oder einem hybriden Gate-Zuletzt-/Gate-Zuerst-Prozess hergestellt. Bei Gate-Zuletzt-Prozessimplementierungen umfasst die Gatestruktur 20 einen Dummy-Gatestapel, der nachfolgend durch einen Metall-Gatestapel ersetzt wird. Der Dummy-Gatestapel umfasst zum Beispiel eine Grenzflächenschicht (die zum Beispiel Siliziumoxid umfasst) und eine Dummy-Gateelektrodenschicht (die zum Beispiel Polysilizium umfasst). In solchen Implementierungen wird die Dummy-Gateelektrodenschicht entfernt, wodurch eine Öffnung (Graben) ausgebildet wird, in der der Metall-Gatestapel mit den verschiedenen oben beschriebenen Schichten ausgebildet wird.
  • Die Gatestruktur 20 umfasst ferner Gate-Abstandshalter 24, die jeweils benachbart (zum Beispiel entlang der Seitenwände) zu dem Gatestapels 22 angeordnet sind. Die Gate-Abstandshalter 24 werden durch einen beliebigen geeigneten Prozess ausgebildet und umfassen ein Dielektrikum. Das Dielektrikum kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid oder Siliziumkarbid) umfassen. Zum Beispiel kann in der gezeigten Ausführungsform eine dielektrische Schicht, die Silizium und Stickstoff umfasst, wie eine Siliziumnitridschicht, über dem Substrat 12 abgeschieden und anschließend anisotrop geätzt werden, um die Gate-Abstandshalter 24 auszubilden. In einigen Implementierungen umfassen die Gate-Abstandshalter 24 eine Mehrschichtstruktur, wie zum Beispiel eine erste dielektrische Schicht, die Siliziumnitrid umfasst, und eine zweite dielektrische Schicht, die Siliziumoxid umfasst. In einigen Implementierungen sind mehr als ein Satz von Abstandshaltern, wie beispielsweise Dichtungsabstandshalter, Versetzungs-Abstandshalter, Opferabstandshalter, Dummy-Abstandshalter und/oder Hauptabstandshalter, benachbart zu dem Gatestapel 22 ausgebildet. In solchen Implementierungen können die verschiedenen Sätze von Abstandshaltern Materialien mit unterschiedlichen Ätzraten umfassen. Zum Beispiel kann eine erste dielektrische Schicht, die Silizium und Sauerstoff (zum Beispiel Siliziumoxid) umfasst, über dem Substrat 12 abgeschieden und anschließend anisotrop geätzt werden, um einen ersten Satz von Abstandshaltern neben den Gatestrukturen 40A-40H auszubilden, und eine zweite dielektrische Schicht, die Silizium und Stickstoff umfasst (zum Beispiel Siliziumnitrid), kann über dem Substrat 12 abgeschieden und anschließend anisotrop geätzt werden, um einen zweiten Satz von Abstandshaltern benachbart zu dem ersten Satz von Abstandshaltern auszubilden. Implantations-, Diffusions- und/oder Temperprozesse können durchgeführt werden, um leicht dotierte Source- und Drain- (LDD) -Merkmale und/oder stark dotierte Source- und Drain- (HDD) -Merkmale in dem Substrat vor und/oder nach dem Ausbilden der Gate-Abstandshalter 24 auszubilden, abhängig von den Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10.
  • Epitaktische Source-Merkmale und epitaktische Drain-Merkmale (hierin als epitaktische Source/Drain-Merkmale bezeichnet) sind in Source/Drain-Bereichen des Substrats 12 angeordnet. Zum Beispiel wird ein Halbleitermaterial epitaktisch auf dem Substrat 12 gezüchtet, wodurch epitaktische Source/Drain-Merkmale 28 in einem Source-Bereich und einem Drain-Bereich des Substrats 12 ausgebildet werden. In der gezeigten Ausführungsform liegt die Gatestruktur 20 zwischen den epitaktischen Source/Drain-Merkmalen 28 und ein Kanalbereich ist in dem Substrat 12 zwischen den epitaktischen Source/Drain-Merkmalen 28 angeordnet. Die Gatestruktur 20 und die epitaktischen Source/Drain-Merkmale 28 bilden somit einen Teil eines Transistors. In einigen Implementierungen umhüllen die epitaktischen Source/Drain-Merkmale 28 Source/Drain-Bereiche einer Finnenstruktur. Ein Epitaxieprozess kann CVD-Abscheidungstechniken (zum Beispiel Gasphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder Kombinationen davon implementieren. Der Epitaxieprozess kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung des Substrats 12 interagieren. Die epitaktischen Source/Drain-Merkmale 28 sind mit n-Dotierstoffen und/oder p-Dotierstoffen dotiert. Wenn der Transistor zum Beispiel als n-Vorrichtung konfiguriert ist (zum Beispiel mit einem n-Kanal), sind die epitaktischen Source/Drain-Merkmale 28 epitaktische Schichten, die Silizium und/oder Kohlenstoff umfassen, wobei die siliziumhaltigen epitaktischen Schichten oder silizium- und kohlenstoffhaltigen epitaktischen Schichten mit Phosphor, einem anderen n-Dotierstoff oder Kombinationen davon dotiert werden (zum Beispiel durch Ausbilden einer Si:P-Epitaxieschicht oder einer Si:C:P-Epitaxieschicht). In einem weiteren Beispiel, in dem der Transistor als p-Vorrichtung (zum Beispiel mit einem p-Kanal) konfiguriert ist, sind die epitaktischen Source/Drain-Merkmale 28 epitaktische Schichten, die Silizium und Germanium umfassen, wobei die silizium- und germaniumhaltigen epitaktischen Schichten mit Bor, einem anderen p-Dotierstoff oder Kombinationen davon dotiert werden (zum Beispiel durch Ausbilden einer Si:Ge:B-Epitaxieschicht). In einigen Implementierungen umfassen die epitaktischen Source/Drain-Merkmale 28 Materialien und/oder Dotierstoffe, die die gewünschte Zugspannung und/oder Druckspannung in dem Kanalbereich erreichen. In einigen Implementierungen werden die epitaktischen Source/Drain-Merkmale 28 dotiert, indem während der Abscheidung Verunreinigungen zu einem Quellenmaterial des Epitaxieprozesses hinzugefügt werden. In einigen Implementierungen werden die epitaktischen Source/Drain-Merkmale 28 durch einen Ionenimplantationsprozess nach einem Abscheidungsprozess dotiert. In einigen Implementierungen werden Temperprozesse durchgeführt, um Dotierstoffe in den epitaktischen Source/Drain-Strukturen 28 und/oder anderen Source/Drain-Bereichen (zum Beispiel HDD-Bereichen und/oder LDD-Bereichen in dem Substrat 12 und/oder den epitaktischen Source/Drain-Strukturen 28) zu aktivieren.
  • Eine ILD-Schicht 30 ist über dem Substrat 12 angeordnet. Die ILD-Schicht 30 umfasst ein Dielektrikum, das beispielsweise Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, TEOS-Oxid, PSG, BPSG, ein Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfasst. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK™ (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In einigen Implementierungen weist die ILD-Schicht 30 eine Mehrschichtstruktur mit mehreren Dielektrika auf. In einigen Implementierungen ist eine Kontaktätzstoppschicht (CESL) zwischen der ILD-Schicht 30 und dem Substrat 12 angeordnet. Die CESL umfasst ein Material, das sich von der ILD-Schicht 30 unterscheidet, wie beispielsweise ein Dielektrikum, das sich von dem Dielektrikum der ILD-Schicht 30 unterscheidet. In der gezeigten Ausführungsform, in der die ILD-Schicht 30 ein Low-k-Dielektrikum umfasst, umfasst die CESL Silizium und Stickstoff (zum Beispiel Siliziumnitrid oder Siliziumoxynitrid). Die ILD-Schicht 30 und/oder die CESL werden über dem Substrat 12 beispielsweise durch einen Abscheidungsprozess (wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Prozesse, oder Kombinationen davon) ausgebildet. In einigen Implementierungen werden die ILD-Schicht 30 und/oder die CESL durch einen fließfähiges CVD- (FCVD) - Prozesse ausgebildet, das zum Beispiel das Abscheiden eines fließfähigen Materials (wie einer flüssigen Verbindung) über dem Substrat und das Umwandeln des fließfähigen Materials in einen Feststoff durch eine geeignete Technik, beispielsweise Wärmebehandlung und/oder Behandlung mit ultravioletter Strahlung, umfasst. Nach dem Abscheiden der ILD-Schicht 30 und/oder der CESL wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, so dass ein oberer Abschnitt der Gatestruktur 20 erreicht (freigelegt) wird. In der gezeigten Ausführungsform ist eine obere Fläche des Gatestapels 22 im Wesentlichen plan mit einer oberen Fläche der ILD-Schicht 30.
  • Bezugnehmend auf 1B wird eine ILD-Schicht 32 über der ILD-Schicht 30 ausgebildet. Die ILD-Schicht 32 ähnelt der ILD-Schicht 30. Zum Beispiel umfasst die ILD-Schicht 32 ein Dielektrikum, das zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, TEOS-Oxid, PSG, BPSG, ein Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfasst. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK™ (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In einigen Implementierungen weist die ILD-Schicht 32 eine Mehrschichtstruktur mit mehreren Dielektrika auf. In der gezeigten Ausführungsform wird eine CESL 34 über der ILD-Schicht 30 ausgebildet, bevor die ILD-Schicht 32 ausgebildet wird, so dass die CESL 34 zwischen der ILD-Schicht 30 und der ILD-Schicht 32 angeordnet ist. Die CESL 34 umfasst ein Material, das sich von der ILD-Schicht 32 unterscheidet, beispielsweise ein Dielektrikum, das sich von dem Dielektrikum der ILD-Schicht 32 unterscheidet. In der gezeigten Ausführungsform, in der die ILD-Schicht 32 ein Low-k-Dielektrikum umfasst, umfasst die CESL 34 Silizium und Stickstoff (zum Beispiel Siliziumnitrid oder Siliziumoxynitrid). Die ILD-Schicht 32 und/oder die CESL 34 werden über dem Substrat 12 beispielsweise durch einen Abscheidungsprozess (wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Prozesse, oder Kombinationen davon) ausgebildet. In einigen Implementierungen werden die ILD-Schicht 32 und/oder die CESL 34 durch einen FCVD-Prozess ausgebildet. Nach der Abscheidung der ILD-Schicht 32 und/oder der CESL 34 wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt.
  • Bezugnehmend auf 1C werden eine oder mehrere Kontaktöffnungen in der ILD-Schicht 30 und/oder der ILD-Schicht 32 (und in einigen Implementierungen der CESL 34) durch einrn Strukturierungsprozess ausgebildet, beispielsweise eine Kontaktöffnung 40, eine Kontaktöffnung 42 und eine Kontaktöffnung 44. In der gezeigten Ausführungsform erstreckt sich die Kontaktöffnung 40 vertikal durch die ILD-Schicht 32, die CESL 34 und die ILD-Schicht 30, wodurch das epitaktische Source/Drain-Merkmal 28 freigelegt wird; die Kontaktöffnung 42 erstreckt sich vertikal durch die ILD-Schicht 32 und die CESL 34, wodurch die Gatestruktur 20 (insbesondere der Gatestapel 22) freigelegt wird; und die Kontaktöffnung 44 erstreckt sich vertikal durch die ILD-Schicht 32, die CESL 34 und die ILD-Schicht 30, wodurch das epitaktische Source/Drain-Merkmal 28 freigelegt wird. Die Kontaktöffnung 40 umfasst Seitenwände 40S (definiert durch die ILD-Schicht 32, die CESL 34 und die ILD-Schicht 30) und einen Boden 40B (definiert durch das epitaktische Source/Drain-Merkmal 28), der sich zwischen den Seitenwänden 40S erstreckt. Die Kontaktöffnung 42 umfasst Seitenwände 42S (definiert durch die ILD-Schicht 32 und die CESL 34) und einen Boden 42B (definiert durch den Gatestapel 22), der sich zwischen den Seitenwänden 42S erstreckt. Die Kontaktöffnung 44 umfasst Seitenwände 44S (definiert durch die ILD-Schicht 32, die CESL 34 und die ILD-Schicht 30) und einen Boden 44B (definiert durch das epitaktische Source/Drain-Merkmal 28), der sich zwischen den Seitenwänden 44S erstreckt. In einigen Implementierungen weisen die Kontaktöffnung 40, die Kontaktöffnung 42 und/oder die Kontaktöffnung 44 eine Breite von etwa 15 nm bis etwa 20 nm und eine Tiefe (oder Höhe) von etwa 150 nm bis etwa 200 nm auf. In einigen Implementierungen sind die Kontaktöffnung 40, die Kontaktöffnung 42 und/oder die Kontaktöffnung 44 Öffnungen mit hohem Seitenverhältnis, die ein Verhältnis von Tiefe zu Breite (zum Beispiel der kritischen Abmessung der Öffnung) von etwa 10 bis etwa 30 aufweisen.
  • Der Strukturierungsprozess umfasst Lithographieprozesse und/oder Ätzprozesse. Beispielsweise umfasst das Ausbilden der Kontaktöffnungen 40-44 das Durchführen eines Lithographieprozesses zum Ausbilden einer strukturierten Resistschicht über der ILD-Schicht 32 und das Durchführen eines Ätzprozesses zum Übertragen einer in der strukturierten Resistschicht definierten Struktur auf die ILD-Schicht 32, die CESL 34 und/oder die ILD-Schicht 30. Der Lithographieprozess kann das Ausbilden einer Resistschicht auf der ILD-Schicht 32 (zum Beispiel durch Rotationsbeschichtung), das Durchführen eines Vorbelichtungs-Backprozesses, das Durchführen eines Belichtungsprozesses unter Verwendung einer Maske, das Durchführen eines Nachbelichtungs-Backprozesses und das Durchführen eines Entwicklungsprozesses umfassen. Während des Belichtungsprozesses wird die Resistschicht mit Strahlungsenergie (wie ultraviolettem (UV-) Licht, tiefem UV- (DUV) -Licht oder Extreme-UV- (EUV) -Licht) bestrahlt, wobei die Maske Strahlung auf die Resistschicht blockiert, durchlässt und/oder reflektiert, in Abhängigkeit von einer Maskenstruktur der Maske und/oder des Maskentyps (zum Beispiel einer binären Maske, einer Phasenverschiebungsmaske oder einer EUV-Maske), so dass ein Bild auf die Resistschicht projiziert wird, das der Maskenstruktur entspricht. Da die Resistschicht für Strahlungsenergie empfindlich ist, ändern sich freiliegende Abschnitte der Resistschicht chemisch, und belichtete (oder nicht belichtete) Abschnitte der Resistschicht werden während des Entwicklungsprozesses in Abhängigkeit von den Eigenschaften der Resistschicht und den Eigenschaften der Entwicklerlösung aufgelöst, die im Entwicklungsprozess verwendet wird. Nach dem Entwickeln umfasst die strukturierte Resistschicht eine Resiststruktur, die der Maske entspricht. Der Ätzprozess verwendet die strukturierte Resistschicht als Ätzmaske, um Teile der ILD-Schicht 32, der CESL 34 und/oder der ILD-Schicht 30 zu entfernen, wodurch die Gatestruktur 20 und die epitaktischen Source/Drain-Merkmale 28 freigelegt werden. Der Ätzprozess kann einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Nach dem Ätzprozess wird die strukturierte Resistschicht von der ILD-Schicht 32 beispielsweise durch einen Resist-Abziehprozess entfernt. In einigen Implementierungen wird die ILD-Schicht 32 als Ätzmaske verwendet, um die CESL 34 zu entfernen. Verschiedene selektive Ätzprozesse können durchgeführt werden, um die ILD-Schicht 32, die CESL 34 und/oder die ILD-Schicht 30 zu entfernen, wenn die Kontaktöffnungen 40-44 ausgebildet werden. Alternativ kann der Belichtungsprozess durch andere Prozesse, wie beispielsweise maskenlose Lithographie, Elektronenstrahlschreiben, Ionenstrahlschreiben und/oder Nanoprint-Technologie, implementiert oder ersetzt werden.
  • Bezugnehmend auf 1D wird ein ALD-artiger Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 an den Kontaktöffnungen 40-44 durchgeführt. In der gezeigten Ausführungsform ist der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 ein zyklischer Puls-/Spülprozess, wobei jeder ALD-artige Zyklus eine Pulsphase mit stickstoffhaltigem Plasma und eine Spülphase umfasst, wie weiter unten beschrieben wird. Der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 nitriert freiliegende Oberflächen der Kontaktöffnungen 40-44, wodurch eine oder mehrere Oberflächen, die die Kontaktöffnungen 40-44 definieren, strukturell verändert werden. Zum Beispiel reagieren während des stickstoffhaltigen Plasmapulses plasmaangeregte stickstoffhaltige Spezies 52 mit freiliegenden Oberflächen der ILD-Schicht 30, der ILD-Schicht 32, der CESL 34 und/oder leitfähigen Merkmalen (wie dem Gatestapel 22 und den epitaktischen Source/Drain-Merkmalen 28). In der gezeigten Ausführungsform adsorbiert Stickstoff an den Seitenwänden 40S-44S während des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma 50, wobei nitrierte Seitenwände 40S' der Kontaktöffnung 40, nitrierte Seitenwände 42S' der Kontaktöffnung 42 und nitrierte Seitenwände 44S' der Kontaktöffnung 44 ausgebildet werden. Bei einigen Implementierungen, bei denen die ILD-Schicht 30 und/oder die ILD-Schicht 32 Silizium und Sauerstoff (zum Beispiel Siliziumoxid (SiOx)) umfassen, reagiert Stickstoff mit Silizium und Sauerstoff, so dass die nitrierten Seitenwände 40S'-44S' Silizium, Sauerstoff und Stickstoff umfassen (zum Beispiel wird SiOx an freiliegenden Oberflächen der ILD-Schicht 30 und/oder der ILD-Schicht 32 in SiON nitriert). Die nitrierten Seitenwände 40S'-44S' verbessern signifikant die Haftung einer titan- und stickstoffhaltigen Sperrschicht, die nachfolgend in den Kontaktöffnungen 40-44 abgeschieden wird. In einigen Implementierungen reagieren die mit Plasma angeregten stickstoffhaltigen Spezies 52 mit den Böden 40B-44B der Kontaktöffnungen 40-44, so dass der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 nitrierte Böden (nicht gezeigt) erzeugt.
  • 2 ist ein Flussdiagramm eines ALD-artigen Stickstoffplasma-Vorbehandlungsprozesses 60, der als ein ALD-artiger Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 in 1D implementiert werden kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei Block 62 wird ein Werkstück in eine ALD-Kammer geladen, wobei die ALD-Kammer für einen ALD-artigen Stickstoffplasma-Vorbehandlungsprozess vorbereitet wurde. Zum Beispiel wird die integrierte Schaltungsvorrichtung 10 in eine ALD-Kammer geladen, in der die integrierte Schaltungsvorrichtung 10 auf eine gewünschte Temperatur erwärmt wird. In einigen Implementierungen beträgt eine in der ALD-Kammer aufrechterhaltene Temperatur etwa 300 °C bis etwa 400 °C. In einigen Implementierungen wird die integrierte Schaltungsvorrichtung 10 auf eine Temperatur von etwa 300 °C bis etwa 400 °C erwärmt. In einigen Implementierungen wird ein Druck in der ALD-Kammer auf etwa 67 Pa bis etwa 670 Pa (etwa 0,5 Torr bis etwa 5 Torr) eingestellt. Bei Block 64 wird das Werkstück einem stickstoffhaltigen Plasma (das als stickstoffhaltiger Plasmapuls bezeichnet wird) ausgesetzt. Das stickstoffhaltige Plasma nitriert freiliegende Oberflächen der integrierten Schaltungsvorrichtung 10. In einigen Implementierungen nitriert das stickstoffhaltige Plasma freiliegende Merkmale der integrierten Schaltungsvorrichtung 10, die ein Dielektrikum (beispielsweise ILD-Schichten) umfassen. Bei Block 66 wird ein Spülprozess durchgeführt, um jegliches verbleibendes stickstoffhaltiges Plasma und alle Nebenprodukte aus der ALD-Kammer zu entfernen. Block 64 und Block 66 bilden einen ALD-artigen Zyklus, der eine Pulsphase mit stickstoffhaltigem Plasma (Block 64) und eine Spülphase (Block 66) umfasst. Der ALD-artige Zyklus wird wiederholt, bis die gewünschte Oberflächennitrierung der Seitenwände 40S-44S und/oder der Böden 40B-44B erreicht ist, so dass die Kontaktöffnungen 40-44 durch nitrierte Seitenwände 40S'-44S' und/oder nitrierte Böden definiert sind. Wenn zum Beispiel, bei Block 68, behandelte Oberflächen der integrierten Schaltungsvorrichtung 10 konforme nitrierte Oberflächen zeigen, endet der ALD-artige Stickstoffplasma-Vorbehandlungsprozess 60 bei Block 70. Wenn ein Teil der behandelten Oberflächen der integrierten Schaltungsvorrichtung 10 nicht nitriert wurden (beispielsweise wenn die ILD-Schicht 30 und/oder die ILD-Schicht 32 Silizium und Sauerstoff umfassen und ein Teil der Seitenwände 40S, Seitenwände 42S und/oder Seitenwände 44S Silizium, Sauerstoff und Stickstoff nicht umfassen), kehrt der ALD-artige Stickstoffplasma-Vorbehandlungsprozess 60 zu Block 64 zurück, um einen weiteren ALD-artigen Zyklus zu beginnen. In einigen Implementierungen werden etwa 15 bis etwa 30 ALD-artige Zyklen durchgeführt, um Oberflächen der Kontaktöffnungen 40-44 ausreichend zu nitrieren, wodurch die Haftung einer nachfolgend abgeschiedenen titan- und stickstoffhaltigen Sperrschicht verbessert wird. Zusätzliche Schritte können vor, während und nach dem ALD-artigen Stickstoffplasma-Vorbehandlungsprozess 60 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des ALD-artigen Stickstoffplasma-Vorbehandlungsprozesses 60 verschoben, ersetzt oder eliminiert werden.
  • In der gezeigten Ausführungsform wird das stickstoffhaltige Plasma aus einem stickstoffhaltigen Gas wie zweiatomigem Stickstoff (N2) erzeugt. Der ALD-artige Stickstoffplasma-Vorbehandlungsprozess 60 kann somit als N2-Plasmavorbehandlungsprozess bezeichnet werden. In solchen Implementierungen umfasst das stickstoffhaltige Plasma angeregte neutrale stickstoffhaltige Moleküle (z. B. N2*), ionisierte stickstoffhaltige Moleküle (z. B. N2 +), stickstoffhaltige Atome (z. B. N), ionisierte Atome (N+) oder Kombinationen davon (die alle allgemein als plasmaangeregte stickstoffhaltige Spezies 52 bezeichnet werden). In einigen Implementierungen beträgt eine Strömungsrate des stickstoffhaltigen Gases (wie beispielsweise N2) etwa 1500 cm3 (sccm) bis etwa 3000 cm3 (sccm). In einigen Implementierungen beträgt eine Leistung, die verwendet wird, um das stickstoffhaltige Plasma zu erzeugen, etwa 2500 W bis etwa 3500 W. In einigen Implementierungen wird das stickstoffhaltige Plasma durch eine Hochfrequenz- (HF) -Energiequelle erzeugt, so dass die Leistung HF-Leistung ist. In einigen Implementierungen beträgt die Dauer des stickstoffhaltigen Plasmapulses etwa 5 Sekunden bis etwa 15 Sekunden. In einigen Implementierungen beträgt ein in der ALD-Kammer während des stickstoffhaltigen Plasmapulses aufrechterhaltener Druck etwa 67 Pa bis etwa 133 Pa (etwa 0,5 Torr bis etwa 1 Torr) (beispielsweise etwa 80 Pa oder 0,6 Torr). In einigen Implementierungen beträgt eine Temperatur, die während des stickstoffhaltigen Plasmapulses in der ALD-Kammer aufrechterhalten wird, etwa 300 °C bis etwa 400 °C.
  • Zur Unterstützung der gezeigten Ausführungsform kann der Spülprozess jegliches restliches stickstoffhaltiges Plasma (hier N2-Plasma) und verschiedene stickstoffhaltige Nebenprodukte wie N2O, NO, andere stickstoffhaltige Nebenprodukte oder Kombinationen davon aus der ALD-Kammer entfernen. Der Spülprozess implementiert ein Inertgas, wie beispielsweise ein argonhaltiges Gas, ein heliumhaltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon. Zum Beispiel führt der Spülprozess in der gezeigten Ausführungsform ein argonhaltiges Gas wie Ar in die ALD-Kammer ein. In einigen Implementierungen beträgt eine Strömungsrate des Inertgases etwa 1000 cm3 (sccm) bis etwa 3000 cm3 (sccm). In einigen Implementierungen beträgt die Dauer des Spülprozesses etwa 5 Sekunden bis etwa 15 Sekunden. In einigen Implementierungen ist die Dauer des Spülprozesses und des stickstoffhaltigen Plasmapulses etwa gleich (beispielsweise etwa 5 Sekunden). In einigen Implementierungen beträgt ein während des Spülprozesses in der ALD-Kammer aufrechterhaltener Druck etwa etwa 67 Pa bis etwa 670 Pa (0,5 Torr bis etwa 5 Torr). In einigen Implementierungen ist ein Druck, der während des Spülprozesses in der ALD-Kammer aufrechterhalten wird, größer als ein Druck, der während des stickstoffhaltigen Plasmapulses in der ALD-Kammer aufrechterhalten wird. Zum Beispiel beträgt ein während des Spülprozesses in der ALD-Kammer aufrechterhaltener Druck etwa 400 Pa bis etwa 530 Pa (etwa 3 Torr bis etwa 4 Torr), während ein in der ALD-Kammer während des stickstoffhaltigen Plasmapulses aufrechterhaltener Druck etwa etwa 67 Pa bis etwa 133 Pa (0,5 Torr bis etwa 1 Torr) beträgt.
  • Bezugnehmend auf 1E wird ein ALD-Prozess 70 durchgeführt, um eine Kontaktsperrschicht 72 in Kontaktöffnungen der integrierten Schaltungsvorrichtung 10, wie zum Beispiel den Kontaktöffnungen 40-44, auszubilden. Zum Beispiel scheidet der ALD-Prozess 70 die Kontaktsperrschicht 72 konform über der integrierten Schaltungsvorrichtung 10 so ab, dass die Kontaktsperrschicht 72 eine im Wesentlichen gleichförmige Dicke aufweist und teilweise die Kontaktöffnungen 40-44 ausfüllt. In der gezeigten Ausführungsform ist die Kontaktsperrschicht 72 auf den nitrierten Seitenwänden 40S'-44S' und den Böden 40B-44B der Kontaktöffnungen 40-44 angeordnet, so dass die Kontaktsperrschicht 72 auf der ILD-Schicht 30, der ILD-Schicht 32, der CESL 34 und/oder leitfähigen Merkmalen der integrierten Schaltungsvorrichtung 10 (zum Beispiel dem Gatestapel 22 und/oder den epitaktischen Source/Drain-Merkmalen 28) angeordnet ist. In einigen Implementierungen hat die Kontaktsperrschicht 72 eine Dicke von etwa 1 nm bis etwa 3 nm (etwa 10 Ä bis etwa 30 Ä). Zur Unterstützung der gezeigten Ausführungsform ist die Kontaktsperrschicht 72 eine titan- und stickstoffhaltige Schicht, beispielsweise eine TiN-Schicht. Die nitrierten Seitenwände 40S'-44S' verbessern die Haftung der Kontaktsperrschicht 72, so dass sich die Kontaktsperrschicht 72 konform und/oder durchgehend entlang der nitrierten Seitenwände 40S'-44S' und den Böden 40B-44B erstreckt. In einigen Implementierungen ist die Kontaktsperrschicht 72 frei von Hohlräumen.
  • 3 ist ein Flussdiagramm eines ALD-Prozesses 80, das als ALD-Prozess 70 in 1E implementiert sein kann, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei Block 82 wird ein Werkstück in eine ALD-Kammer geladen, wobei die ALD-Kammer für einen ALD-Prozess vorbereitet wird, um eine Kontaktsperrschicht auszubilden, beispielsweise die Kontaktsperrschicht 72. Zum Beispiel wird die integrierte Schaltungsvorrichtung 10 in eine ALD-Kammer geladen, in der die integrierte Schaltungsvorrichtung 10 auf eine gewünschte Temperatur erwärmt wird. In einigen Implementierungen beträgt eine in der ALD-Kammer aufrechterhaltene Temperatur etwa 300 °C bis etwa 400 °C. In einigen Implementierungen wird ein Druck in der ALD-Kammer auf etwa etwa 67 Pa bis etwa 670 Pa (0,5 Torr bis etwa 5 Torr) eingestellt. Bei Block 84 wird das Werkstück einem titanhaltigen Vorläufer ausgesetzt (der als titanhaltiger Puls bezeichnet werden kann). Bei Block 86 wird ein Spülprozess durchgeführt, um jeglichen verbleibenden titanhaltigen Vorläufer und jegliche Nebenprodukte aus der ALD-Kammer zu entfernen. Bei Block 88 wird das Werkstück einem stickstoffhaltigen Plasma ausgesetzt (das als stickstoffhaltiger Plasmapuls bezeichnet werden kann). Bei Block 90 wird ein Spülprozess durchgeführt, um jegliches verbleibendes stickstoffhaltiges Plasma und alle Nebenprodukte aus der ALD-Kammer zu entfernen. Die Blöcke 84-90 bilden einen ALD-Zyklus, der zwei Abscheidungsphasen (Block 84 und Block 88) und zwei Spülphasen (Block 86 und Block 90) umfasst. Jeder ALD-Zyklus ist ein selbstbegrenzender Prozess, bei dem während jedes ALD-Zyklus' etwa eine titan- und stickstoffhaltige Monoschicht oder weniger abgeschieden wird. Der ALD-Zyklus wird wiederholt, bis eine Kontaktsperrschicht, wie die Kontaktsperrschicht 72, eine gewünschte (Soll-) Dicke erreicht hat. Wenn zum Beispiel bei Block 92 eine Dicke der Kontaktsperrschicht 72 gleich einer Solldicke ist (oder innerhalb einer gegebenen Schwelle der Solldicke liegt), endet der ALD-Prozess 90 bei Block 94. Wenn die Dicke der Kontaktsperrschicht 72 nicht gleich der Solldicke ist (oder nicht innerhalb der gegebenen Schwelle der Solldicke liegt), kehrt der ALD-Prozess 80 zu Block 74 zurück, um einen weiteren ALD-Zyklus zu beginnen. In einigen Implementierungen wird der ALD-Zyklus (Blöcke 84-90) wiederholt, bis die Kontaktsperrschicht 72 eine Dicke von etwa 1 nm bis etwa 3 nm (etwa 10 Å bis etwa 30 Å) aufweist. Zusätzliche Schritte können vor, während und nach dem ALD-Prozess 80 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des ALD-Prozesses 80 verschoben, ersetzt oder eliminiert werden.
  • In der gezeigten Ausführungsform umfasst der titanhaltige Vorläufer bei Block 84 Tetrakis(dimethylamino)titan (TDMAT) (zum Beispiel (Ti[N(CH3)2]4). In solchen Implementierungen adsorbieren während des titanhaltigen Pulses Titan, Stickstoff, Kohlenstoff und/oder Wasserstoff auf den nitrierten Seitenwänden 40S'-44S' und den Böden 40B-44B, um eine Schicht auszubilden, die Titan, Stickstoff, Kohlenstoff und/oder Wasserstoff umfasst (zum Beispiel TiNCyHz). In einigen Implementierungen kann der titanhaltige Vorläufer Tetrakis(diethylamino)titan (TDEAT), Tetrakis(ethylmethylamino)titan (TEMAT), Titantetrachlorid (TiCl4) oder einen anderen geeigneten titanhaltigen Vorläufer umfassen. In einigen Implementierungen beträgt eine Flussrate des titanhaltigen Vorläufers etwa 500 cm3 (sccm) bis etwa 1000 cm3 (sccm). In einigen Implementierungen wird ein Trägergas verwendet, um den titanhaltigen Vorläufer der ALD-Kammer zuzuführen. In einigen Implementierungen ist das Trägergas ein Inertgas, wie ein argonhaltiges Gas, ein heliumhaltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon. In einigen Implementierungen beträgt die Dauer des titanhaltigen Pulses etwa 5 Sekunden bis etwa 15 Sekunden. In einigen Implementierungen beträgt ein Druck, der während der Dauer des titanhaltigen Pulses in der ALD-Kammer aufrechterhalten wird, etwa etwa 67 Pa bis etwa 670 Pa (0,5 Torr bis etwa 5 Torr). In einigen Implementierungen ist eine Temperatur, die während der Dauer des titanhaltigen Pulses in der ALD-Kammer aufrechterhalten wird, gleich einer Temperatur, die während der ALD-artigen Vorbehandlung mit stickstoffhaltigem Plasma 50 in der ALD-Kammer aufrechterhalten wird (z. B. etwa 300 °C bis etwa 400 °C).
  • Zur Unterstützung der dargestellten Ausführungsform kann der Spülprozess bei Block 86 jeden verbleibenden titanhaltigen Vorläufer und verschiedene kohlenwasserstoffhaltige Nebenprodukte, wie HN(CH3)2, H2NCH3, andere kohlenwasserstoffhaltige Nebenprodukte oder Kombinationen davon, aus der ALD-Kammer entfernen. Der Spülprozess implementiert ein Inertgas, wie beispielsweise ein argonhaltiges Gas, ein heliumhaltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon. Zum Beispiel implementiert der Spülprozess in der gezeigten Ausführungsform ein argonhaltiges Gas wie Ar. In einigen Implementierungen beträgt eine Strömungsrate des Inertgases etwa 1.500 cm3 (sccm) bis etwa 3000 cm3 (sccm). In einigen Implementierungen beträgt die Dauer des Spülprozesses etwa 5 Sekunden bis etwa 15 Sekunden. In einigen Implementierungen ist die Dauer des Spülprozesses und des titanhaltigen Pulses etwa gleich. In einigen Implementierungen beträgt ein während des Spülprozesses in der ALD-Kammer aufrechterhaltener Druck etwa etwa 133 Pa bis etwa 670 Pa (1 Torr bis etwa 5 Torr).
  • Zur Unterstützung der gezeigten Ausführungsform wird das stickstoffhaltige Plasma bei Block 88 aus einem stickstoffhaltigen Gas wie N2 erzeugt. In solchen Implementierungen umfasst das stickstoffhaltige Plasma angeregte neutrale stickstoffhaltige Moleküle (z. B. N2*), ionisierte stickstoffhaltige Moleküle (z. B. N2 +), stickstoffhaltige Atome (z. B. N), ionisierte Atome (N+) oder Kombinationen davon (die alle allgemein als plasmaangeregte stickstoffhaltige Spezies bezeichnet werden). Die plasmaangeregten stickstoffhaltigen Spezies reagieren mit der Schicht, die Titan, Stickstoff, Kohlenstoff und/oder Wasserstoff (z. B. TiNCyHz) umfasst, wodurch etwa eine Monoschicht (auch als Atomlage bezeichnet) oder weniger ausgebildet wird, die Titan und Stickstoff umfasst (beispielsweise adsorbiert Stickstoff an der Schicht, die Titan, Stickstoff, Kohlenstoff und/oder Wasserstoff umfasst, so dass TiNCyHz zu TiN nitriert wird). In einigen Implementierungen beträgt eine Strömungsrate des stickstoffhaltigen Gases (wie beispielsweise N2) etwa 1500 cm3 (sccm) bis etwa 3000 cm3 (sccm) (zum Beispiel etwa 2000 cm3 (sccm)). In einigen Implementierungen beträgt eine Leistung, die zum Erzeugen des stickstoffhaltigen Plasmas verwendet wird, etwa 2000 W bis etwa 4000 W (beispielsweise etwa 3000 W). In einigen Implementierungen wird das stickstoffhaltige Plasma durch eine HF-Leistungsquelle erzeugt, so dass die Leistung HF-Leistung ist. In einigen Implementierungen beträgt die Dauer des stickstoffhaltigen Plasmapulses etwa 5 Sekunden bis etwa 15 Sekunden. In einigen Implementierungen beträgt ein Druck, der während des stickstoffhaltigen Plasmapulses in der ALD-Kammer aufrechterhalten wird, etwa etwa 67 Pa bis etwa 133 Pa (0,5 Torr bis etwa 1 Torr). In einigen Implementierungen beträgt eine Temperatur, die während des stickstoffhaltigen Plasmapulses in der ALD-Kammer aufrechterhalten wird, etwa 300 °C bis etwa 400 °C.
  • Zur Unterstützung der gezeigten Ausführungsform kann der Spülprozess bei Block 90 jegliches verbleibendes stickstoffhaltiges Plasma (hier N2-Plasma) und verschiedene kohlenwasserstoffhaltige Nebenprodukte, wie CH2H6, CH3N, andere kohlenwasserstoffhaltige Nebenprodukte oder Kombinationen davon, aus der ALD-Kammer entfernen. Der Spülprozess implementiert ein Inertgas, wie beispielsweise ein argonhaltiges Gas, ein heliumhaltiges Gas, ein anderes geeignetes Inertgas oder Kombinationen davon. Zum Beispiel implementiert der Spülprozess in der gezeigten Ausführungsform ein argonhaltiges Gas wie Ar. In einigen Implementierungen beträgt eine Strömungsrate des Inertgases etwa 1500 cm3 (sccm) bis etwa 3000 cm3 (sccm). In einigen Implementierungen beträgt die Dauer des Spülprozesses etwa 3 Sekunden bis etwa 10 Sekunden. In einigen Implementierungen ist die Dauer des Spülprozesses und des stickstoffhaltigen Plasmapulses etwa gleich. In einigen Implementierungen beträgt ein während des Spülprozesses in der ALD-Kammer aufrechterhaltener Druck etwa etwa 400 Pa bis etwa 670 Pa (3 Torr bis etwa 5 Torr).
  • Manchmal können während der Verarbeitung freiliegende Oberflächen der integrierten Schaltungsvorrichtung 10 verändert werden, wenn sie der äußeren Umgebung ausgesetzt werden, wenn die integrierte Schaltungsvorrichtung 10 zwischen Verarbeitungssystemen und/oder Bearbeitungskammern verschoben wird. Zum Beispiel können die nitrierten Seitenwände 40S'-44S' unerwünscht oxidiert werden, wenn sie der Sauerstoffumgebung ausgesetzt werden, was die verbesserten Hafteigenschaften der nitrierten Seitenwände 40S'-44S' verschlechtern kann. Um solche Ereignisse zu minimieren, werden in einigen Implementierungen der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma 50 und der ALD-Prozess 70 „in situ“ durchgeführt, was sich allgemein auf die Durchführung des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma 50 und des ALD-Prozesses 70 auf der integrierten Schaltungsvorrichtung 10 in verschiedenen Kammern innerhalb desselben IC-Verarbeitungssystems oder IC-Bearbeitungswerkzeugs bezieht, wodurch die integrierte Schaltungsvorrichtung 10 während des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma 50 und des ALD-Prozesses 70 unter Vakuumbedingungen bleiben kann. Als solches bezieht sich „in situ“ auch allgemein auf die Durchführung eines ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma 50 und eines ALD-Prozesses 70, ohne die integrierte Schaltungsvorrichtung 10 einer externen Umgebung (zum Beispiel außerhalb eines IC-Verarbeitungssystems) auszusetzen.
  • Bezugnehmend auf 1F wird eine Kontakt-Bulk- (Füll-) Schicht 100 in Kontaktöffnungen der integrierten Schaltungsvorrichtung 10, wie den Kontaktöffnungen 40-44, durch irgendeinen geeigneten Abscheidungsprozess (zum Beispiel PVD, CVD, ALD, Elektroplattieren, stromloses Plattieren, ein anderer geeigneter Ablagerungsprozess oder Kombinationen davon) ausgebildet. Die Kontakt-Bulk-Schicht 100 füllt jegliche verbleibende Kontaktöffnungen 40-44. In der gezeigten Ausführungsform ist die Kontakt-Bulk-Schicht 100 auf der Kontaktsperrschicht 72 angeordnet. In einigen Implementierungen beträgt eine Dicke der Kontakt-Bulk-Schicht 100 etwa etwa 150 nm bis etwa 300 nm (1500 Å bis etwa 3000 Å). Zur Unterstützung der gezeigten Ausführungsform ist die Kontakt-Bulk-Schicht 100 eine kobalthaltige Bulk-Schicht, die beispielsweise durch einen PVD- oder CVD-Prozess ausgebildet wird. In einigen Implementierungen umfasst die kobalthaltige Bulk-Schicht mindestens 50% Kobalt. In einigen Implementierungen verwendet der Abscheidungsprozess zum Ausbilden der kobalthaltigen Bulk-Schicht einen kobalthaltigen Vorläufer, wie beispielsweise Cyclopentadienylcobalt-Dicarbonyl (CpCo(CO)2), Dicobalthexycarbonyl-tert-butylacetylen (CCTBA), Cobalttricarbonylnitrosyl (Co(CO)3NO), Bis(cyclopentadienyl)cobalt (Co(C5H5)2, CpCo(CO)2), Bis(ethylcyclopentadienyl)cobalt (C14H18Co), Bis(pentamethylcyclopentadienyl)cobalt (C20H30Co), Cobalttris(2,2,6,6-tetramethyl-3,5-heptandionat) (Co(OCC(CH3)3CHCOC(CH3)3)3), Bis(ethylcyclopentadienyl)cobalt (C14H18Co), einen anderen geeigneten Kobaltvorläufer oder Kombinationen davon.
  • Bezugnehmend auf 1G wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess an der integrierten Schaltungsvorrichtung 10 durchgeführt. Der CMP-Prozess entfernt die überschüssige Kontaktsperrschicht 72 und Kontakt-Bulk-Schicht 100, was zu einem Kontakt 110, einem Kontakt 112 und einem Kontakt 114 (die die Kontaktöffnung 40, die Kontaktöffnung 42 bzw. die Kontaktöffnung 44 füllen) führt. In der gezeigten Ausführungsform umfassen die Kontakte 110-114 jeweils die Kontaktsperrschicht 72, die direkt auf den nitrierten Oberflächen der ILD-Schichten (hier der jeweiligen nitrierten Seitenwände 40S'-44S') angeordnet ist, und die Kontakt-Bulk-Schicht 100, die direkt auf der Kontaktsperrschicht 72 angeordnet ist. Weil die Kontaktsperrschicht 72 durchgehend und/oder konform über Oberflächen angeordnet ist, die die Kontaktöffnungen 40-44 definieren (hier der ILD-Schicht 30, der ILD-Schicht 32, der CESL 34, dem Gatestapel 22 und/oder dem epitaktischen Source/Drain-Merkmal 28), haftet die Kontakt-Bulk-Schicht 100 besser an der Kontaktsperrschicht 72, wodurch jegliche Hohlräume in den Kontakten 110-114 beseitigt (oder wesentlich reduziert) werden. Der CMP-Prozess planarisiert eine obere Fläche der integrierten Schaltungsvorrichtung 10, so dass in einigen Implementierungen eine obere Fläche der ILD-Schicht 32, des Kontakts 110, des Kontakts 112 und des Kontakts 114 eine im Wesentlichen ebene Fläche bilden.
  • In einigen Implementierungen sind die Kontakte 110-114, die ILD-Schicht 30, die ILD-Schicht 32 und die CESL 34 Teil eines mehrschichtigen Verbindungs-(MLI) -Merkmals 120, das über dem Substrat 12 angeordnet ist. Das MLI-Merkmal 120 koppelt verschiedene Vorrichtungen (zum Beispiel Transistoren, Widerstände, Kondensatoren und/oder Induktoren) und/oder Komponenten (zum Beispiel Gatestrukturen und/oder Source/Drain-Merkmale) der integrierten Schaltungsvorrichtung 10 elektrisch so miteinander, dass die verschiedenen Vorrichtungen und/oder Komponenten so arbeiten können, wie es durch die Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10 spezifiziert ist. Das MLI-Merkmal 120 umfasst eine Kombination aus dielektrischen Schichten (einschließlich zum Beispiel der ILD-Schicht 30, der ILD-Schicht 32 und der CESL 34) und leitfähigen Schichten (einschließlich zum Beispiel der Kontakte 110-114), die zum Ausbilden verschiedener Verbindungsstrukturen konfiguriert sind. Die leitfähigen Schichten sind so konfiguriert, dass sie vertikale Verbindungsmerkmale, wie beispielsweise Kontakte auf Vorrichtungsebene und/oder Durchkontaktierungen, und/oder horizontale Verbindungsmerkmale wie beispielsweise Leiterbahnen bilden. Vertikale Verbindungsmerkmale verbinden typischerweise horizontale Verbindungsmerkmale in unterschiedlichen Schichten (oder unterschiedlichen Ebenen) des MLI-Merkmals 120. Während des Betriebs der integrierten Schaltungsvorrichtung 10 sind die Verbindungsstrukturen so konfiguriert, dass sie Signale zwischen den Vorrichtungen und/oder den Komponenten der integrierten Schaltungsvorrichtung 10 leiten und/oder Signale zu den Vorrichtungen und/oder den Komponenten der integrierten Schaltungsvorrichtung 10 (beispielsweise Taktsignale, Spannungssignale und/oder Massesignale) verteilen.
  • Bezugnehmend auf 1H kann die Herstellung mit dem Ausbilden zusätzlicher Merkmale des MLI-Merkmals 120 fortfahren. Zum Beispiel werden eine oder mehrere dielektrische Schichten, wie eine ILD-Schicht 130 und eine ILD-Schicht 132, über der ILD-Schicht 32 ausgebildet. In der gezeigten Ausführungsform ist die ILD-Schicht 130 über der ILD-Schicht 32 (und den Kontakten 110-114) angeordnet und die ILD-Schicht 132 ist über der ILD-Schicht 130 angeordnet. Die ILD-Schicht 130 und die ILD-Schicht 132 ähneln der ILD-Schicht 30 und der ILD-Schicht 32. Zum Beispiel umfassen die ILD-Schicht 130 und die ILD-Schicht 132 ein Dielektrikum, das zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, TEOS-Oxid, PSG, BPSG, ein Low-k-Dielektrikum, ein anderes geeignetes Dielektrikum oder Kombinationen davon umfasst. Beispielhafte Low-k-Dielektrika umfassen FSG, kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, BCB, SiLK™ (Dow Chemical, Midland, Michigan), Polyimid, ein anderes Low-k-Dielektrikum oder Kombinationen davon. In der gezeigten Ausführungsform sind die ILD-Schicht 130 und die ILD-Schicht 132 dielektrische Schichten, die ein Low-k-Dielektrikum umfassen. Die ILD-Schicht 130 und/oder die ILD-Schicht 132 können eine Mehrschichtstruktur mit mehreren Dielektrika umfassen. Eine oder mehrere CESLs können auch über dem Substrat 12 ausgebildet werden, wie beispielsweise eine CESL 134, die zwischen der ILD-Schicht 32 und der ILD-Schicht 130 angeordnet ist, und eine CESL 136, die zwischen der ILD-Schicht 130 und der ILD-Schicht 132 angeordnet ist. Die CESL 134 und/oder die CESL 136 umfassen ein Material, das sich von dem der ILD-Schicht 130 und/oder der ILD-Schicht 132 unterscheidet, beispielsweise ein Dielektrikum, das sich von dem Dielektrikum der ILD-Schicht 130 und/oder der ILD-Schicht 132 unterscheidet. In der gezeigten Ausführungsform, bei der die ILD-Schicht 130 und die ILD-Schicht 132 ein Low-k-Dielektrikum umfassen, umfassen die CESL 134 und die CESL 136 Silizium und Stickstoff (zum Beispiel Siliziumnitrid oder Siliziumoxinitrid). Die ILD-Schicht 130, die ILD-Schicht 132, die CESL 134 und/oder die CESL 136 werden über dem Substrat 12 beispielsweise durch einen Abscheidungsprozess (wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattieren, andere geeignete Prozesse oder Kombinationen davon) ausgebildet. In einigen Implementierungen werden die ILD-Schicht 130, die ILD-Schicht 132, die CESL 134 und/oder die CESL 136 durch einen FCVD-Prozess ausgebildet. Im Anschluss an die Abscheidung der ILD-Schicht 130, der ILD-Schicht 132, der CESL 134 und/oder der CESL 136 wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, so dass die ILD-Schicht 130, die ILD-Schicht 132, die CESL 134 und/oder die CESL 136 im Wesentlichen ebene Oberflächen aufweisen.
  • Verschiedene leitfähige Merkmale werden auch in der einen oder den mehreren dielektrischen Schichten ausgebildet, wie beispielsweise eine Durchkontaktierung 140, eine Durchkontaktierung 142, eine Durchkontaktierung 144, eine Leiterbahn 150, eine Leiterbahn 152 und eine Leiterbahn 154. Die Durchkontaktierungen 140-144 verbinden leitfähige Merkmale des MLI-Merkmals 120 elektrisch und/oder physisch miteinander. Zum Beispiel ist die Durchkontaktierung 140 auf dem Kontakt 110 angeordnet, so dass die Durchkontaktierung 140 den Kontakt 110 mit der Leiterbahn 150 verbindet; die Durchkontaktierung 142 ist auf dem Kontakt 112 angeordnet, so dass die Durchkontaktierung 142 den Kontakt 112 mit der Leiterbahn 152 verbindet; und die Durchkontaktierung 144 ist auf dem Kontakt 114 angeordnet, so dass die Durchkontaktierung 144 den Kontakt 114 mit der Leiterbahn 154 verbindet. In der gezeigten Ausführungsform erstrecken sich die Durchkontaktierungen 140-144 durch die ILD-Schicht 130 und die CESL 134 und die Leiterbahnen 150-154 erstrecken sich durch die ILD-Schicht 132 und die CESL 136, obwohl die vorliegende Offenbarung Ausführungsformen erwägt, bei denen die Kontakte 140-144 und/oder die Leiterbahnen 150-154 sich durch mehr als eine ILD-Schicht und/oder CESL des MLI-Merkmals 120 erstrecken. In einigen Implementierungen werden die Kontakte 110-112 als Kontakte auf Vorrichtungsebene (auch als lokale Verbindungen oder lokale Kontakte bezeichnet) bezeichnet, die IC-Vorrichtungsmerkmale elektrisch und/oder physisch mit anderen leitfähigen Merkmalen eines MLI-Merkmals 120 verbinden. Zum Beispiel ist der Kontakt 112 ein Metall-Poly- (MP) -Kontakt, was sich allgemein auf einen Kontakt mit einer Gatestruktur bezieht, wie beispielsweise einer Poly-Gatestruktur oder einer Metall-Gatestruktur. In der gezeigten Ausführungsform ist der Kontakt 112 auf der Gatestruktur 20 (insbesondere dem Gatestapel 22) angeordnet, so dass der Kontakt 112 die Gatestruktur 20 mit der Durchkontaktierung 142 verbindet. Zur Unterstützung des Beispiels sind der Kontakt 110 und der Kontakt 114 Metall-Vorrichtungs- (MD) - Kontakte, was sich allgemein auf Kontakte zu einem leitfähigen Bereich der integrierten Schaltungsvorrichtung 10 bezieht, beispielsweise den Source/Drain-Bereichen. In der gezeigten Ausführungsform sind der Kontakt 110 und der Kontakt 114 auf jeweiligen epitaktischen Source/Drain-Merkmalen 28 angeordnet, so dass der Kontakt 110 und der Kontakt 114 die epitaktischen Source/Drain-Merkmale 28 jeweils mit der Durchkontaktierung 140 bzw. der Durchkontaktierung 144 verbinden.
  • Die Durchkontaktierungen 140-144 (auch als vertikale Verbindungsmerkmale bezeichnet) und Leiterbahnen 150-154 (auch als horizontale Verbindungsmerkmale bezeichnet) umfassen ein beliebiges geeignetes leitfähiges Material, wie Ta, Ti, Al, Cu, Co, TaN, TiN, TaN und/oder andere geeignete leitfähige Materialien. Die Durchkontaktierungen 140-144 und die Leiterbahnen 150-154 werden durch Strukturieren der ILD-Schicht 130, der ILD-Schicht 132, der CESL 134 und/oder der CESL 136 ausgebildet. Die Strukturierung der ILD-Schicht 130, der ILD-Schicht 132, der CESL 134 und/oder der CESL 136 kann Lithographieprozesse und/oder Ätzprozesse zur Ausbildung von Öffnungen wie Kontaktöffnungen und/oder Leitungsöffnungen in der jeweiligen ILD-Schicht 130, ILD-Schicht 132, CESL 134 und/oder CESL 136 umfassen. In einigen Implementierungen umfassen die Lithographieprozesse das Ausbilden einer Resistschicht über der jeweiligen ILD-Schicht 130, ILD-Schicht 132, CESL 134 und/oder CESL 136, das Belichten der Resistschicht mit strukturierter Strahlung und das Entwickeln der belichteten Resistschicht, wodurch eine strukturierte Resistschicht ausgebildet wird, die als Maskierungselement zum Ätzen von einer oder mehreren Öffnungen in der jeweiligen ILD-Schicht 130, ILD-Schicht 132, CESL 134 und/oder CESL 136 verwendet werden kann. Die Ätzprozesse umfassen Trockenätzprozesse, Nassätzprozesse, andere Ätzprozesse oder Kombinationen davon. Danach werden die eine oder mehreren Öffnungen mit einem oder mehreren leitfähigen Materialien gefüllt. Das eine oder die mehreren leitfähigen Materialien können durch PVD, CVD, ALD, Elektroplattieren, stromloses Plattieren, einen anderen geeigneten Abscheidungsprozess oder Kombinationen davon abgeschieden werden. Danach kann überschüssiges leitfähiges Material durch einen Planarisierungsprozess wie beispielsweise ein CMP-Prozess entfernt werden, wodurch eine obere Fläche der ILD-Schicht 130, der ILD-Schicht 132, der CESL 134 und/oder der CESL 136, der Durchkontaktierungen 140-144 und/oder der Leiterbahnen 150-154 planarisiert wird. In einigen Implementierungen umfassen die Durchkontaktierungen 140-144 und/oder die Leiterbahnen 150-154 ähnliche Materialien und/oder ähnliche Schichten wie die Kontakte 110-114. Zum Beispiel umfassen in einigen Implementierungen die Durchkontaktierungen 140-144 und/oder die Leiterbahnen 150-154 eine Kontaktsperrschicht, wie beispielsweise die Kontaktsperrschicht 72, und eine Kontakt-Bulk-Schicht, wobei die Kontaktsperrschicht auf nitrierten Oberflächen des MLI-Merkmals angeordnet ist. Es ist anzumerken, dass, obwohl das MLI-Merkmal 120 mit einer gegebenen Anzahl von dielektrischen Schichten und leitfähigen Schichten gezeigt ist, die vorliegende Offenbarung ein MLI-Merkmal 120 mit mehr oder weniger dielektrischen Schichten und/oder leitfähigen Schichten in Abhängigkeit von Entwurfsanforderungen der integrierten Schaltungsvorrichtung 10 in Betracht zieht.
  • 4 ist ein Flussdiagramm eines Prozesses 200 zum Herstellen eines Kontakts einer Verbindungsstruktur, wie beispielsweise der Kontakte 110-114 in den 1A-1H, gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei Block 210 umfasst der Prozess 200 das Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht. Die Kontaktöffnung hat Seitenwände, die durch die dielektrische Schicht definiert sind, und einen Boden, der durch ein leitfähiges Merkmal definiert ist. Bei Block 220 wird ein ALD-artiger Vorbehandlungsprozess mit stickstoffhaltigem Plasma an den Seitenwänden der Kontaktöffnung durchgeführt. In einigen Implementierungen wird der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma am Boden der Kontaktöffnung durchgeführt. Bei Block 230 wird ein ALD-Prozess durchgeführt, um eine titan- und stickstoffhaltige Sperrschicht über den Seitenwänden und dem Boden der Kontaktöffnung auszubilden. In einigen Implementierungen ist die titan- und stickstoffhaltige Sperrschicht (über den ALD-artigen Vorbehandlungsprozess mit stickstoffhaltigem Plasma) direkt auf behandelten Oberflächen der dielektrischen Schicht angeordnet, die die Kontaktöffnung definieren. Bei Block 240 wird eine kobalthaltige Bulk-Schicht über der titan- und stickstoffhaltigen Sperrschicht ausgebildet, so dass die titan- und stickstoffhaltige Sperrschicht und die kobalthaltige Bulk-Schicht die Kontaktöffnung füllen. In einigen Implementierungen ist die kobalthaltige Bulk-Schicht direkt auf der titan- und stickstoffhaltigen Sperrschicht angeordnet. Zusätzliche Schritte können vor, während und nach dem Verfahren 200 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens 200 verschoben, ersetzt oder beseitigt werden.
  • Die vorliegende Offenbarung sieht viele verschiedene Ausführungsformen vor. Verbindungsstrukturen und entsprechende Techniken zum Ausbilden der Verbindungsstrukturen werden hierin offenbart. Ein beispielhaftes Verfahren umfasst das Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht. Die Kontaktöffnung hat Seitenwände, die durch die dielektrische Schicht definiert sind, und einen Boden, der durch ein leitfähiges Merkmal definiert ist. Das Verfahren umfasst ferner das Durchführen eines ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma an den Seitenwänden (und in einigen Implementierungen dem Boden) der Kontaktöffnung. Das Verfahren umfasst ferner das Durchführen eines ALD-Prozesses zur Ausbildung einer titan- und stickstoffhaltigen Sperrschicht über den Seitenwänden und dem Boden der Kontaktöffnung. Das Verfahren umfasst ferner das Ausbilden einer kobalthaltigen Bulk-Schicht über der titan- und stickstoffhaltigen Sperrschicht. Die titan- und stickstoffhaltige Sperrschicht und die kobalthaltige Bulk-Schicht füllen die Kontaktöffnung. In einigen Implementierungen werden der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma und der ALD-Prozess in situ durchgeführt. In einigen Implementierungen werden der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma und der ALD-Prozess bei im Wesentlichen der gleichen Temperatur durchgeführt.
  • In einigen Implementierungen umfasst ein Zyklus des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma eine Pulsphase mit stickstoffhaltigem Plasma und eine Spülphase. In einigen Implementierungen wird der Zyklus des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma etwa fünfzehn Mal bis etwa dreißig Mal durchgeführt. In einigen Implementierungen umfasst ein Zyklus des ALD-Prozesses eine Pulsphase mit Titan, eine erste Spülphase, eine Pulsphase mit stickstoffhaltigem Plasma und eine zweite Spülphase. In einigen Implementierungen umfasst die Pulsphase mit Titan das Aussetzen der Seitenwände und des Bodens der Kontaktöffnung gegenüber einem titan- und stickstoffhaltigen Vorläufer. In einigen Implementierungen wird der Zyklus des ALD-Prozesses wiederholt, bis eine Dicke der titan- und stickstoffhaltigen Sperrschicht eine Solldicke erreicht.
  • Ein weiteres beispielhaftes Verfahren umfasst das Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht. Das Verfahren umfasst ferner das Durchführen von mindestens einem ersten Zyklus eines ersten stickstoffhaltigen Plasmapulses und einer ersten Spülung, wodurch Oberflächen der dielektrischen Schicht nitriert werden, die die Kontaktöffnung definieren. Das Verfahren umfasst ferner das Durchführen von mindestens einem zweiten Zyklus eines titanhaltigen Pulses, einer zweiten Spülung, eines zweiten stickstoffhaltigen Plasmapulses und einer dritten Spülung, wodurch eine Titannitridschicht auf den nitrierten Oberflächen der dielektrischen Schicht ausgebildet wird, die die Kontaktöffnung definiert.Das Verfahren umfasst ferner das Ausbilden einer Kobaltschicht auf der Titannitridschicht. In einigen Implementierungen werden der erste Zyklus und der zweite Zyklus bei etwa der gleichen Temperatur durchgeführt. In einigen Implementierungen werden der erste Zyklus und der zweite Zyklus ohne Unterbrechung des Vakuums durchgeführt, wobei ferner der erste Zyklus in einer ersten ALD-Kammer und der zweite Zyklus in einer zweiten ALD-Kammer durchgeführt wird, die sich von der ersten ALD-Kammer unterscheidet.
  • In einigen Implementierungen erzeugen der erste stickstoffhaltige Plasmapuls und der zweite stickstoffhaltige Plasmapuls zweiatomiges Stickstoff- (N2) - Plasma. In einigen Implementierungen entfernt die erste Spülung verbleibendes N2-Plasma und stickstoffhaltige Nebenprodukte und die zweite Spülung entfernt verbleibendes N2-Plasma und kohlenwasserstoffhaltige Nebenprodukte. In einigen Implementierungen ist ein Druck, der während des ersten stickstoffhaltigen Plasmapulses in einer ALD-Kammer aufrechterhalten wird, geringer als ein Druck, der während der ersten Spülung in der ALD-Kammer aufrechterhalten wird. In einigen Implementierungen wandelt der erste stickstoffhaltige Plasmapuls SiOx zu SiON um und der zweite stickstoffhaltige Plasmapuls wandelt TiNCyHz in TiN um. In einigen Implementierungen setzt der titanhaltige Puls die nitrierten Oberflächen der dielektrischen Schicht Tetrakis(dimethylamino)titan (TDMAT) aus. In einigen Implementierungen wird der zweite Zyklus durchgeführt, bis eine Dicke der Titannitridschicht etwa etwa 1 nm bis etwa 3 nm (10 Å bis etwa 30 Å) beträgt.
  • Eine beispielhafte integrierte Schaltungsvorrichtung umfasst ein leitfähiges Merkmal, eine dielektrische Schicht, die über dem leitfähigen Merkmal angeordnet ist, und einen Kontakt, der in der dielektrischen Schicht angeordnet ist. Der Kontakt ist physisch mit dem leitfähigen Merkmal verbunden. Der Kontakt umfasst eine titan- und stickstoffhaltige Sperrschicht, die auf nitrierten Oberflächen der dielektrischen Schicht und einer Oberfläche des leitfähigen Merkmals angeordnet ist. Der Kontakt umfasst ferner eine kobalthaltige Bulk-Schicht, die auf der titan- und stickstoffhaltigen Sperrschicht angeordnet ist. In einigen Implementierungen umfasst die dielektrische Schicht Silizium und Sauerstoff und die nitrierten Oberflächen der dielektrischen Schicht umfassen Silizium, Sauerstoff und Stickstoff. In einigen Implementierungen ist das leitfähige Merkmal eine Gatestruktur, ein Source/Drain-Merkmal oder ein Kontaktmerkmal.

Claims (16)

  1. Verfahren, umfassend: Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht, wobei die Kontaktöffnung Seitenwände, die durch die dielektrische Schicht definiert sind, und einen Boden aufweist, der durch ein leitfähiges Merkmal definiert ist; Durchführen eines ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma an den Seitenwänden der Kontaktöffnung; Durchführen eines ALD-Prozesses, um eine titan- und stickstoffhaltige Sperrschicht über den Seitenwänden und dem Boden der Kontaktöffnung auszubilden; und Ausbilden einer kobalthaltigen Bulk-Schicht über der titan- und stickstoffhaltigen Sperrschicht, wobei die titan- und stickstoffhaltige Sperrschicht und die kobalthaltige Bulk-Schicht die Kontaktöffnung ausfüllen, wobei ein Zyklus des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma umfasst: eine Pulsphase mit stickstoffhaltigem Plasma; und eine Spülphase.
  2. Verfahren nach Anspruch 1, wobei der Zyklus des ALD-artigen Vorbehandlungsprozesses mit stickstoffhaltigem Plasma etwa fünfzehn bis etwa dreißig Mal durchgeführt wird.
  3. Verfahren nach einem der vorhergehenden Ansprüche, wobei ein Zyklus des ALD-Prozesses umfasst: eine Pulsphase mit Titan; eine erste Spülphase; eine Pulsphase mit stickstoffhaltigem Plasma; und eine zweite Spülphase.
  4. Verfahren nach Anspruch 3 oder 4, wobei die Pulsphase mit Titan das Aussetzen der Seitenwände und des Bodens der Kontaktöffnung mit einem titan- und stickstoffhaltigen Vorläufer umfasst.
  5. Verfahren nach Anspruch 3, wobei der Zyklus des ALD-Prozesses wiederholt wird, bis eine Dicke der titan- und stickstoffhaltigen Sperrschicht eine Solldicke erreicht.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma und den ALD-Prozess in situ durchgeführt werden.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei der ALD-artige Vorbehandlungsprozess mit stickstoffhaltigem Plasma und den ALD-Prozess bei im Wesentlichen der gleichen Temperatur durchgeführt werden.
  8. Verfahren, umfassend: Ausbilden einer Kontaktöffnung in einer dielektrischen Schicht; Durchführen von mindestens einem ersten Zyklus eines ersten stickstoffhaltigen Plasmapulses und einer ersten Spülung, wodurch Oberflächen der dielektrischen Schicht nitriert werden, die die Kontaktöffnung definieren; Durchführen mindestens eines zweiten Zyklus eines titanhaltigen Pulses, eines zweiten Spülens, eines zweiten stickstoffhaltigen Plasmapulses und eines dritten Spülens, wodurch eine Titannitridschicht auf den nitrierten Oberflächen der dielektrischen Schicht ausgebildet wird, die die Kontaktöffnung definieren; und Ausbilden einer Kobaltschicht auf der Titannitridschicht.
  9. Verfahren nach Anspruch 8, wobei der erste stickstoffhaltige Plasmapuls und der zweite stickstoffhaltige Plasmapuls zweiatomiges Stickstoff- (N2) -Plasma erzeugen.
  10. Verfahren nach Anspruch 8 oder 9, wobei: die erste Spülung verbleibendes N2-Plasma und stickstoffhaltige Nebenprodukte entfernt; und die zweite Spülung verbleibendes N2-Plasma und kohlenwasserstoffhaltige Nebenprodukte entfernt.
  11. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 10, wobei ein Druck, der während des ersten stickstoffhaltigen Plasmapulses in einer Atomlagenabscheidungs- (ALD) -Kammer aufrechterhalten wird, geringer ist als ein Druck, der während der ersten Spülung in der ALD-Kammer aufrechterhalten wird.
  12. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 11, wobei: der erste stickstoffhaltige Plasmapuls SiOx in SiON umwandelt; und der zweite stickstoffhaltige Plasmapuls TiNCyHz in TiN umwandelt.
  13. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 12, wobei der titanhaltige Puls die nitrierten Oberflächen der dielektrischen Schicht Tetrakis(dimethylamino)titan (TDMAT) aussetzt.
  14. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 13, wobei der zweite Zyklus durchgeführt wird, bis eine Dicke der Titannitridschicht etwa 1 nm bis etwa 3 nm beträgt.
  15. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 14, wobei der erste Zyklus und der zweite Zyklus bei etwa der gleichen Temperatur durchgeführt werden.
  16. Verfahren nach einem der vorhergehenden Ansprüche 8 bis 15, wobei der erste Zyklus und der zweite Zyklus ohne Unterbrechung des Vakuums ausgeführt werden und wobei ferner der erste Zyklus in einer ersten ALD-Kammer und der zweite Zyklus in einer zweiten ALD-Kammer ausgeführt werden, die sich von der ersten ALD-Kammer unterscheidet.
DE102018100058.8A 2017-11-16 2018-01-03 Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht Active DE102018100058B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/815,059 2017-11-16
US15/815,059 US10170322B1 (en) 2017-11-16 2017-11-16 Atomic layer deposition based process for contact barrier layer

Publications (2)

Publication Number Publication Date
DE102018100058A1 DE102018100058A1 (de) 2019-05-16
DE102018100058B4 true DE102018100058B4 (de) 2021-08-05

Family

ID=64739716

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018100058.8A Active DE102018100058B4 (de) 2017-11-16 2018-01-03 Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht

Country Status (5)

Country Link
US (2) US10170322B1 (de)
KR (1) KR102043914B1 (de)
CN (1) CN109801873B (de)
DE (1) DE102018100058B4 (de)
TW (1) TWI659480B (de)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978337B2 (en) 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
CN109841566B (zh) * 2019-01-30 2021-12-17 长江存储科技有限责任公司 半导体结构的形成方法及半导体结构
US11043594B2 (en) * 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
TWI701789B (zh) * 2019-07-23 2020-08-11 力晶積成電子製造股份有限公司 半導體結構及其製造方法
CN112420595A (zh) * 2019-08-23 2021-02-26 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11251118B2 (en) 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11276637B2 (en) 2019-09-17 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier-free interconnect structure and manufacturing method thereof
KR20210036113A (ko) 2019-09-25 2021-04-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11036911B2 (en) 2019-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Charging prevention method and structure
US11264274B2 (en) * 2019-09-27 2022-03-01 Tokyo Electron Limited Reverse contact and silicide process for three-dimensional logic devices
US11127684B2 (en) 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures
US11264273B2 (en) * 2020-01-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Electron migration control in interconnect structures
US11417611B2 (en) * 2020-02-25 2022-08-16 Analog Devices International Unlimited Company Devices and methods for reducing stress on circuit components
US11450609B2 (en) 2020-05-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Electro-migration reduction
US11264326B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact via formation
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11742210B2 (en) 2020-06-29 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition window enlargement
US11817491B2 (en) 2020-07-21 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an air gap along a gate spacer
US11387331B2 (en) 2020-07-22 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11652149B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Common rail contact
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
KR20220031799A (ko) * 2020-09-03 2022-03-14 삼성전자주식회사 반도체 소자
US11710657B2 (en) * 2020-09-29 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure having air gap and method of fabrication thereof
US20220223422A1 (en) * 2021-01-14 2022-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface Oxidation Control of Metal Gates Using Capping Layer
US20220238373A1 (en) * 2021-01-27 2022-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Gate contact structure
US11658215B2 (en) 2021-02-19 2023-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact structures

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030064607A1 (en) 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101044366B1 (ko) * 2002-06-12 2011-06-29 어플라이드 머티어리얼스, 인코포레이티드 기판을 처리하기 위한 플라즈마 방법 및 장치
US6787453B2 (en) 2002-12-23 2004-09-07 Intel Corporation Barrier film integrity on porous low k dielectrics by application of a hydrocarbon plasma treatment
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
EP1851794A1 (de) 2005-02-22 2007-11-07 ASM America, Inc. Plasma-vorbehandlung von oberflächen zur ablage einer atomschicht
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
KR101541779B1 (ko) * 2009-01-16 2015-08-05 삼성전자주식회사 반도체 소자 및 이의 제조방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8890264B2 (en) * 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104112734B (zh) * 2013-04-18 2017-02-15 中芯国际集成电路制造(上海)有限公司 双嵌套铜互连结构及其制作方法
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR101661932B1 (ko) 2014-09-25 2016-10-05 삼성중공업 주식회사 극저온열환경 조건에서의 도료 성능평가 장치
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9905459B1 (en) * 2016-09-01 2018-02-27 International Business Machines Corporation Neutral atom beam nitridation for copper interconnect

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030064607A1 (en) 2001-09-29 2003-04-03 Jihperng Leu Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics

Also Published As

Publication number Publication date
TWI659480B (zh) 2019-05-11
US20190148153A1 (en) 2019-05-16
KR20190056270A (ko) 2019-05-24
DE102018100058A1 (de) 2019-05-16
CN109801873B (zh) 2021-03-16
KR102043914B1 (ko) 2019-11-12
US10679859B2 (en) 2020-06-09
US10170322B1 (en) 2019-01-01
TW201923917A (zh) 2019-06-16
CN109801873A (zh) 2019-05-24

Similar Documents

Publication Publication Date Title
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
US10818543B2 (en) Source/drain contact spacers and methods of forming same
DE102019116996A1 (de) Halbleiterstruktur mit luftspalt und verfahren zum abdichten des luftspalts
DE102017127095B4 (de) Gesteuerte luftspalte unter kontaktmerkmalen zwischen finnen von finfets
US10923393B2 (en) Contacts and interconnect structures in field-effect transistors
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
US11211283B2 (en) Method for forming a bulk semiconductor substrate configured to exhibit soi behavior
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102020101271A1 (de) Bottom-up-Bildung von Kontaktsteckern
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
US20230387300A1 (en) Devices with strained isolation features
DE102021104073A1 (de) Selbstausgerichtetes metall-gate für multigate-vorrichtung
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
CN106158932A (zh) 具有TaAlCN层的金属栅极堆叠件
US20230119813A1 (en) Source/drain epi structure for improving contact quality
DE102020128037A1 (de) Stickstoffplasmabehandlung zur verbesserung der grenzfläche zwischen einer ätzstoppschicht und einem kupfer-interconnect
DE102021103461A1 (de) Gate-isolation für multigate-vorrichtung
DE102018124812A1 (de) Gate-dielektrium wahrender gate-schneidprozess
US20230137528A1 (en) Multigate Device Structure with Stepwise Isolation Features and Method Making the Same
DE102020121496A1 (de) Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen
DE102023100726A1 (de) Isolation für multigate-vorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final