DE102020101271A1 - Bottom-up-Bildung von Kontaktsteckern - Google Patents

Bottom-up-Bildung von Kontaktsteckern Download PDF

Info

Publication number
DE102020101271A1
DE102020101271A1 DE102020101271.3A DE102020101271A DE102020101271A1 DE 102020101271 A1 DE102020101271 A1 DE 102020101271A1 DE 102020101271 A DE102020101271 A DE 102020101271A DE 102020101271 A1 DE102020101271 A1 DE 102020101271A1
Authority
DE
Germany
Prior art keywords
layer
metal
metal nitride
silicon
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020101271.3A
Other languages
English (en)
Other versions
DE102020101271B4 (de
Inventor
Yen-Yu Chen
Chung-Liang Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020101271A1 publication Critical patent/DE102020101271A1/de
Application granted granted Critical
Publication of DE102020101271B4 publication Critical patent/DE102020101271B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Ein Verfahren umfasst das Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht, das Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt, das Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines Abschnitts der Metallschicht in eine Metallnitridschicht, das Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht, das Entfernen der Metalloxynitridschicht, und das Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/903,424 , eingereicht am 20. September 2019, mit dem Titel „Bottom-up Formation of Contact Plugs“, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.
  • ALLGEMEINER STAND DER TECHNIK
  • Bei der Herstellung von integrierten Schaltungen werden /Source-/Drain-Kontaktstecker zum Verbinden mit den Source- und Drain-Regionen und den Gates von Transistoren verwendet. Die Source-/Drain-Kontaktstecker sind typischerweise mit Source-/Drain-Silizidregionen verbunden, deren Bildungsprozess das Bilden von Kontaktöffnungen in einem Zwischenschichtdielektrikum, das Abscheiden einer Metallschicht, die sich in die Kontaktöffnungen hinein erstreckt, und dann das Durchführen eines Temperns, um die Metallschicht mit dem Silizium/Germanium der Source-/Drain-Regionen reagieren zu lassen, umfasst. Die Source-/Drain-Kontaktstecker werden dann in den verbleibenden Kontaktöffnungen gebildet.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erörterung willkürlich vergrößert oder verkleinert sein.
    • 1-6, 7A, 7B, 8-11, 12A, 12B und 13-22 veranschaulichen die perspektivischen Ansichten und Querschnittsansichten von Zwischenstufen bei dem Bilden eines Transistors und der jeweiligen Kontaktstecker gemäß einigen Ausführungsformen.
    • 23 veranschaulicht ein Produktionswerkzeug zum Bilden von Kontaktsteckern gemäß einigen Ausführungsformen.
    • 24 veranschaulicht einen Prozessfluss zum Bilden eines Transistors und der jeweiligen Kontaktstecker gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „darüberliegend“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Fig. veranschaulicht, verwendet werden. Die räumlich bezogenen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Fig. dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein und die räumlich bezogenen Deskriptoren, die hierin verwendet werden, können dementsprechend gleichermaßen interpretiert werden.
  • Ein Transistor und das Verfahren zum Bilden davon werden gemäß einigen Ausführungsformen bereitgestellt. Die Zwischenstufen bei dem Bilden des Transistors und der entsprechenden Kontaktstecker sind gemäß einigen Ausführungsformen veranschaulicht. Die Zwischenstufen des Bildens der Transistoren und der Durchkontaktierungen sind gemäß einigen Ausführungsformen veranschaulicht. Es werden einige Variationen einiger Ausführungsformen erörtert. In sämtlichen verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. In den veranschaulichten Ausführungsformen wird das Bilden von Finnen-Feldeffekttransistoren (FinFETs) als ein Beispiel zum Erläutern des Konzepts der vorliegenden Offenbarung verwendet. Andere Arten von Transistoren, wie etwa Nanodraht-Transistoren, Nanoschicht-Transistoren, planare Transistoren, Gate-All-Around(GAA)-Transistoren und dergleichen, können auch das Konzept der vorliegenden Offenbarung aufnehmen. Ferner kann das Verfahren bei anderen Verschaltungsstrukturen, wie etwa Durchkontaktierungen, Metallleitungen oder dergleichen, angewendet werden. Wenngleich Verfahrensausführungsformen derart erörtert werden können, dass sie in einer konkreten Reihenfolge durchgeführt werden, können andere Verfahrensausführungsformen in einer beliebigen logischen Reihenfolge durchgeführt werden.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind ein Source-/Drain-Kontaktstecker und ein Gate-Kontaktstecker jeweils über einer Source-/Drain-Region und einer Gate-Elektrode eines Transistors gebildet und berühren diese. Die Bildungsprozesse der Kontaktstecker umfassen das Abscheiden einer Metallschicht, das Nitridieren eines Oberflächenabschnitts der Metallschicht zum Bilden einer Metallnitridschicht und das Durchführen eines Temperprozesses zum Bilden von Source-/Drain-Silizid. Die Metallnitridschicht wird dann oxidiert, so dass das resultierende Oxid entfernt werden kann, und einige Abschnitte der Metallnitridschicht werden an den Unterseiten der Kontaktöffnungen zurückgelassen. Die Metallnitridschichten werden als Grundlagen zum selektiven Abscheiden eines Metalls verwendet, und die Abscheidung erfolgt von unten nach oben.
  • 1-6, 7A, 7B, 8-11, 12A, 12B und 13-22 veranschaulichen die perspektivischen Ansichten und Querschnittsansichten von Zwischenstufen bei dem Bilden eines FinFET und der entsprechenden Kontaktstecker gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die Prozesse, die in diesen Fig. gezeigt sind, sind auch schematisch in dem Prozessfluss 400 widergegeben, wie in 24 gezeigt.
  • In 1 ist ein Substrat 20 bereitgestellt. Das Substrat 20 kann ein Halbleitersubstrat, wie etwa ein Bulk-Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI substrate, Semiconductor-On-Insulator Substrate) oder dergleichen, sein, welches dotiert (z. B. mit einem p- oder einem n-Dotiermittel) oder undotiert sein kann. Das Halbleitersubstrat 20 kann ein Teil eines Wafers 10, wie etwa ein Siliziumwafer, sein. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolatorschicht gebildet ist. Der Isolator kann zum Beispiel eine vergrabene Oxidschicht (BOX layer, Buried Oxide Layer), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht wird auf einem Substrat bereitgestellt, das typischerweise ein Siliziumsubstrat oder ein Glassubstrat ist. Es können auch andere Substrate, wie etwa ein mehrschichtiges Substrat oder ein Gradientsubstrat, verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumcarbid, SiPC, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen.
  • Weiter unter Bezugnahme auf 1 ist eine Wannenregion 22 in dem Substrat 20 gebildet. Der jeweilige Prozess ist als Prozess 402 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 22 eine p-Wannenregion, die durch Implantieren einer p-Verunreinigung, welche Bor, Indium oder dergleichen sein kann, in das Substrat 20 gebildet wird. Gemäß anderen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 22 eine n-Wannenregion, die durch Implantieren einer n-Verunreinigung, welche Phosphor, Arsen, Antimon oder dergleichen sein kann, in das Substrat 20 gebildet wird. Die resultierende Wannenregion 22 kann sich zu der oberen Fläche des Substrats 20 erstrecken. Die n- oder p-Verunreinigungskonzentration kann 1018 cm-3 oder weniger betragen, wie etwa im Bereich zwischen ungefähr 1017 cm-3 und ungefähr 1018 cm-3.
  • Unter Bezugnahme auf 2 sind Isolationsregionen 24 derart gebildet, dass sie sich von einer oberen Fläche des Substrats 20 in das Substrat 20 hinein erstrecken. Die Isolationsregionen 24 werden im Folgenden alternativ als flache Grabenisolationsregionen (STI regions, Shallow Trench Isolation Regions) bezeichnet. Der jeweilige Prozess ist als Prozess 404 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Regionen 24 werden als Halbleiterstreifen 26 bezeichnet. Zum Bilden der STI-Regionen 24 werden eine Pad-Oxidschicht 28 und eine Hartmaskenschicht 30 auf dem Halbleitersubstrat 20 gebildet und dann strukturiert. Die Pad-Oxidschicht 28 kann ein dünner Film sein, der aus Siliziumoxid gebildet ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Pad-Oxidschicht 28 in einem thermischen Oxidationsprozess gebildet, wobei eine obere Flächenschicht des Halbleitersubstrats 20 oxidiert wird. Die Pad-Oxidschicht 28 wirkt als eine Adhäsionsschicht zwischen dem Halbleitersubstrat 20 und der Hartmaskenschicht 30. Die Pad-Oxidschicht 28 kann auch als eine Ätzstoppschicht zum Ätzen der Hartmaskenschicht 30 wirken. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 30 aus Siliziumnitrid gebildet, zum Beispiel unter Verwendung von chemischer Niederdruck-Dampfabscheidung (LPCVD, Low-Pressure Chemical Vapor Deposition). Gemäß anderen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 30 durch thermische Nitridierung von Silizium oder plasmaverstärkte chemische Dampfabscheidung (PECVD, Plasma Enhanced Chemical Vapor Deposition) gebildet. Ein Photoresist (nicht gezeigt) wird auf der Hartmaskenschicht 30 gebildet und dann strukturiert. Die Hartmaskenschicht 30 wird dann unter Verwendung des strukturierten Photoresists als eine Ätzmaske zum Bilden von Hartmasken 30 strukturiert, wie in 2 gezeigt ist.
  • Als Nächstes wird die strukturierte Hartmaskenschicht 30 als eine Ätzmaske zum Ätzen der Pad-Oxidschicht 28 und des Substrats 20 verwendet, gefolgt von dem Füllen der resultierenden Gräben in dem Substrat 20 mit (einem) dielektrischen Material(ien). Es wird ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess, durchgeführt, um überschüssige Abschnitte der dielektrischen Materialien zu entfernen, und die verbleibenden Abschnitte des/der dielektrischen Materials/Materialien sind STI-Regionen 24. Die STI-Regionen 24 können ein Liner-Dielektrikum (nicht gezeigt) aufweisen, welches ein thermisches Oxid sein kann, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 20 gebildet wird. Das Liner-Dielektrikum kann auch eine abgeschiedene Siliziumoxidschicht, Siliziumnitridschicht oder dergleichen sein, die zum Beispiel unter Verwendung von Atomschichtabscheidung (ALD, Atomic Layer Deposition), chemische Hochdichteplasmadampfabscheidung (HDPCVD, High-Density Plasma Chemical Vapor Deposition) oder chemische Dampfabscheidung (CVD, Chemical Vapor Deposition) gebildet wird. Die STI-Regionen 24 können auch ein dielektrisches Material über dem Liner-Oxid umfassen, wobei das dielektrische Material unter Verwendung von fließfähiger chemischer Dampfabscheidung (FCVD, Flowable Chemical Vapor Deposition), Spin-On-Coating oder dergleichen gebildet werden kann. Das dielektrische Material über dem Liner-Dielektrikum kann Siliziumoxid gemäß einigen Ausführungsformen umfassen.
  • Die oberen Flächen der Hartmasken 30 und die oberen Flächen der STI-Regionen 24 können im Wesentlichen auf derselben Höhe liegen. Die Halbleiterstreifen 26 befinden sich zwischen benachbarten STI-Regionen 24. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 26 Teile des ursprünglichen Substrats 20 und ist somit das Material der Halbleiterstreifen 26 dasselbe wie jenes des Substrats 20. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 26 Ersetzungsstreifen, die durch Ätzen der Abschnitte des Substrats 20 zwischen den STI-Regionen 24 zum Bilden von Aussparungen und Durchführen einer Epitaxie zum erneuten Züchten eines anderen Halbleitermaterials in den Aussparungen gebildet werden. Dementsprechend sind die Halbleiterstreifen 26 aus einem Halbleitermaterial gebildet, das sich von jenem des Substrats 20 unterscheidet. Gemäß einigen Ausführungsformen sind die Halbleiterstreifen 26 aus Siliziumgermanium, Silizium-Kohlenstoff oder einem III-V-Verbundhalbleitermaterial gebildet.
  • Unter Bezugnahme auf 3 werden die STI-Regionen 24 ausgespart, so dass die oberen Abschnitte der Halbleiterstreifen 26 höher als die oberen Flächen 24A der verbleibenden Abschnitte der STI-Regionen 24 vorstehen, um vorstehende Finnen 36 zu bilden. Der jeweilige Prozess ist als Prozess 406 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Das Ätzen kann unter Verwendung eines Trockenätzprozesses durchgeführt werden, wobei zum Beispiel HF3 und NH3 als die Ätzgase verwendet werden. Während dem Ätzprozess kann Plasma erzeugt werden. Es kann auch Argon aufgenommen werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird das Aussparen der STI-Regionen 24 unter Verwendung eines Nassätzprozesses durchgeführt. Die Ätzchemikalie kann zum Beispiel HF umfassen.
  • Die vorstehenden Finnen 36 können aus anderen Halbleitermaterialien gebildet werden oder durch diese ersetzt werden. Zum Beispiel können für NMOS-Transistoren die vorstehenden Finnen 36 aus Si, SiP, SiC, SiPC oder einem III-V-Verbundhalbleiter (wie etwa InP, GaAs, AlAs, InAs, InAlAs, InGaAs oder dergleichen) gebildet sein oder diese umfassen. Für PMOS-Transistoren können die vorstehenden Finnen 36 aus Si, SiGe, SiGeB, Ge oder einem 111-V-Verbundhalbleiter (wie etwa InSb, GaSb, InGaSb oder dergleichen) gebildet sein oder diese umfassen.
  • In den zuvor veranschaulichten Ausführungsformen können die Finnen durch ein beliebiges geeignetes Verfahren strukturiert werden. Zum Beispiel können die Finnen unter Verwendung eines oder mehrerer Photolithographieprozesse einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie- und selbstausgerichtete Prozesse, was das Erzeugen von Mustern ermöglicht, die zum Beispiel Abstände aufweisen, die kleiner als das, was ansonsten unter Verwendung eines einzigen direkten Photolithographieprozesses erhalten werden kann, sind. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandshalter oder Spanndorne können dann verwendet werden, um die Finnen zu strukturieren.
  • Unter Bezugnahme auf 4 sind Dummy-Gate-Stapel 38 derart gebildet, dass sie sich auf den oberen Flächen und den Seitenwänden der (vorstehenden) Finnen 36 erstrecken. Der jeweilige Prozess ist als Prozess 408 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Dummy-Gate-Stapel 38 können Dummy-Gate-Dielektrika 40 und Dummy-Gate-Elektroden 42 über den Dummy-Gate-Dielektrika 40 aufweisen. Die Dummy-Gate-Elektroden 42 können zum Beispiel unter Verwendung von Polysilizium gebildet werden und es können auch andere Materialien verwendet werden. Jeder der Dummy-Gate-Stapel 38 kann auch eine (oder mehrere) Hartmaskenschicht 44 über den Dummy-Gate-Elektroden 42 aufweisen. Die Hartmaskenschichten 44 können aus Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid oder Mehrfachschichten davon gebildet sein. Die Dummy-Gate-Stapel 38 können eine einzige oder mehrere der vorstehende Finnen 36 und/oder STI-Regionen 24 überqueren. Die Dummy-Gate-Stapel 38 weisen auch Längsrichtungen senkrecht zu den Längsrichtungen der vorstehenden Finnen 36 auf.
  • Als Nächstes werden die Gate-Abstandshalter 46 auf den Seitenwänden der Dummy-Gate-Stapel 38 gebildet. Der jeweilige Prozess ist auch als Prozess 408 in dem Prozessfluss 400 gezeigt, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gate-Abstandshalter 46 aus (einem) dielektrischen Material(ien), wie etwa Siliziumnitrid, Siliziumcarbonitrid oder dergleichen, gebildet und können eine Einschichtstruktur oder eine Mehrschichtstruktur einschließlich mehrerer dielektrischer Schichten aufweisen.
  • Ein Ätzprozess wird dann durchgeführt, um die Abschnitte der vorstehenden Finnen 36 zu ätzen, die nicht von den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 abgedeckt werden, was zu der in 5 gezeigten Struktur führt. Der jeweilige Prozess ist als Prozess 410 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Das Aussparen kann anisotrop sein, und somit werden die Abschnitte der Finnen 36, die direkt unter den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 liegen, geschützt und nicht geätzt. Die oberen Flächen der ausgesparten Halbleiterstreifen 26 können niedriger als die oberen Flächen 24A der STI-Regionen 24 gemäß einigen Ausführungsformen sein. Die Aussparungen 50 sind dementsprechend gebildet. Die Aussparungen 50 weisen Abschnitte auf, die auf den gegenüberliegenden Seiten der Dummy-Gate-Stapel 38 liegen, und Abschnitte zwischen verbleibenden Abschnitten der vorstehenden Finnen 36.
  • Als Nächstes werden Epitaxieregionen (Source-/Drain-Regionen) 54 durch selektives Züchten (durch Epitaxie) eines Halbleitermaterials in den Aussparungen 50 gebildet, was zu der Struktur in 6 führt. Der jeweilige Prozess ist als Prozess 412 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Je nachdem, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann eine p- oder eine n-Verunreinigung vor Ort mit dem Fortschreiten der Epitaxie dotiert werden. Wenn zum Beispiel der resultierende FinFET ein p-FinFET ist, kann Siliziumgermaniumbor (SiGeB), Siliziumbor (SiB) oder dergleichen gezüchtet werden. Umgekehrt kann, wenn der resultierende FinFET ein n-FinFET ist, Siliziumphosphor (SiP), Siliziumkohlenstoffphosphor (SiCP) oder dergleichen gezüchtet werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weisen die Epitaxieregionen 54 111-V-Verbundhalbleiter, wie etwa GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon oder Mehrfachschichten davon auf. Nachdem die Aussparungen 50 mit den Epitaxieregionen 54 gefüllt sind, bewirkt das weitere epitaxiale Züchten der Epitaxieregionen 54, dass sich die Epitaxieregionen 54 horizontal ausdehnen, und können Facetten gebildet werden. Das weitere Züchten der Epitaxieregionen 54 kann auch bewirken, dass benachbarte Epitaxieregionen 54 miteinander verschmelzen. Es können Lücken (Luftspalte) 56 erzeugt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann das Bilden der Epitaxieregionen 54 beendet werden, wenn die obere Fläche der Epitaxieregionen 54 immer noch wellig ist, oder wenn die obere Fläche der verschmolzenen Epitaxieregionen 54 planar geworden ist, was durch weiteres Züchten auf den Epitaxieregionen 54 erzielt wird, wie in 6 gezeigt ist.
  • Nach dem Epitaxieschritt können die Epitaxieregionen 54 ferner mit einer p- oder einer n-Verunreinigung implantiert werden, um Source- und Drain-Regionen zu bilden, welche auch unter Verwendung des Bezugszeichens 54 gekennzeichnet sind. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird der Implantationsschritt übersprungen, wenn die Epitaxieregionen 54 vor Ort mit der p- oder n-Verunreinigung während der Epitaxie dotiert werden.
  • 7A veranschaulicht eine perspektivische Ansicht der Struktur nach dem Bilden der Kontaktätzstoppschicht (CESL, Contact Etch Stop Layer) 58 und des Zwischenschichtdielektrikums (ILD, Inter-Layer Dielectric) 60. Der jeweilige Prozess ist als Prozess 414 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die CESL 58 kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxycarbid, Siliziumoxynitrid, Siliziumoxycarbonitrid, Aluminiumoxid, Aluminiumnitrid oder dergleichen gebildet sein und kann unter Verwendung von CVD, ALD oder dergleichen gebildet werden. Das ILD 60 kann ein dielektrisches Material umfassen, das unter Verwendung von zum Beispiel FCVD, Spin-On-Coating, CVD oder einem anderen Abscheidungsverfahren gebildet ist. Das ILD 60 kann durch ein sauerstoffhaltiges dielektrisches Material gebildet werden, welches ein siliziumoxidbasiertes Material sein kann, wie etwa Siliziumoxid, Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), Siliziumoxycarbid, ein dielektrisches high-k-Material, wie etwa Zirkoniumoxid, Hafniumoxid, oder ein dielektrisches low-k-Material. Es kann ein Planarisierungsprozess, wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess, durchgeführt werden, um die oberen Flächen des ILD 60, der Dummy-Gate-Stapel 38 und der Gate-Abstandshalter 46 einander anzugleichen.
  • 7B veranschaulicht den Referenzquerschnitt 7B-7B in 7A, bei welchem die Dummy-Gate-Stapel 38 veranschaulicht sind. Es ist zu erkennen, dass die Strukturen auf der rechten Seite der Source-/Drain-Region 54 (wie etwa in den Regionen 63) nicht gezeigt sind, während in einigen Ausführungsformen eine Struktur einschließlich einer selben Gate-Struktur wie die Gate-Struktur 38 und die entsprechenden Gate-Abstandshalter in der Region 63 und der Region rechts von der Region 63 gebildet sein können.
  • Als Nächstes werden die Dummy-Gate-Stapel 38 einschließlich der Hartmaskenschichten 44, der Dummy-Gate-Elektroden 42 und der Dummy-Gate-Dielektrika 40 geätzt, wobei Gräben 62 zwischen den Gate-Abstandshaltern 46 gebildet werden, wie in 8 gezeigt ist. Der jeweilige Prozess ist als Prozess 416 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die oberen Flächen und die Seitenwände der vorstehenden Finnen 36 werden zu den Gräben 62 freigelegt. Wie in 9 gezeigt, werden als Nächstes Ersetzungs-Gate-Stapel 68 in den Gräben 62 gebildet (8). Der jeweilige Prozess ist als Prozess 418 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Ersetzungs-Gate-Stapel 68 weisen Gate-Dielektrika 64 und die entsprechenden Gate-Elektroden 66 auf.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist das Gate-Dielektrikum 64 eine Grenzschicht (IL, Interfacial Layer) 64 als seinen unteren Teil auf. Die IL ist auf den freigelegten Flächen der vorstehenden Finnen 36 gebildet. Die IL kann eine Oxidschicht, wie etwa eine Siliziumoxidschicht, aufweisen, welche durch die thermische Oxidation der vorstehenden Finnen 36, einen chemischen Oxidationsprozess oder einen Abscheidungsprozess gebildet ist. Das Gate-Dielektrikum 64 kann auch eine high-k-Dielektrikumsschicht aufweisen, die über der IL gebildet ist. Die high-k-Dielektrikumsschicht umfasst ein high-K-Dielektrikummaterial, wie etwa Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid oder dergleichen. Die dielektrische Konstante (k-Wert) des high-K-Dielektrikummaterials ist höher als 3,9 und kann höher als ungefähr 7,0 sein und hat manchmal eine Höhe von 21,0 oder mehr. Die high-k-Dielektrikumsschicht liegt über der IL und kann diese berühren. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die high-k-Dielektrikumsschicht unter Verwendung von ALD, CVD, PECVD, Molekularstrahlabscheidung (MBD, Molecular-Beam Deposition) oder dergleichen gebildet.
  • Die Gate-Elektrode 66 ist auf dem Gate-Dielektrikum 64 gebildet. Die Gate-Elektrode 66 kann mehrere gestapelte Schichten, welche als konformale Schichten gebildet sein können, und eine Füllmetallregion, die den Rest der Gräben 62 füllt, die nicht von den mehreren gestapelten Schichten gefüllt werden, aufweisen. Die gestapelten Schichten können eine Barriereschicht, eine Austrittsarbeitsschicht über der Barriereschicht und eine oder mehrere Metallabdeckschichten über der Austrittsarbeitsschicht umfassen. Die Füllmetallregion kann aus Wolfram, Kobalt oder dergleichen gebildet sein. Gemäß alternativen Ausführungsformen ist die Barriereschicht möglicherweise nicht gebildet und können die Abdeckschichten vollständig die Gräben füllen und ist die Füllmetallregion nicht gebildet.
  • 10 veranschaulicht das Bilden von selbstausgerichteten Hartmasken 70 gemäß einigen Ausführungsformen. Der jeweilige Prozess ist als Prozess 420 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß anderen Ausführungsformen werden die selbstausgerichteten Hartmasken 70 nicht gebildet. Das Bilden der Hartmasken 70 kann das Durchführen eines Ätzprozesses zum Aussparen von Gate-Stapeln 68, so dass Aussparungen zwischen Gate-Abstandshaltern 46 gebildet werden, das Füllen der Aussparungen mit einem dielektrischen Material, und dann das Durchführen eines Planarisierungsprozesses, wie etwa eines CMP-Prozesses oder eines mechanischen Schleifprozesses, zum Entfernen von überschüssigen Abschnitten des dielektrischen Materials, umfassen. Die Hartmasken 70 können aus Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen gebildet sein oder diese umfassen. Somit wird der FinFET 100 gebildet.
  • Unter Bezugnahme auf 11 wird die Ätzstoppschicht 72 gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Ätzstoppschicht 72 aus einem dielektrischen Material gebildet, welches Siliziumnitrid, Siliziumoxycarbid, Siliziumoxynitrid, Aluminiumoxid oder dergleichen oder Mehrfachschichten davon umfassen kann. Das ILD 74 wird über der Ätzstoppschicht 72 abgeschieden. Die Prozesse zum Bilden der Ätzstoppschicht 72 und des ILD 74 sind als Prozess 424 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird das ILD 74 aus einem Material gebildet, das aus derselben Gruppe von Kandidatenmaterialen zum Bilden des ILD 58 ausgewählt wird.
  • 12A und 12B veranschaulichen die Bildung der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78. Der jeweilige Prozess ist als Prozess 424 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Der Bildungsprozess der Source-/Drain-Kontaktöffnung 76 kann das Bilden eines strukturierten Photoresists (nicht gezeigt) und das Ätzen des ILD 74, der Ätzstoppschicht 72, des ILD 60 und der CESL 58 zum Freilegen der Source-/Drain-Region 54 umfassen. Der Bildungsprozess der Gate-Kontaktöffnung 78 kann das Bilden eines anderen strukturierten Photoresists (nicht gezeigt) und das Ätzen des ILD 74, der Ätzstoppschicht 72 und der Hartmaske 70 zum Freilegen der Gate-Elektrode 66 umfassen. Die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78 können durch unterschiedliche Ätzprozesse gebildet werden oder können unter Verwendung von gemeinsamen Ätzprozessen gebildet werden. Gemäß einigen Ausführungsformen ist die Source-/Drain-Kontaktöffnung 76 länglich und weist eine Längsrichtung (X-Richtung) senkrecht zu der Source-/Drain-Regionsrichtung (Y-Richtung) auf. Gemäß einigen Ausführungsformen können die ILDs 74 und 58 unter Verwendung der gemischten Gase von NF3 und NH3, der gemischten Gase von HF und NH3 oder dergleichen geätzt werden. Die Ätzstoppschicht 72 und die CESL 58 können unter Verwendung der gemischten Gase von CF4, O2 und N2, der gemischten Gase von NF3 und O2, der gemischten Gase von SF6 und O2 oder dergleichen geätzt werden. Nachdem die Kontaktöffnungen 76 und 78 gebildet sind, kann ein Reinigungsprozess durchgeführt werden, um das Polymer zu entfernen, das bei dem Ätzprozess erzeugt wird. Der Reinigungsprozess kann unter Verwendung von Sauerstoff (02) oder der Mischung von H2 und N2 durchgeführt werden, wobei Plasma erzeugt wird, gefolgt von einem Nassreinigungsprozess unter Verwendung von entionisiertem Wasser.
  • 12B veranschaulicht den Referenzquerschnitt 12B-12B in 12A. Gemäß einigen Ausführungsformen liegen die Breiten W1 der Öffnungen 76 und 78 in dem Bereich von zwischen ungefähr 12 nm und ungefähr 20 nm. Die Aspektverhältnisse (welche die Verhältnisse der Tiefen zu den jeweiligen Breiten sind) der Öffnungen 76 und 78 können in dem Bereich von zwischen ungefähr 6 und 8 liegen.
  • Als Nächstes werden unter Bezugnahme auf 13 dielektrische Abstandshalter 80 gebildet. Der Bildungsprozess kann das Abscheiden einer dielektrischen Deckschicht und das Ätzen der dielektrischen Deckschicht durch einen anisotropen Ätzprozess umfassen. Die dielektrische Deckschicht kann eine konformale oder im Wesentlichen konformale Schicht sein, wobei zum Beispiel die Dicke von horizontalen Abschnitten und vertikalen Abschnitten eine Differenz aufweist, die kleiner als ungefähr 10 Prozent der horizontalen Dicke ist. Die Abscheidung kann durch ALD, CVD oder dergleichen erzielt werden. Die dielektrischen Abstandshalter 80 können aus einem dielektrischen Material gebildet werden, das aus SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN, HfOx, Kombinationen davon und/oder Mehrfachschichten davon ausgewählt ist. Die dielektrischen Abstandshalter 80 können dabei helfen, die Leckage zwischen dem darauffolgend gebildeten Source-/Drain-Kontaktstecker und dem Gate-Kontaktstecker zu verhindern. Die Dicke der dielektrischen Abstandshalter 80 kann im Bereich von zwischen ungefähr 1 nm und ungefähr 3 nm liegen.
  • Unter Bezugnahme auf 14 wird die Metallschicht 82 abgeschieden, welche sich sowohl in die Source-/Drain-Kontaktöffnung 76 als auch die Gate-Kontaktöffnung 78 hinein erstreckt. Der jeweilige Prozess ist als Prozess 426 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Metallschicht 82 kann aus reinem oder im Wesentlichen reinem (zum Beispiel über 95-prozentigem) Ti, Ta, Ni oder dergleichen oder Legierungen davon gebildet sein oder diese umfassen. Die Metallschicht 82 ist eine nichtkonformale Schicht, wobei die Dicke T1 der horizontalen Abschnitte größer als die Dicke T2 der vertikalen Abschnitte ist. Die Dicke T2 kann an den mittleren Tiefen der Öffnungen 76 und 78 gemessen werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das Verhältnis T1/T2 größer als 5:1 und kann im Bereich von zwischen ungefähr 5:1 und ungefähr 15:1 liegen. Zum Beispiel kann die Dicke T1 im Bereich von zwischen ungefähr 100 Ä und ungefähr 150 Ä liegen. Die Dicke T2 kann im Bereich von zwischen ungefähr 6 Ä und ungefähr 20 Ä liegen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Abscheidung durch physische Dampfabscheidung (PVD, Physical Vapor Deposition) durchgeführt. Um das wünschenswerte Verhältnis T1/T2 zu erzielen, kann die Abscheidung mit einem Vorstrom (und einer Vorspannung), der angelegt wird, durchgeführt werden. Zum Beispiel kann die Vorspannung höher als ungefähr 150 Volt sein und im Bereich von zwischen ungefähr 150 Volt und ungefähr 300 Volt liegen.
  • 15 veranschaulicht einen Nitridierungsprozess 83 zum Bilden der Metallnitridschicht 84. Der jeweilige Prozess ist als Prozess 428 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird der Nitridierungsprozess durch Behandeln der Metallschicht 82 in einem stickstoffhaltigen Prozessgas, wie etwa Ammoniak (NH3), durchgeführt. Die Metallnitridschicht 84 kann aus TiN, TaN, NiN oder dergleichen oder Kombinationen davon gebildet sein oder diese umfassen. Der Nitridierungsprozess kann durch einen thermischen Nitridierungsprozess und/oder einen Plasmnitridierungsprozess durchgeführt werden. Eine Oberflächenschicht der Metallschicht 82 wird in die Metallnitridschicht 84 umgewandelt. Die Seitenwandabschnitte der Metallschicht 82 können vollständig umgewandelt werden. Alternativ wird eine Oberflächenschicht jedes der Seitenwandabschnitte der Metallschicht 82 umgewandelt, während ein innerer Abschnitt der Seitenwandabschnitte der Metallschicht 82 Metallschicht bleibt. Die horizontalen Abschnitte der Metallnitridschicht 84 werden teilweise umgewandelt, wobei die Metallnitridschicht 84 die verbleibenden Abschnitte der Metallschicht 82 überlappt. Gemäß alternativen Ausführungsformen wird anstatt des Abscheidens und dann Nitridierens einer Metallschicht die Metallnitridschicht 84 über der Metallschicht 82 abgeschieden. Gemäß einigen Ausführungsformen kann auf den Unterseiten der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 die Dicke T3 der Metallnitridschicht 84 im Bereich von zwischen ungefähr 4 nm und ungefähr 6 nm liegen.
  • 16 veranschaulicht den Silizidierungsprozess durch Tempern, so dass die Metallschicht 82 mit der Source-/Drain-Region 54 reagiert, um die Silizidregion 86 zu bilden, welche Titansilizid, Tantalsilizid, Nickelsilizid oder dergleichen, je nach dem Metall in der Metallschicht 82, umfasst. Der jeweilige Prozess ist als Prozess 430 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Dicke T4 der Silizidregion 86 kann im Bereich von zwischen ungefähr 4 nm und ungefähr 6 nm liegen. Der Silizidierungsprozess kann durch Tempern des Wafers 10 bei einer Temperatur im Bereich von zwischen ungefähr 500°C und ungefähr 600°C, zum Beispiel während einem Zeitraum im Bereich von zwischen ungefähr 10 Sekunden und ungefähr 20 Sekunden, durchgeführt werden. Infolge des Silizidierungsprozesses werden die Abschnitte der Metallschicht 82 auf der Unterseite der Source-/Drain-Kontaktöffnung 76 vollständig silizidiert und berührt somit die Metallnitridschicht 84 die Silizidregion 86. Auf der Gate-Elektrode 66 kann die Metallschicht 82 immer noch einen Abschnitt aufweisen, der unter dem jeweiligen Teil der Metallnitridschicht 84 verbleibt.
  • Unter Bezugnahme auf 17 wird ein Oxidationsprozess 87 durchgeführt, um die Metalloxidschicht 88 zu bilden, welche aus TiOX, TaOX, NiOx oder Kombinationen davon gebildet werden kann oder diese umfassen kann. Der jeweilige Prozess ist als Prozess 432 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Metalloxidschicht 88 kann Stickstoff darin umfassen und kann somit eine Metalloxynitridschicht sein, wobei Metalloxynitrid als eine Art von Metalloxid betrachtet wird. Zum Beispiel kann der Abschnitt der Metalloxidschicht 88 in der Gate-Kontaktöffnung 78 eine Metalloxidschicht, die aus der Metallschicht 82 gebildet ist, und eine Metalloxynitridschicht, die aus der Metallnitridschicht 84 gebildet ist, umfassen, wobei die Metalloxynitridschicht über der Metalloxidschicht liegt und diese berührt. Andererseits können die Abschnitte der Metallnitridschicht 84 in der Source-/Drain-Kontaktöffnung 76 auf den Seitenwänden der Kontaktöffnungen 76 und 78 und über der ILD-Schicht 74 alle vollständig als Metalloxynitrid umgewandelt werden. Auf der Unterseite jeder der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 bleibt eine Metallnitridschicht 84 unoxidiert. Dies wird durch Steuern der Oxidationszeit und -temperatur erreicht.
  • Die Oxidation kann unter Verwendung eines sauerstoffhaltigen Gases, wie etwa Sauerstoff (02), Ozon (O3) oder dergleichen, durchgeführt werden. Die Oxidation kann durch einen thermischen Prozess unter Verwendung der zuvor genannten Prozessgase durchgeführt werden, wobei Plasma erzeugt wird oder nicht. Die Oxidation kann durch Verwenden des Plasmas, das anhand der zuvor genannten Prozessgase erzeugt wird, durchgeführt werden, wobei die Temperatur des Wafers 10 während der Oxidation Raumtemperatur oder höher ist. Die Temperatur des Wafers 10 während dem thermischen und/oder Plasmaoxidationsprozess kann auch im Bereich zwischen Raumtemperatur und ungefähr 250°C, im Bereich von zwischen ungefähr 160°C und ungefähr 250°C liegen. Die Strömungsrate des sauerstoffhaltigen Gases kann im Bereich von zwischen ungefähr 2.000 sccm und ungefähr 6.000 sccm liegen. Die Oxidationsdauer kann im Bereich von zwischen ungefähr 15 Sekunden und ungefähr 60 Sekunden liegen. Die Oxidation wird ohne Anlegen einer Vorspannung bzw. eines Vorstroms durchgeführt.
  • In einem darauffolgenden Prozess wird die Metalloxidschicht 88 durch Ätzen entfernt. Der jeweilige Prozess ist als Prozess 434 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird das Ätzen unter Verwendung eines chlorbasierten Ätzgases, wie etwa TaCl5, WCl5, WCl6, MoCl5, NbCl5 oder dergleichen oder Kombinationen davon, durchgeführt. Das Ätzen kann durch einen thermischen Trockenätzprozess durchgeführt werden, wobei die Temperatur des Wafers 10 im Bereich von zwischen ungefähr 300°C und ungefähr 500°C liegt. Das Ätzen kann mit oder ohne Plasma durchgeführt werden. Ebenfalls wird während dem Ätzen kein Wasserstoff (H2) eingebracht und kein NH3 eingebracht. Ansonsten können die Prozessgase ein Vorläufer zum Abscheiden einer Metallschicht anstelle zum Ätzen der Metalloxidschicht 88 werden. Infolge des Ätzens wird die Metalloxidschicht 88 vollständig entfernt. Das Ätzen ist selbsteinschränkend, wobei die verbleibende Metallnitridschicht 84 als Ätzstoppschicht wirkt. Eine dünne Schicht der Metallnitridschicht 84 wird somit auf der Unterseite jeder der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 zurückgelassen. Die verbleibende Metallnitridschicht 84 kann eine Dicke T5 im Bereich von zwischen ungefähr 1 nm und ungefähr 3 nm aufweisen. Die Metallnitridschichten 84 können auch so dünn wie möglich sein, vorausgesetzt, sie weisen alle eine vollständige Abdeckung der Unterseiten der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 auf.
  • 18 veranschaulicht einen Behandlungsprozess, der auf der Metallnitridschicht 84 durchgeführt wird. Der jeweilige Prozess ist als Prozess 436 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Behandlung kann unter Verwendung eines Prozessgases durchgeführt werden, wobei der Wafer 10 in dem Prozessgas eingeweicht wird. Das Prozessgas kann TaCl5, NiCl4, WCl5, MoCl5 oder dergleichen oder Kombinationen davon umfassen. Während der Behandlung wird der Wafer 10 erhitzt, zum Beispiel auf eine Temperatur im Bereich von zwischen ungefähr 200°C und ungefähr 500°C. Es wird kein Plasma erzeugt. Die Behandlungsdauer kann mehr als ungefähr 5 Sekunden betragen und kann im Bereich von zwischen ungefähr 5 Sekunden und 50 Sekunden liegen. Wenn TiCl4 als das Behandlungsprozessgas verwendet wird, führt das TiCl4-Einweichen dazu, dass die resultierenden Moleküle (wie etwa TiCl3-Moleküle) mit den freien Bindungen der darunterliegenden Metallnitridschichten 84 verbunden werden. Die verbundenen Moleküle sind als 89 dargestellt, wie in 18 gezeigt. Andererseits sind keine Moleküle des Behandlungsgases mit den Flächen der freigelegten dielektrischen Materialien, wie etwa die dielektrischen Abstandshalter 80 und die Dielektrikumsschicht 74, verbunden.
  • 19 veranschaulicht ferner die selektive Abscheidung der Siliziumschicht 90 unter Verwendung eines siliziumhaltigen Gases als einen Vorläufer, welches SiH4, Si2H6, Si3H8 oder dergleichen oder Kombinationen davon sein kann. Der jeweilige Prozess ist als Prozess 438 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Abscheidung kann unter Verwendung von chemischer Dampfabscheidung (CVD, Chemical Vapor Deposition) oder anderer anwendbarer Verfahren durchgeführt werden. Während der Abscheidung der Siliziumschicht 90 kann der Wafer 10 erhitzt werden, zum Beispiel auf eine Temperatur im Bereich von zwischen ungefähr 400°C und ungefähr 550°C. Der Druck des Vorläufers kann im Bereich von zwischen ungefähr 15 Torr und ungefähr 40 Torr liegen. Die Abscheidungszeit kann im Bereich von zwischen ungefähr 30 Sekunden und ungefähr 600 Sekunden liegen. Die Siliziumschicht 90 kann eine Dicke im Bereich von zwischen ungefähr 1 Ä und ungefähr 10 Ä aufweisen, und die Dicke kann im Bereich von zwischen ungefähr 1 Ä und ungefähr 10 Ä oder im Bereich von zwischen ungefähr 1 Ä und ungefähr 5Å liegen. Die Siliziumschicht 90 kann eine amorphe Schicht sein.
  • Wenn die Siliziumschicht 90 gebildet ist, und wenn Wasserstoff (zum Beispiel von SiH4) bereitgestellt wird, werden Si-H-Bindungen auf der oberen Fläche der Siliziumschichten 90 gebildet. Dies stellt eine gute Grundlage für das darauffolgende Füllen von Metall bereit, und die Siliziumschicht 90 wirkt als eine Keimschicht für die selektive Abscheidung von Metall in der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78. Gemäß alternativen Ausführungsformen werden die chlorbasierte Gasbehandlung und/oder die Abscheidung der Siliziumschicht 90 nicht durchgeführt. Gemäß einigen Ausführungsformen kann, selbst wenn diese Prozesse nicht durchgeführt werden, wobei ein geeignetes Prozessgas ausgewählt wird, immer noch ein gewisser Bottom-up-Effekt durch Verwenden der Metallnitridschichten 84 als Grundlagen für die selektive Abscheidung erzielt werden. Die Selektivität der Abscheidung ist jedoch höher, wenn die Siliziumschicht 90 gebildet wird, wobei die Selektivität das Verhältnis der Abscheidungsrate von Metall auf Silizium zu der Abscheidungsrate von Metall auf dielektrischen Materialien ist.
  • 20 veranschaulicht die selektive Bottom-up-Abscheidung eines Metalls in die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78, so dass die Metallregionen 92 gebildet werden. Der jeweilige Prozess ist als Prozess 440 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen sind die Metallregionen 92 aus Aluminium, Molybdän, Ruthen, Iridium, Wolfram, Kobalt oder dergleichen oder Kombinationen davon gebildet oder umfassen diese. Die gesamten Metallregionen 92 können homogen sein. Gemäß einigen Ausführungsformen, in welchen Aluminium abgeschieden wird, umfassen die Reaktionsprozessgase Dimethylaluminiumhydrid (DMAH) und Wasserstoff (H2). Das DMAH neigt dazu, Aluminium selektiv, insbesondere auf der Siliziumschicht, abzuscheiden. Das Abscheidungsverfahren kann CVD oder ähnliche Verfahren umfassen. Die Abscheidungstemperatur kann im Bereich von zwischen ungefähr 175°C und ungefähr 275°C liegen. Der Druck der Reaktionsgase kann im Bereich von zwischen ungefähr 1 Torr und ungefähr 3 Torr liegen. Die resultierenden Metallregionen 92 können vollständig die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78 füllen oder derart gefüllt sein, dass sie obere Flächen aufweisen, die leicht niedriger als die obere Fläche des ILD 74 sind. Zum Beispiel kann die Höhe der Metallregionen 92 im Bereich von zwischen ungefähr 500 Ä und ungefähr 1.500 Ä liegen, je nach den Tiefen der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78.
  • Die Siliziumschicht 90 wirkt als eine Keimschicht zur Abscheidung der Metallregion 92. Andererseits wird Metall nicht auf freigelegten dielektrischen Materialien, wie etwa auf den Flächen der dielektrischen Abstandshalter 80 und des ILD 74, abgeschieden. Dementsprechend ist die Abscheidung der Metallregion 92 ein selektiver Abscheidungsprozess und ein Bottom-up-Abscheidungsprozess. Die resultierenden Metallregionen 92 sind keimfrei. Da Aluminium eine gute Adhäsion bezüglich der Metallnitridschicht 84, der dielektrischen Abstandshalter 80 und des ILD 74 aufweist, können die Metallregionen 92 gebildet werden, ohne Adhäsionsschichten (Barrieren) bilden zu müssen (die typischerweise aus Ti, TiN, Ta, TaN oder dergleichen gebildet sind). Die resultierenden Kontaktstecker sind somit barrierelos.
  • 23 veranschaulicht ein Produktionswerkzeug 200 zum Durchführen der Prozesse, wie in 18, 19 und 20 gezeigt. Das Produktionswerkzeug 200 weist ein Lademodul 110 zum Laden und Abladen von Wafern und mehrere Prozesskammern auf. Die Prozesskammern umfassen Kammern 112 zum Ätzen der Metalloxid(-oxynitrid-)-schicht 88 (in 17 gezeigt), Kammern 114 zum Behandeln der Metallnitridschichten und Abscheiden der Siliziumschichten 90 (19) und Kammern 116 zum Abscheiden der Metallregion 92 (20). Das Ätzen von Metalloxidschichten, das Behandeln der Metallnitridschicht 84 und das Abscheiden der Siliziumschicht 90 und die Abscheidung der Metallregion 92 werden vor Ort in dem Produktionswerkzeug 200 durchgeführt, so dass keine Vakuumunterbrechung zwischen diesen Prozessen auftritt. Ansonsten können die freigelegten Flächen der Metallnitridschichten 84 und der Siliziumschichten 90 oxidiert werden und sind die darauffolgenden Abscheidungsprozesse möglicherweise nicht selektiv.
  • In einem darauffolgenden Prozess durchläuft die Struktur, wie in 20 gezeigt, einen thermalen Prozess, um die Metallregionen 92 aufzuschmelzen. Während dem Aufschmelzprozess kann Wasserstoff (H2) als ein Prozessgas verwendet werden, so dass einige unerwünschte Verunreinigungen, wie etwa Kohlenstoff, in den Metallregionen 92 entfernt werden. Bei dem Aufschmelzen kann die Temperatur des Wafers 10 in dem thermischen Prozess im Bereich von zwischen ungefähr 400°C und ungefähr 450°C liegen. Aluminium kann, wenn es verwendet wird, in den Metallregionen 92 teilweise geschmolzen werden. Infolge des Aufschmelzens weisen die Metallregionen 92 eine polykristalline Struktur auf und kann die Korngröße vorteilhafterweise im Vergleich zu vor dem Aufschmelzen vergrößert werden. Zum Beispiel weisen vor dem Aufschmelzprozess über 75 Prozent (Kornzahlprozentanteil) der Körner in den Metallregionen eine Korngröße im Bereich von zwischen ungefähr 2 nm und ungefähr 8 nm auf. Nach dem Aufschmelzprozess weisen über 75 Prozent der Körner Korngrößen auf, die in den Bereich von zwischen ungefähr 9 nm und ungefähr 15 nm fallen. Ferner werden mit dem Aufschmelzen Fugen oder Lücken in der Metallregion 92 entfernt, falls vorhanden.
  • Je nachdem, ob der Aufschmelzprozess durchgeführt wird oder nicht, und je nach der Temperatur des darauffolgenden Prozesses können die Siliziumschichten 90 mit den darüberliegenden Metallregionen 92 reagieren (oder nicht), um Metallsilizidregionen 91 zu bilden, welche Aluminiumsilizid(AlSiY)-regionen gemäß einigen Ausführungsformen sein können. Dementsprechend ist die entsprechende Region markiert und wird als siliziumhaltige Regionen 90/91 bezeichnet, um anzugeben, dass unterscheidbare Siliziumschichten 90 vorhanden sein können oder Metallsilizidregionen 91 vorhanden sein können. Gemäß einigen Ausführungsformen liegt die Dicke der Metallsilizidregionen 91 im Bereich von zwischen ungefähr 2 Ä und ungefähr 30 Ä.
  • Unter Bezugnahme auf 21 wird ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess, durchgeführt, um überschüssige Abschnitte der Metallregionen 92 zu entfernen, so dass die oberen Flächen der Metallregionen 92 koplanar mit der oberen Fläche des ILD 74 sind. Der jeweilige Prozess ist als Prozess 442 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Der Source-/Drain-Kontaktstecker 94A und der Gate-Kontaktstecker 94B werden somit gebildet.
  • Gemäß einigen Ausführungsformen weist der Source-/Drain-Kontaktstecker 94A die Metallregion 94, die Siliziumschicht 90 oder die Silizidregion 91 und die Metallnitridschicht 84 auf. Die Metallnitridschicht 84 liegt über der Silizidregion 86 und berührt diese. Die Elemente (wie etwa Ti und Cl), die durch die Behandlung (unter Verwendung von TiCl4) eingebracht werden, können sich an der Grenzfläche zwischen der Siliziumschicht 90 und der Metallnitridschicht 84 befinden. Ebenfalls kann die Siliziumschicht 90 oder die Silizidregion 91 eine unterscheidbare Schicht sein oder ist diese möglicherweise keine unterscheidbare Schicht, da sie zu dünn ist, und ferner aufgrund der Tatsache, dass der darauffolgende thermische Prozess ihre Diffusion bewirken kann. Der Siliziumatomprozentanteil in den siliziumhaltigen Regionen 90/91 kann der höchste sein, und die Atomprozentanteile verringern sich in der Richtung weiter weg von den siliziumhaltigen Regionen 90/91. Ähnlich können einige Elemente, wie etwa Chlor, in den siliziumhaltigen Regionen 90/91 (aufgrund der TiCl4-Behandlung) beobachtet werden, können sich die Konzentrationen dieser Elemente weiter weg von den Grenzflächenregionen verringern. Zum Beispiel veranschaulichen die Pfeile 96A und 96B in 21 die Richtungen, in welchen die Chlorprozentanteile schrittweise abnehmen können. Der Pfeil 96A veranschaulicht auch die Richtung, in welcher sich der Siliziumatomprozentanteil verringert. Es sei jedoch darauf hingewiesen, dass der Siliziumatomprozentanteil in der Silizidregion 86 eine Spitze erreichen kann. Dementsprechend kann der Siliziumatomprozentanteil zwei Konzentrationsspitzen aufweisen, wobei die erste Spitze in der Silizidregion 86 liegt und die zweite Spitze dort, wo die Siliziumschicht 90 ist, liegt. Die zweite Spitze kann niedriger als die erste Spitze sein. Der Siliziumatomprozentanteil in der Metallnitridschicht 84 kann geringer als in beiden Spitzen sein.
  • Gemäß einigen Ausführungsformen weist der Gate-Kontaktstecker 94B die Metallregion 94, die siliziumhaltige Region 90/91 und die Metallnitridschicht 84 auf. Eine Titanschicht 82 kann vorhanden sein oder nicht. Dementsprechend berührt entweder die untere Fläche der Metallnitridschicht 84 oder die untere Fläche der Titanschicht 82 die Gate-Elektrode 66. Die Elemente (wie etwa Ti und Cl), die durch die Behandlung (unter Verwendung von TiCl4) eingebracht werden, können sich an der Grenzfläche zwischen der siliziumhaltigen Region 90/91 und der Metallnitridschicht '4 befinden. Ebenfalls kann die siliziumhaltige Region 90/91 eine unterscheidbare Schicht sein oder ist diese möglicherweise keine unterscheidbare Schicht, da sie zu dünn ist, und ferner aufgrund der Tatsache, dass der darauffolgende thermische Prozess ihre Diffusion bewirken kann. Der Siliziumatomprozentanteil in der siliziumhaltigen Region 90/91 kann der höchste sein, und die Atomprozentanteile verringern sich in der Richtung weiter weg von der siliziumhaltigen Region 90/91. Ähnlich können einige Elemente, wie etwa Chlor, in den Grenzflächenregionen beobachtet werden, und können sich die Konzentrationen dieser Elemente weiter weg von den Grenzflächenregionen verringern. Zum Beispiel veranschaulichen die Pfeile 97 in 21 die Richtungen, in welchen die Siliziumatomprozentanteile und Chlorprozentanteile schrittweise abnehmen können.
  • 22 veranschaulicht das Bilden der Ätzstoppschicht 122 und der Dielektrikumsschicht 124. Gemäß einigen Ausführungsformen wird die Ätzstoppschicht 122 aus Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid, Aluminiumoxid oder dergleichen oder Mehrfachschichten davon gebildet oder umfasst diese, wobei die Dielektrikumsschicht 124 eine dielektrische low-k-Schicht sein kann. Die Durchkontaktierungen 130 und 132 sind derart gebildet, dass sie sich in die Dielektrikumsschicht 124 und die Ätzstoppschicht 122 hinein erstrecken und jeweils den Source-/Drain-Kontaktstecker 94A und den Gate-Kontaktstecker 94B berühren. Jede der Durchkontaktierungen 130 und 132 kann die Adhäsions-/Barriereschicht 126 und die Füllmetallregion 128 aufweisen. Die Adhäsions-/Barriereschicht 126 kann aus Ti, TiN, Ta, TaN oder dergleichen gebildet sein. Die Füllmetallregion 128 kann Ru, Ir, Mo, W, Cu oder dergleichen oder Legierungen davon umfassen.
  • Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch Oxidieren der Metallnitridschichten können die Metallnitridschichten von Seitenwänden und oberen Flächen der Dielektrikumsschichten entfernt werden, während die Metallnitridschichten selektiv an den Unterseiten der Kontaktöffnungen zurückgelassen werden können. Dies ermöglicht die selektive Abscheidung von Siliziumschichten und somit die selektive Abscheidung von unten nach oben von Metallregionen. Dementsprechend sind die Kontaktstecker keimfrei.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht; das Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt; das Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines oberen Abschnitts der Metallschicht in eine Metallnitridschicht; das Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht; das Entfernen der Metalloxynitridschicht; und das Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers. In einer Ausführungsform wird eine Source-/Drain-Region unter der Dielektrikumsschicht offengelegt, nachdem der Graben gebildet ist. In einer Ausführungsform umfasst das Verfahren ferner nach dem Nitridierungsprozess und vor dem Oxidationsprozess das Durchführen eines Temperprozesses, um einen unteren Abschnitt der Metallschicht mit der Source-/Drain-Region reagieren zu lassen, um eine Silizidregion zu bilden. In einer Ausführungsform verbleibt, nachdem die Metalloxynitridschicht entfernt ist, ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens. In einer Ausführungsform umfasst das Verfahren ferner das selektive Abscheiden einer Siliziumschicht auf dem unteren Abschnitt der Metallnitridschicht, wobei das metallische Material selektiv aus der Siliziumschicht gezüchtet wird. In einer Ausführungsform umfasst das Verfahren ferner vor dem selektiven Abscheiden der Siliziumschicht das Behandeln des unteren Abschnitts der Metallnitridschicht unter Verwendung von Titanchlorid (TiCl4). In einer Ausführungsform führt der Oxidationsprozess, der auf der Metallnitridschicht durchgeführt wird, dazu, dass die gesamte Metallnitridschicht über der Dielektrikumsschicht und die gesamte Metallnitridschicht auf Seitenwänden der Dielektrikumsschicht nitridiert werden, wobei ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens nach dem Oxidationsprozess verbleibt. In einer Ausführungsform werden das Entfernen der Metalloxynitridschicht und das Füllen des metallischen Materials vor Ort in einer selben Vakuumumgebung durchgeführt.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Vorrichtung eine Kontaktätzstoppschicht; ein erstes Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen Kontaktstecker, der sich in die Kontaktätzstoppschicht und das erste Zwischenschichtdielektrikum hinein erstreckt, auf, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine siliziumhaltige Schicht über der Metallnitridschicht; und ein homogenes metallisches Material über der siliziumhaltigen Schicht. In einer Ausführungsform weist die Metallnitridschicht ein erstes Metall auf und weist das homogene metallische Material ein zweites Metall auf, das sich von dem ersten Metall unterscheidet. In einer Ausführungsform umfasst die siliziumhaltige Schicht Aluminiumsilizid. In einer Ausführungsform weist die Vorrichtung ferner Chlor an einer Grenzfläche zwischen der siliziumhaltigen Schicht und der Metallnitridschicht auf. In einer Ausführungsform weist die Vorrichtung ferner eine Silizidregion auf, die unter der Metallnitridschicht liegt, wobei erste Chloratomkonzentrationen in der siliziumhaltigen Schicht und der Metallnitridschicht höher als zweite Chloratomkonzentrationen in dem homogenen metallischen Material und der Silizidregion sind. In einer Ausführungsform erstreckt sich die Metallnitridschicht nicht auf Seitenwänden des homogenen metallischen Materials. In einer Ausführungsform berühren Seitenwände des homogenen metallischen Materials Seitenwände des ersten Zwischenschichtdielektrikums. In einer Ausführungsform weist die Vorrichtung ferner eine Ätzstoppschicht über dem ersten Zwischenschichtdielektrikum; und ein zweites Zwischenschichtdielektrikum über der Ätzstoppschicht auf, wobei sich der Kontaktstecker ferner in die Ätzstoppschicht und das zweite Zwischenschichtdielektrikum hinein erstreckt. In einer Ausführungsform weist die Vorrichtung ferner eine Metallschicht unter der Metallnitridschicht; und eine Gate-Elektrode, die unter der Metallschicht liegt und diese berührt, auf.
  • Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Vorrichtung eine Source-/Drain-Region; eine erste Metallsilizidregion über der Source-/Drain-Region und diese berührend; einen Kontaktstecker über der ersten Metallsilizidregion und diese berührend, auf, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine zweite Metallsilizidregion über der Metallnitridschicht; und eine Aluminiumregion über der zweiten Metallsilizidregion. In einer Ausführungsform ist der Kontaktstecker barrierelos. In einer Ausführungsform weist die Vorrichtung ferner eine Kontaktätzstoppschicht; ein Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen dielektrischen Abstandshalter, der den Kontaktstecker einkreist und berührt, auf, wobei sich der dielektrische Abstandshalter sowohl in die Kontaktätzstoppschicht als auch das Zwischenschichtdielektrikum hinein erstreckt.
  • Das Vorherige erläutert Merkmale verschiedener Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung leicht als Grundlage zum Gestalten oder Abändern anderer Prozesse und Strukturen zum Erreichen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden kann. Ein Fachmann sollte auch realisieren, dass sich solche äquivalenten Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung entfernen und er verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen kann, ohne sich von dem Wesen und Umfang der vorliegenden Offenbarung zu entfernen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62903424 [0001]

Claims (20)

  1. Verfahren umfassend: Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht; Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt; Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines oberen Abschnitts der Metallschicht in eine Metallnitridschicht; Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht; Entfernen der Metalloxynitridschicht; und Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers.
  2. Verfahren nach Anspruch 1, wobei eine Source-/Drain-Region unter der Dielektrikumsschicht offengelegt wird, nachdem der Graben gebildet ist.
  3. Verfahren nach Anspruch 2, ferner umfassend, nach dem Nitridierungsprozess und vor dem Oxidationsprozess, das Durchführen eines Temperprozesses, um einen unteren Abschnitt der Metallschicht mit der Source-/Drain-Region reagieren zu lassen, um eine Silizidregion zu bilden.
  4. Verfahren nach einem der vorherigen Ansprüche, wobei, nachdem die Metalloxynitridschicht entfernt ist, ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens verbleibt.
  5. Verfahren nach Anspruch 4, ferner umfassend das selektive Abscheiden einer Siliziumschicht auf dem unteren Abschnitt der Metallnitridschicht, wobei das metallische Material selektiv aus der Siliziumschicht gezüchtet wird.
  6. Verfahren nach Anspruch 5, ferner umfassend, vor dem selektiven Abscheiden der Siliziumschicht, das Behandeln des unteren Abschnitts der Metallnitridschicht unter Verwendung von Titanchlorid (TiCl4).
  7. Verfahren nach einem der vorherigen Ansprüche, wobei der Oxidationsprozess, der auf der Metallnitridschicht durchgeführt wird, dazu führt, dass die gesamte Metallnitridschicht über der Dielektrikumsschicht und die gesamte Metallnitridschicht auf Seitenwänden der Dielektrikumsschicht nitridiert werden, wobei ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens nach dem Oxidationsprozess verbleibt.
  8. Verfahren nach einem der vorherigen Ansprüche, wobei das Entfernen der Metalloxynitridschicht und das Füllen des metallischen Materials vor Ort in einer selben Vakuumumgebung durchgeführt werden.
  9. Vorrichtung, die Folgendes aufweist: eine Kontaktätzstoppschicht; ein erstes Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen Kontaktstecker, der sich in die Kontaktätzstoppschicht und das erste Zwischenschichtdielektrikum hinein erstreckt, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine siliziumhaltige Schicht über der Metallnitridschicht; und ein homogenes metallisches Material über der siliziumhaltigen Schicht.
  10. Vorrichtung nach Anspruch 9, wobei die Metallnitridschicht ein erstes Metall aufweist und das homogene metallische Material ein zweites Metall aufweist, das sich von dem ersten Metall unterscheidet.
  11. Vorrichtung nach Anspruch 10, wobei die siliziumhaltige Schicht Aluminiumsilizid umfasst.
  12. Vorrichtung nach einem der Ansprüche 9 bis 11, die ferner Chlor an einer Grenzfläche zwischen der siliziumhaltigen Schicht und der Metallnitridschicht aufweist.
  13. Vorrichtung nach Anspruch 12, die ferner eine Silizidregion aufweist, die unter der Metallnitridschicht liegt, wobei erste Chloratomkonzentrationen in der siliziumhaltigen Schicht und der Metallnitridschicht höher als zweite Chloratomkonzentrationen in dem homogenen metallischen Material und der Silizidregion sind.
  14. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 13, wobei sich die Metallnitridschicht nicht auf Seitenwänden des homogenen metallischen Materials erstreckt.
  15. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 14, wobei Seitenwände des homogenen metallischen Materials Seitenwände des ersten Zwischenschichtdielektrikums berühren.
  16. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 15, die ferner Folgendes aufweist: eine Ätzstoppschicht über dem ersten Zwischenschichtdielektrikum; und ein zweites Zwischenschichtdielektrikum über der Ätzstoppschicht, wobei sich der Kontaktstecker ferner in die Ätzstoppschicht und das zweite Zwischenschichtdielektrikum hinein erstreckt.
  17. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 16, die ferner Folgendes aufweist: eine Metallschicht unter der Metallnitridschicht; und eine Gate-Elektrode, die unter der Metallschicht liegt und diese berührt.
  18. Vorrichtung, die Folgendes aufweist: eine Source-/Drain-Region; eine erste Metallsilizidregion über der Source-/Drain-Region und diese berührend; und einen Kontaktstecker über der ersten Metallsilizidregion und diese berührend, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine zweite Metallsilizidregion über der Metallnitridschicht; und eine Aluminiumregion über der zweiten Metallsilizidregion.
  19. Vorrichtung nach Anspruch 18, wobei der Kontaktstecker barrierelos ist.
  20. Vorrichtung nach Anspruch 18 oder 19, die ferner Folgendes aufweist: eine Kontaktätzstoppschicht; ein Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen dielektrischen Abstandshalter, der den Kontaktstecker einkreist und berührt, wobei sich der dielektrische Abstandshalter sowohl in die Kontaktätzstoppschicht als auch das Zwischenschichtdielektrikum hinein erstreckt.
DE102020101271.3A 2019-09-20 2020-01-21 Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern Active DE102020101271B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962903424P 2019-09-20 2019-09-20
US62/903,424 2019-09-20
US16/738,337 2020-01-09
US16/738,337 US11469139B2 (en) 2019-09-20 2020-01-09 Bottom-up formation of contact plugs

Publications (2)

Publication Number Publication Date
DE102020101271A1 true DE102020101271A1 (de) 2021-03-25
DE102020101271B4 DE102020101271B4 (de) 2023-04-27

Family

ID=74881163

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020101271.3A Active DE102020101271B4 (de) 2019-09-20 2020-01-21 Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern

Country Status (5)

Country Link
US (3) US11469139B2 (de)
KR (2) KR20210035032A (de)
CN (1) CN112542422A (de)
DE (1) DE102020101271B4 (de)
TW (1) TWI746141B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11232943B2 (en) * 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US20220223472A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Ruthenium Reflow For Via Fill
US11929314B2 (en) * 2021-03-12 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures including a fin structure and a metal cap
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091148A (en) * 1997-09-10 2000-07-18 Micron Technology Inc Electrical connection for a semiconductor structure
US6404054B1 (en) * 1998-10-28 2002-06-11 Samsung Electronics Co., Ltd. Tungsten layer formation method for semiconductor device and semiconductor device using the same
US20130075912A1 (en) * 2011-09-22 2013-03-28 Satoshi Wakatsuki Semiconductor device and method for manufacturing the same
US20180138123A1 (en) * 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
KR100294973B1 (ko) 1998-09-29 2001-10-26 김영환 반도체장치의플러그형성방법
TW541659B (en) * 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
JP2006156886A (ja) * 2004-12-01 2006-06-15 Renesas Technology Corp 半導体集積回路装置およびその製造方法
KR100753416B1 (ko) * 2006-03-24 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
EP3186829A4 (de) * 2014-08-29 2018-06-06 Intel Corporation Verfahren zum füllen mit hohem aspektverhältnis, schmale strukturen mit mehreren metallschichten und entsprechende konfigurationen
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US20180130707A1 (en) * 2015-06-18 2018-05-10 Intel Corporation Bottom-up fill (buf) of metal features for semiconductor structures
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20170141552A (ko) * 2016-06-15 2017-12-26 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US10014185B1 (en) * 2017-03-01 2018-07-03 Applied Materials, Inc. Selective etch of metal nitride films
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091148A (en) * 1997-09-10 2000-07-18 Micron Technology Inc Electrical connection for a semiconductor structure
US6404054B1 (en) * 1998-10-28 2002-06-11 Samsung Electronics Co., Ltd. Tungsten layer formation method for semiconductor device and semiconductor device using the same
US20130075912A1 (en) * 2011-09-22 2013-03-28 Satoshi Wakatsuki Semiconductor device and method for manufacturing the same
US20180138123A1 (en) * 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same

Also Published As

Publication number Publication date
US20210090948A1 (en) 2021-03-25
US20230386917A1 (en) 2023-11-30
KR20210035032A (ko) 2021-03-31
US11469139B2 (en) 2022-10-11
TW202114066A (zh) 2021-04-01
CN112542422A (zh) 2021-03-23
KR20220026559A (ko) 2022-03-04
TWI746141B (zh) 2021-11-11
US20220359285A1 (en) 2022-11-10
KR102495788B1 (ko) 2023-02-07
DE102020101271B4 (de) 2023-04-27

Similar Documents

Publication Publication Date Title
DE102019118467A1 (de) Abdeckschichten in metall-gates von transistoren
DE102017123353B4 (de) Verfahren zur herstellung eines umwickelten kontaktstifts
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102017127206A1 (de) Kontaktstruktur für halbleiter-bauelement
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102020101301A1 (de) Gatestrukturen für halbleiter-bauelemente
DE102019116921A1 (de) Bilden stickstoffhaltiger low-k-gate-abstandshalter
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102018100300A1 (de) Bilden eines leitfähigen merkmals und einer struktur
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102019112394A1 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102019117322A1 (de) Silizium-mischschicht zur blockierung von diffusion
DE102019129773B4 (de) Transistoren mit reduzierten defekten und verfahren zu deren herstellung
DE102019121152A1 (de) Sperrfreier ansatz zur bildung von kontaktstiften
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102019113425A1 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020124234A1 (de) Metallgates und verfahren zu ihrer herstellung
DE102023101602A1 (de) Metallgatestruktur und verfahren zu deren herstellung
DE102020119452B4 (de) Halbleitervorrichtung mit schnitt-metallgate-struktur und verfahren zu deren herstellung
DE102019128469B4 (de) Finnenfeldeffekttransistorbauelement und verfahren zu dessen herstellung
DE102020114865A1 (de) Nicht-konforme verkappungsschicht und verfahren zu deren herstellung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren
DE102020129561A1 (de) Verfahren zum abstimmen von schwellenspannungen vontransistoren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final