TW202114066A - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TW202114066A
TW202114066A TW109129945A TW109129945A TW202114066A TW 202114066 A TW202114066 A TW 202114066A TW 109129945 A TW109129945 A TW 109129945A TW 109129945 A TW109129945 A TW 109129945A TW 202114066 A TW202114066 A TW 202114066A
Authority
TW
Taiwan
Prior art keywords
layer
metal
metal nitride
nitride layer
silicide
Prior art date
Application number
TW109129945A
Other languages
English (en)
Other versions
TWI746141B (zh
Inventor
陳彥羽
程仲良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202114066A publication Critical patent/TW202114066A/zh
Application granted granted Critical
Publication of TWI746141B publication Critical patent/TWI746141B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種方法包含:蝕刻介電層,以形成溝槽於介電層中;沉積金屬層延伸至溝槽中;執行氮化處理於金屬層上,以將金屬層的上部分轉換為金屬氮化物層;執行氧化處理於金屬氮化物層上,以形成金屬氮氧化物層;移除金屬氮氧化物層;以及使用由下而上沉積處理,填充金屬材料於溝槽中,以形成接觸栓塞。

Description

由下而上的形成接觸栓塞
在積體電路的製造中,源極/汲極接觸栓塞用於連接到源極以及汲極區域以及電晶體的閘極。源極/汲極接觸栓塞通常連接至源極/汲極矽化物區域,其形成過程包括在層間介電層中形成接觸開口,沉積金屬層延伸到接觸開口中,然後執行退火,反應金屬層與源極/汲極區域的矽/鍺。源極/汲極的接觸栓塞接著形成在保留的接觸開口中。
可以理解的是,下述內容提供的不同實施方式或實施例可實施本揭露之標的不同特徵。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。特定構件與排列的實施例係用以簡化本揭露而非侷限本揭露。當然,這些僅是實施例,並且不旨在限制。舉例來說,以下所述之第一特徵形成於第二特徵上的敘述包含兩者直接接觸,或兩者之間隔有其他額外特徵而非直接接觸。此外,本揭露在多個實施例中可重複參考數字及/或符號。這樣的重複是為了簡化和清楚,而並不代表所討論的各實施例及/或配置之間的關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一特徵與另一特徵在圖示中的相對關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含在使用或操作中的設備之不同定向。裝置/設備可經其他方式定向(旋轉90度或處於其他定向)且因此可同樣地解讀本揭露所使用之空間相對性描述詞。
在一些實施方式中,提供電晶體及其形成方法。在一些實施方式中揭示形成電晶體和對應的接觸栓塞的中間階段。在一些實施方式中揭示了形成電晶體以及通孔的中間階段。並討論了一些實施方式中的一些變化。在各種視圖和說明性實施方式中,相似的參考標號用於指示相似的元件。在所說明的實施方式中,鰭式場效電晶體 (Fin Field-Effect Transistors ;FinFETs)的形成作為一示例以解釋本揭示內容的概念。其他類型的電晶體例如奈米線電晶體、奈米片晶體管、平面電晶體、環繞式閘極電晶體(Gate-All-Around;GAA) 等,也可以採用本揭示內容的概念。此外,此方法可以應用於其他互連結構例如通孔或金屬線等。儘管方法實施方式可以被討論為以特定順序執行,但是其他方法實施方式可以以任何邏輯順序執行。
在本揭示內容中的一些實施方式中,源極/汲極接觸栓塞和閘極接觸栓塞分別形成在電晶體的源極/汲極區域和閘極電極上,並與源極/汲極區域和閘極電極接觸。接觸栓塞的形成過程包括:沉積金屬層;氮化金屬層的表面部分以形成金屬氮化物層,以及執行退火處理以形成源極/汲極矽化物。接著,氧化金屬氮化物層,從而可除去所得的氧化物,並且金屬氮化物層的一些部分留在接觸開口的底部。金屬氮化物層用以作為選擇性沉積金屬的基底,並且沉積是自下而上的。
第1-6圖、第7A圖、第7B圖、第8-11圖、第12A圖、第12B圖和第13-22圖是根據一些實施方式繪示形成FinFET和對應的接觸栓塞的中間階段的透視圖和橫截面圖。這些附圖中所示的製程也示意性地反映在如第24圖所示的製造流程圖400中。
在第1圖中,提供基板20。基板20可以是半導體基板,例如表體半導體基板、絕緣層上覆矽 (Semiconductor-On-Insulator;SOI) 基板等,其中可經摻雜 (例如,用p型或n型摻雜劑),或未摻雜。基板20可以是晶片10的一部分,例如矽晶片。通常,SOI基板是在絕緣層上形成的半導體材料層。絕緣層可以是例如氧化埋 (Buried Oxide;BOX) 層或矽氧化物層等。絕緣層設置在通常為矽基板或玻璃基板的基板上。也可以使用其他基板,例如多層或梯度基板。在一些實施方式中,基板20的一半導體材料可以包括矽;鍺;一種化合物半導體包括碳化矽 (silicon carbide;SiC)、磷碳化矽(silicon phosphide carbide;SiPC)、砷化鎵 (gallium arsenic;GaAs)、磷化鎵 (gallium phosphide;GaP)、磷化銦 (indium phosphide;InP)、砷化銦 (indium arsenide;InAs)及/或銻化銦 (indium antimonide;InSb);合金半導體包括矽鍺 (SiGe)、磷化砷化鎵 (GaAsP)、砷化鋁銦 (AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦 (GaInAs)、磷化鎵銦(GaInP) 及/或磷化砷化鎵銦 (GaInAsP);或其組合。
進一步參考第1圖,阱區22形成在基板20中。各個處理例示為如第24圖所示的製造流程圖400中的流程402。在本揭示內容中的一些實施方式中,阱區22是p型阱區,經由將可為硼、銦等的p型不純物佈植入基板20中而形成。在本揭示內容中的其他實施方式中,阱區22是n型阱區,經由將可為磷、砷、銻等的n型不純物佈植入基板20中而形成。所得的阱區22可以延伸到基板20的頂表面。n型或p型不純物的濃度可以等於或小於1018 cm-3 ,例如介於約1017 cm-3 至約1018 cm-3 的範圍之間。
進一步參考第2圖,形成隔離區24,從基板20的頂表面延伸到基板20中。在下文中,隔離區24可替代地稱為淺溝槽隔離 (Shallow Trench Isolation;STI) 區。各個處理例示為如第24圖所示的製造流程圖400中的流程404。在相鄰的淺溝槽隔離區24之間的基板20部分稱為半導體片26。為了形成淺溝槽隔離區24,在基板20上形成墊氧化層28和硬遮罩層30,然後進行圖案化。墊氧化層28可以是由氧化矽形成的薄膜。在本揭示內容中的一些實施方式中,在熱氧化處理中形成墊氧化層28,其中基板20的頂表面層被氧化。墊氧化層28作為基板20和硬遮罩層30之間的貼附層。墊氧化層28還可以作為蝕刻硬遮罩層30時的蝕刻停止層。在本揭示內容中的一些實施方式中,硬遮罩層30是由氮化矽形成,例如,使用低壓化學氣相沉積 (Low-Pressure Chemical Vapor Deposition;LPCVD)在本揭示內容中的一些實施方式中,硬遮罩層30是由矽的熱氮化或電漿增強化學氣相沉積 (Plasma Enhanced Chemical Vapor Deposition;PECVD)形成。在硬遮罩層30上形成光阻(圖未示),然後圖案化光阻。接著,使用圖案化的光阻作為蝕刻遮罩,圖案化硬遮罩層30,以形成如第2圖所示的硬遮罩層30。
接下來,將圖案化的硬遮罩層30作為蝕刻遮罩,以蝕刻墊氧化層28和基板20,接著用介電材料填充基板20中所得的溝槽。執行例如化學機械研磨 (Chemical Mechanical Polish;CMP) 製程或機械研磨製程的平坦化製程,以去除介電材料的多餘部分,並且介電材料的保留部分為淺溝槽隔離區24。淺溝槽隔離區24可以包括襯墊介電質 (圖未示),可以是經由熱氧化基板20的表面層,而形成的熱氧化物。襯墊介電質還可以是由原子層沉積 (Atomic Layer Deposition;ALD)、高密度電漿化學氣相沈積 (High-Density Plasma Chemical Vapor Deposition;HDPCVD) 或化學氣相沈積 (Chemical Vapor Deposition;CVD)形成的沉積矽氧化物層、氮化矽層等。淺溝槽隔離區24可以包括在襯墊氧化物上的介電材料,其中介電材料可以使用流動式化學氣相沉積 (Flowable Chemical Vapor Deposition;FCVD)或旋塗塗覆等形成。在一些實施方式中,襯墊介電質上的介電材料包括矽氧化物。
硬遮罩層30的頂表面和淺溝槽隔離區24的頂表面彼此可實質上齊平。半導體片26在相鄰的淺溝槽隔離區24之間。在本揭示內容中的一些實施方式中,半導體片26是原始基板20的部分,並且因此半導體片26的材料與基板20的材料相同。在本揭示內容中的其他實施方式中,半導體片26為替代片,由蝕刻淺溝槽隔離區24以及基板20的部分形成凹陷,並且執行磊晶以在凹陷中再生長另一半導體材料所形成。因此,半導體片26由與基板20不同的半導體材料形成。在一些實施方式中,半導體片26由矽鍺、碳化矽或第III到V族化合物半導體材料形成。
參考第3圖,淺溝槽隔離區24是凹入的,從而半導體片26的頂部突出得較淺溝槽隔離區24的保留部分的頂表面24A高,以形成突出的鰭片36。各個處理例示為如第24圖所示的製造流程圖400中的流程406。蝕刻可以使用乾式蝕刻法執行,舉例而言,HF3 以及NH3 被用為蝕刻氣體。在蝕刻過程中,可產生電漿。還可包括氬氣。本揭露的其他實施方式中,使用濕式蝕刻法執行淺溝槽隔離區24的凹陷。舉例來說,蝕刻化學劑可包括HF。
突出的鰭片36可以由其他半導體材料形成或替換。舉例來說,對於n通道金氧半導體 (n-channel metal-oxide-semiconductor;NMOS) 電晶體而言,突出的鰭片36可包含或是由Si、SiP、SiC、SiPC、或第III到V族化合物半導體材料 (例如 InP、GaAs、AlAs、InAs、InAlAs、或InGaAs等) 形成。對於p通道金氧半導體 (P-type metal-oxide-semiconductor;PMOS)電晶體而言,突出的鰭片36可包含或是由Si、SiGe、SiGeB,、Ge、或第III到V族化合物半導體材料 (例如 InSb、GaSb、或InGaSb等) 形成。
在上述實施方式中,可以通過任何合適的方法來圖案化鰭片。例如,可以使用一或多種光微影製程來圖案化鰭片,包括雙重圖案化或多重圖案化製程。一般而言,雙重圖案化或多重圖案化製程將光微影和和自對準製程結合,從而創造圖案,例如具有間距小於使用單次的直接光微影所取得的圖案。舉例而言,在一實施方式中,在基板上形成犧牲層,並且使用光微影製程進行圖案化。使用自對準製程沿著圖案化的犧牲層的旁邊形成間隔物。接著,去除犧牲層,然後可以使用保留的間隔物或心軸來圖案化鰭片。
參考第4圖,虛設閘極堆疊38形成並延伸至 (凸出的) 鰭片36的複數側壁和頂表面上。各個處理例示為如第24圖所示的製造流程圖400中的流程408。虛設閘極堆疊38可包含虛設閘極介電層40以及虛設閘極電極42在虛設閘極介電層40上。虛設閘極電極42可以使用例如多晶矽形成,並且也可以使用其他材料。每個虛設閘極堆疊38還可以包括在虛設閘極電極42上方的一個 (或複數) 硬遮罩層44。硬遮罩層44可以由氮化矽、矽氧化物、碳氮化矽或由前述多層而形成。虛設閘極堆疊38可以橫過單一或複數突出的鰭片36和/或淺溝槽隔離區24。虛設閘極堆疊38也有縱向方向,垂直於突出的鰭片36的縱向方向。
接下來,閘極間隔物46形成於虛設閘極堆疊38的複數側壁上。各個處理例示為如第24圖所示的製造流程圖400中的流程408。在本揭示內容中的一些實施方式中,閘極間隔物46由介電材料例如氮化矽或碳氮化矽等形成,並且可以具有單層結構或包括複數介電層的多層結構。
接著,執行蝕刻製程以蝕刻未被虛設閘極堆疊38和閘極間隔物46覆蓋的突出的鰭片36的部分,從而得到如第5圖所示的結構。各個處理例示為如第24圖所示的製造流程圖400中的流程410。凹陷可以是各向異性的,因此在鰭片36中直接位於虛設閘極堆疊38和閘極間隔物46下方的部分受到保護,而不會被蝕刻。在一些實施方式中,凹陷的半導體片26的頂表面可以低於淺溝槽隔離區24的頂表面24A。因此形成凹陷50。凹陷50包括位於虛設閘極堆疊38的相對側上的複數部分以及在突出的鰭片36的保留部分之間的複數部分。
接下來,通過在凹陷50中選擇性地生長(通過磊晶) 半導體材料來形成磊晶區 (源極/汲極區域) 54,從而得到第6圖中的結構。各個處理例示為如第24圖所示的製造流程圖400中的流程412。可以根據所得的FinFET是p型FinFET還是n型FinFET,以磊晶製程原位摻雜p型或n型不純物。舉例而言,當所得的FinFET是p型FinFET時,可以生長矽鍺硼 (silicon germanium boron;SiGeB),矽硼(silicon boron;SiB) 等。相反的,當所得的FinFET是n型FinFET時,可以生長矽磷 (silicon phosphorous;SiP)、矽碳磷 (silicon carbon phosphorous;SiCP) 等。在本揭示內容中的其他實施方式中,磊晶區54包括第III到V族化合物半導體材料,例如GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlAs、AlP、GaP、前述組合或前述多層。在凹陷50中填充磊晶區54之後,進一步磊晶生長磊晶區54,使得磊晶區54水平延伸,並且可形成切面。磊晶區54的進一步生長還可以導致相鄰的磊晶區54彼此合併。產生孔洞 (空氣間隙) 56。在本揭示內容中的一些實施方式中,當磊晶區54的頂表面仍然是波浪形,或當合併的磊晶區54的頂表面變得平坦時,可以通過在磊晶區54上進一步生長來完成如第6圖所示的磊晶區54。
在磊晶步驟之後,可以向磊晶區54進一步植入p型或n型不純物以形成源極和汲極區域,其中也用附圖標記磊晶區54。在本揭示內容中的其他實施方式中,當在磊晶期間,磊晶區54原位摻雜p型或n型不純物時,跳過植入步驟。
第7A圖繪示了在形成接點蝕刻停止層 (contact etch stop layer;CESL)58和層間介電層 (Inter-Layer Dielectric;ILD)60之後的結構的透視圖。在第24圖所示的製造流程圖400中,各個處理被示為流程414。CESL 58可以由矽氧化物、氮化矽、碳氮化矽、碳氧化矽、氮氧化矽、碳氮氧化矽、氧化鋁、氮化鋁等形成,並且可以使用CVD或ALD等形成。ILD 60可以包括使用例如FCVD、旋塗塗覆、CVD或另一種沉積方法形成的介電材料。ILD 60可以由含氧的介電材料形成,其中可以是矽氧化物基底的材料,例如矽氧化物、磷矽玻璃 (Phospho-Silicate Glass;PSG)、硼矽玻璃 (Boro-Silicate Glass;BSG)、硼摻磷矽玻璃 (Boron-Doped Phospho-Silicate Glass;BPSG)、碳氧化矽、高k介電質材料例如氧化鋯、氧化鉿、或低k介電質材料。可以執行例如CMP製程或機械研磨製程的平坦化製程,以使ILD 60、虛設閘極堆疊38和閘極間隔物46的頂表面彼此齊平。
第7B圖繪示了第7A圖中的參考截面7B-7B,其中繪示了虛設閘極堆疊38。應當理解,磊晶區 (源極/汲極區域) 54的右側上的結構 (例如在區域63中)並未繪示,而在一些實施方式中,一種結構,包括與虛設閘極堆疊38相同的閘極結構和對應的閘極間隔物,可以形成在區域63和區域63的右側的區域中。
接下來,如第8圖所示,蝕刻包括硬遮罩層44、虛設閘極電極42和虛設閘極介電層40的虛設閘極堆疊38,從而在閘極間隔物46之間形成溝槽62。各個處理例示為如第24圖所示的製造流程圖400中的流程416。突出的鰭片36的頂表面和複數側壁暴露於溝槽62。接下來,如第9圖所示,在溝槽62 (第8圖) 中形成替代閘極堆疊68各個處理例示為如第24圖所示的製造流程圖400中的流程418。替代閘極堆疊68包括閘極介電層64以及對應的閘極電極66。
在本揭示內容中的一些實施方式中,閘極介電層64包括界面層 (Interfacial Layer;IL) 作為下部分。界面層形成在突出的鰭片36的暴露的表面。界面層可以包括例如矽氧化物層的氧化物層,其中經過對突出的鰭片36的熱氧化、化學氧化處理或沉積處理形成。閘極介電層64可包括在界面層上形成的高k介電層。高k介電層包括高k介電材料,例如氧化鉿、氧化鑭、氧化鋁、或氧化鋯等。高k介電材料的介電常數 (k值) 高於3.9,並且可以高於約7.0,有時高達21.0或更高。高k介電層接觸並位於界面層上方。在本揭示內容中的一些實施方式中,高k介電層使用 ALD、CVD、PECVD、分子束沉積 (Molecular-Beam Deposition;MBD) 等形成。
閘極電極66形成在閘極介電層64上。閘極電極66可以包括:複數堆疊層,可以形成作為共形層;以及金屬填充區域,填充未被複數堆疊層填充的剩餘溝槽62。堆疊層可包括阻擋層、在阻擋層上的功函數層、以及在功函數層上的一或複數金屬覆蓋層。金屬填充區域可以由鎢或鈷等形成。在替代的實施方式中,可以不形成阻擋層,並且覆蓋層可以完全填滿溝槽,而且不形成金屬填充區域。
第10圖是根據一些實施方式繪示自對準硬遮罩70的形成 。各個處理例示為如第24圖所示的製造流程圖400中的流程420。在其他實施方式中,不形成自對準硬遮罩70。自對準硬遮罩70的形成可以包括執行蝕刻製程以使替代閘極堆疊68凹陷,從而在閘極間隔物46之間形成凹陷,用介電材料填充凹陷,然後執行例如CMP製程或機械研磨製程的平坦化製程,以移除介電材料的多餘部分。自對準硬遮罩70包括或由氮化矽、氮氧化矽或碳氮氧化矽等形成。因此形成鰭式場效電晶體100。
如第11圖所示,形成蝕刻停止層72。在本揭示內容中的一些實施方式中,蝕刻停止層72由介電材料形成,其中介電材料可包括氮化矽、碳氧化矽、氮氧化矽、氧化鋁等或前述多層。層間介電層(ILD)74沉積於蝕刻停止層72上。在第24圖所示的製造流程圖400中,用於形成蝕刻停止層72以及層間介電層(ILD)74的製程繪示為流程422。在一些實施方式中,ILD74由選自與用於形成CESL 58的同一組候選材料的材料所形成。
第12A圖和第12B圖繪示源極/汲極接觸開口76和閘極接觸開口78的形成。各個處理例示為如第24圖所示的製造流程圖400中的流程424。源極/汲極接觸開口76的形成過程可以包括形成圖案化的光阻(圖未示),以及蝕刻ILD 74、蝕刻停止層72、ILD 60和CESL 58,以露出磊晶區 (源極/汲極區域) 54。閘極接觸開口78的形成過程可以包括形成另一圖案化的光阻 (圖未示),以及蝕刻ILD 74、蝕刻停止層72以及自對準硬遮罩70,以露出閘極電極66。源極/汲極接觸開口76和閘極接觸開口78可以通過不同的蝕刻製程形成,或者可以使用一般的蝕刻製程形成。在一些實施方式中,源極/汲極接觸開口76是延長的,並且具有垂直於源極/汲極區域的方向(Y方向)的縱向方向(X方向)。在一些實施方式中,可以使用NF3 以及NH3 的混合氣體或HF以及NH3 的混合氣體等,蝕刻ILD 74以及CESL 58。可以使用CF4 、O2 和N2 的混合氣體、NF3 和O2 的混合氣體、SF6 和O2 的混合氣體等,蝕刻蝕刻停止層72和CESL 58。在形成源極/汲極接觸開口76和閘極接觸開口78之後,可以執行清洗製程以移除在蝕刻製程中產生的聚合物。清洗製程可以使用氧 (O2 ) 或是H2 以及N2 的混合,產生電漿,接著使用去離子水的溼式清洗製程。
第12B圖繪示了第12A圖中的參考截面12B-12B。在一些實施方式中,源極/汲極接觸開口76和閘極接觸開口78的寬度W1介於約12nm至約20nm之間的範圍。源極/汲極接觸開口76和閘極接觸開口78的深寬比 (即深度與相應寬度的比率) 介於約6至約8之間的範圍。
接下來,如第13圖所示,形成介電間隔物80。形成過程可以包括:沉積毯覆介電層,以及通過各向異性蝕刻製程來蝕刻毯覆介電層。毯覆介電層可以是共形的或實質上共形的層,例如,水平部分和垂直部分的厚度的差小於約10%的水平厚度。沉積可以通過ALD或CVD等來實現。介電間隔物80可以由選自SiN、SiON、SiCN、SiC、SiOCN、AlON、AlN、HfOx 、前述組合及/或前述多層的介電材料形成。介電間隔物80可以幫助避免後續形成的源極/汲極接觸栓塞與閘極接觸栓塞之間的漏出。介電間隔物80的厚度可以在約1nm至約3nm之間的範圍內。
如第14圖所示,沉積金屬層82,延伸至源極/汲極接觸開口76和閘極接觸開口78兩者之中。各個處理例示為如第24圖所示的製造流程圖400中的流程426。金屬層82包括或由純或實質上純 (例如超過95%)的Ti、Ta、Ni等或前述合金形成。金屬層82是非共形層,水平部分的厚度T1大於垂直部分的厚度T2。厚度T2可以在源極/汲極接觸開口76和閘極接觸開口78的中間深度測量。在本揭示內容中的一些實施方式中,T1/T2的比例大於5:1,並且可以介於約5:1至約15:1之間的範圍。例如,厚度T1可以介於約100Å至約150Å之間的範圍。厚度T2可以在約6Å至約20Å之間的範圍。在本揭示內容中的一些實施方式中,經由物理氣相沉積 (PVD) 執行沉積。為了獲得期望的比例T1/T2,可以在施加偏功率 (和偏電壓) 下執行沉積。例如,偏電壓可以大於約150伏特,並且可以在介於約150伏特至約300伏特之間的範圍。
第15圖繪示氮化處理83,以形成金屬氮化物層84。各個處理例示為如第24圖所示的製造流程圖400中的流程428。在一些實施方式中,通過在例如氨氣 (NH3 ) 的含氮處理氣體中處理金屬層82,來進行氮化處理。金屬氮化物層84可包含或由TiN、TaN、NiN、或前述組合形成。氮化處理可以通過熱氮化處理及/或電漿氮化處理來執行。金屬層82的表面層轉換成金屬氮化物層84。金屬層82的側壁部分可以被完全轉換。替代地,轉換金屬層82的每個側壁部分的表面層,而金屬層82的側壁部分的內部部分保持為金屬層。部分地轉換金屬氮化物層84的水平部分,而金屬氮化物層84覆蓋金屬層82的保留部分。在本揭示內容的其他實施方式中,可將金屬氮化物層84沉積在金屬層82上,來代替沉積和氮化金屬層。在一些實施方式中,在源極/汲極接觸開口76和閘極接觸開口78的底部,金屬氮化物層84的厚度T3可以介於約4nm至約6nm之間的範圍。
第16圖繪示經過退火的矽化處理,根據金屬層82中的金屬包括矽化鈦、矽化鉭、或矽化鎳等,使得金屬層82與磊晶區 (源極/汲極區域) 54反應以形成矽化物區域86。各個處理例示為如第24圖所示的製造流程圖400中的流程430。矽化物區域86的厚度T4可以介於約4nm至約6nm之間的範圍內。可以通過介於約500°C至約600°C之間的溫度下,退火晶片10,持續例如約10秒至約20秒範圍內的一段時間,執行矽化製程。由於矽化處理,源極/汲極接觸開口76底部的金屬層82部分被完全矽化,因此金屬氮化物層84接觸矽化物區域86。在閘極電極66的頂部,金屬層82仍可保留一部分在金屬氮化物層84的相應部分之下。
如第17圖所示,執行氧化處理87以形成金屬氧化層88,其中可包含或是由TiOx 、TaOx 、NiOx 或其組合形成。各個處理例示為如第24圖所示的製造流程圖400中的流程432。金屬氧化物層88可以包含氮,因此可以是金屬氮氧化物層,其中金屬氮氧化物被認為是金屬氧化物的一種。例如,閘極接觸開口78中的金屬氧化物層88的部分可以包括由金屬層82形成的金屬氧化物層,以及由金屬氮化物層84形成的金屬氮氧化物層,其中金屬氮氧化物層在金屬氧化物層上並與金屬氧化物層接觸。另一方面,在源極/汲極接觸開口76中、在源極/汲極接觸開口76和閘極接觸開口78的複數側壁上、以及在ILD 74上的金屬氮化物層84的部分,可以被完全轉換為金屬氮氧化物。每一個源極/汲極接觸開口76和閘極接觸開口78的底部,金屬氮化物層84保持不被氧化。這是通過控制氧化時間和溫度來實現。
可以使用例如氧氣 (O2 )或臭氧 (O3 ) 等含氧氣體執行氧化。可通過使用上述處理氣體的熱處理來執行氧化,其中可產生或不產生電漿。可以使用由上述處理氣體產生的電漿來進行氧化,其中氧化期間的晶片10的溫度為室溫或提升。在熱及/或電漿氧化處理期間,晶片10的溫度也可以介於室溫至約250°C之間的範圍、或介於約160°C至約250°C之間的範圍內。含氧氣體的流速可以介於約2,000sccm至約6,000sccm之間的範圍。氧化持續時間可以介於約15秒至約60秒的範圍。不施加偏電壓/功率,而執行氧化。
在後續的過程中,通過蝕刻移除金屬氧化層88。各個處理例示為如第24圖所示的製造流程圖400中的流程434。在一些實施方式中,可以使用以氯為基底的蝕刻氣體,例如TaCl5 、WCl5 、WCl6 、MoCl5 、NbCl5 等或其組合,執行蝕刻。可以通過熱乾蝕刻製程來執行蝕刻,其中晶片10的溫度介於約300°C至約500°C之間的範圍。不論電漿有無,均可執行蝕刻。同樣,在蝕刻期間,沒有引入氫氣(H2 ),也沒有引入NH3 。否則,處理氣體可能成為沉積金屬層的前驅物,而不是蝕刻金屬氧化層88的前驅物。因為蝕刻,金屬氧化層88被完全移除。蝕刻是自我限制的,保留的金屬氮化物層84作為蝕刻停止層。因此,在每一個源極/汲極接觸開口76和閘極接觸開口78的底部處保留金屬氮化物層84的薄層。保留的金屬氮化物層 84 可具有厚度T5介於約1nm至約3nm之間的範圍。金屬氮化物層84也可以盡可能地薄,只要能完全覆蓋源極/汲極接觸開口76以及閘極接觸開口78的底部即可。
第18圖繪示在金屬氮化物層84上的處理過程。各個處理例示為如第24圖所示的製造流程圖400中的流程436。通過使用處理氣體處理,其中晶片10浸入處理氣體中。處理氣體可以包括TaCl5 、NiCl4 、WCl5 、MoCl5 等、或其組合。在處理期間,將晶片10加熱至例如介於約200°C至約500°C之間的範圍的溫度。沒有電漿產生。處理持續時間可以大於約5秒,並且可以在介於約5秒至50秒之間的範圍。當將TiCl4 用作處理氣體時,浸泡TiCl4 導致所得分子 (例如TiCl3 分子)連接下方的金屬氮化物層84的懸鍵。如第18圖所示,連接的分子表示為分子89。另一方面,處理氣體的分子沒有連接到暴露的介電材料例如介電間隔物80和ILD 74的表面。
第19圖進一步繪示使用含矽氣體作為前驅物,選擇性沉積矽化物層90,其中可以是SiH4 、Si2 H6 、Si3 H8 等、或前述組合。各個處理例示為如第24圖所示的製造流程圖400中的流程438。可以使用化學氣相沉積 (CVD) 或其他適用的方法來執行沉積。在矽化物層90的沉積期間,將晶片10加熱至例如溫度介於約400°C至約550°C之間的範圍。前驅物的壓力可以介於約15托至約40托之間的範圍。沉積時間可以介於約30秒至約600秒的範圍內。矽化物層90的厚度可以介於約1Å至約10Å之間的範圍內,或是介於約1Å至約5Å之間的範圍內。矽化物層90可以是非晶層。
當形成矽化物層90,並提供氫 (例如,來自SiH4 )時,在矽化物層90的頂表面上形成Si-H鍵。這為後續的金屬填充提供了良好的基礎,並且矽化物層90可作為種子層,用於在源極/汲極接觸開口76和閘極接觸開口78中選擇性沉積金屬。在本揭示內容的其他實施方式中,不執行以氯為基底的氣體處理及/或矽化物層90的沉積。在一些實施方式中,即使不執行這些處理,在選擇適當的處理氣體之下,也可以通過使用金屬氮化物層84作為選擇性沉積的基底,來達成一些自下而上的效果。然而,當形成矽化物層90時,沉積的選擇性更高,其中選擇性是金屬在矽上的沉積速率與金屬在介電材料上的沉積速率之比例。
第20圖繪示自下而上選擇性沉積金屬到源極/汲極接觸開口76以及閘極接觸開口78中,從而形成金屬區92。各個處理例示為如第24圖所示的製造流程圖400中的流程440。在一些實施方式中,金屬區92包括或由鋁、鉬、釕、銥、鎢、鈷等或其組合形成。金屬區92的整體可以是均質的。在一些沉積鋁的實施方式中,反應處理氣體包括氫化二甲基鋁 (dimethylaluminum hydride;DMAH) 和氫氣 (H2 )。DMAH傾向選擇性沉積鋁,特別是在矽化物層上。沉積方法可以包括CVD等方法。沉積溫度可以介於約175°C至約275°C之間的範圍。反應處理氣體的壓力可以介於約1托至約3托之間的範圍。所得的金屬區92可以完全填滿源極/汲極接觸開口76和閘極接觸開口78,或者可以填滿為頂表面,略低於ILD 74的頂表面。舉例而言,金屬區92的高度可以介於約500Å至約1,500Å之間的範圍,取決於源極/汲極接觸開口76以及閘極接觸開口78的深度。
矽化物層90作為種子層,用於沉積金屬區92。另一方面,金屬並未沉積在暴露的介電材料,例如介電間隔物80和ILD 74的表面上。因此,金屬區92的沉積是選擇性沉積製程,並且是由下而上沉積處理。所得的金屬區92是無縫的( seam free)。由於鋁對金屬氮化物層84、介電間隔物80以及ILD 74具有良好的貼附性,因此無需形成貼附層 (阻擋層barrier) (通常由Ti、TiN、Ta、TaN等形成),即可形成金屬區92。因此,所得的接觸栓塞是無阻擋層的( barrier-less)。
第23圖繪示了用於執行如第18圖、第19圖和第20圖所繪示的製程的製備工具200。製備工具200包括用於載入和卸載晶片的載入模組110,以及複數個處理腔室。處理室包括用於蝕刻金屬氧化物 (氮氧化物) 層88的腔室112 (如第17圖所示)、用於處理金屬氮化物層和沉積矽化物層90的腔室114 (第19圖) 以及用於沉積金屬區92的腔室116 (第20圖)。在製備工具200中原位執行金屬氧化物層的蝕刻、金屬氮化物層84的處理、沉積矽化物層90、以及金屬區92的沉積,從而在這些製程之間不發生真空破壞。否則,金屬氮化物層84和矽化物層90的暴露表面可能被氧化,並且後續的沉積製程可能不會是選擇性的。
在後續的製程中,如第20圖所示的結構經過熱處理,以回流金屬區92。在回流處理期間,氫氣 (H2 ) 可以作為處理氣體,以便去除一些不希望的雜質,例如移除金屬區92中的碳。在回流中,晶片10在熱處理中的溫度可以在約400°C至約450°C之間的範圍。鋁,如果使用的話,在金屬區92中可以被部分熔化。因為回流,金屬區92具有多晶結構,並且有利地,與回流之前相比,晶粒尺寸可以增大。例如,在回流處理之前,金屬區中超過75% (晶粒數百分比)的晶粒的晶粒尺寸介於約2 nm至約8nm之間。回流處理之後,超過75%的晶粒具有落在介於約 9 nm至約15 nm之間的晶粒尺寸。此外,通過回流,去除金屬區92中的任何縫或空隙。
在一些實施方式中,根據是否執行回流處理以及根據後續製程的溫度,矽化物層90,可以是矽化鋁 (AlSiY ),可以 (或可以不) 與覆蓋的金屬區92反應,形成金屬矽化物區域91。因此,標記並將對應的區域稱為含矽區域90/91 (矽化物層90以及金屬矽化物區域91),以表示可能有可區分的矽化物層90,或者可能有金屬矽化物區域91。在一些實施方式中,金屬矽化物區域91的厚度介於約2Å至約30Å之間的範圍。
如第21圖所示,執行例如化學機械研磨 (Chemical Mechanical Polish;CMP) 製程或機械研磨製程的平坦化製程,以去除金屬區92的多餘部分,從而使得金屬區92的頂表面與ILD 74的頂表面共平面。各個處理例示為如第24圖所示的製造流程圖400中的流程442。因此,形成源極/汲極接觸栓塞94A與閘極接觸栓塞94B。
在一些實施方式中,源極/汲極接觸栓塞94A包括金屬區94、矽化物層90或金屬矽化物區域91,以及金屬氮化物層84。金屬氮化物層84覆蓋並接觸矽化物區域86。處理 (使用TiCl4 ) 中引入的元素(例如Ti和Cl),可以位於介於矽化物層90和金屬氮化物層84間的界面處。此外,矽化物層90或金屬矽化物區域91可以是可區分的層,或者可以是太薄而無法被區分的層,並且由於後續的熱處理,還可以造成其擴散。含矽區域90/91中的矽原子百分比可以是最高的,並且原子百分比沿著遠離含矽區域90/91的方向降低。同樣地,在含矽區域90/91中可能會觀察到一些元素例如氯 (由於TiCl4 處理),這些元素的濃度可以隨著遠離界面區域而降低。例如,第21圖中的箭頭96A和箭頭96B繪示了氯百分比可逐漸降低的方向。箭頭96A還繪示了矽原子百分比降低的方向。需要強調的是,矽化物區域86的矽原子百分比可以達到峰值。因此,矽原子百分比可以存在兩個濃度峰值,第一峰值在矽化物區域86中,並且第二峰值位於矽化物層90所在的位置。第二峰值可以低於第一峰值。金屬氮化物層84中的矽原子百分比可以低於兩個峰值。
在一些實施方式中,閘極接觸栓塞94B包括金屬區94、含矽區90/91以及金屬氮化物層84。由鈦形成的金屬層82可以存在或可以不存在。因此,金屬氮化物層84的底表面或金屬層 (鈦層) 82的底表面接觸閘極電極66。處理 (使用TiCl4 ) 中引入的元素(例如Ti和Cl),可以位於介於含矽區域90/91和金屬氮化物層84間的界面處。此外,含矽區域90/91可以是可區分的層,或者可以是太薄而無法被區分的層,並且由於後續的熱處理,還可以造成其擴散。含矽區域90/91中的矽原子百分比可以是最高的,並且原子百分比沿著遠離含矽區域90/91的方向降低。同樣地,在界面區域中可能會觀察到一些元素例如氯,這些元素的濃度可以隨著遠離界面區域而降低。例如,第21圖中的箭頭97繪示了矽原子百分比以及氯百分比可逐漸降低的方向。
第22圖繪示了形成蝕刻停止層122以及介電層124。在一些實施方式中,蝕刻停止層122包括或由碳化矽、碳氧化矽、氮氧化矽、氧化鋁等,或其多層形成,介電層124可以是低k介電層。形成通孔130和通孔132,以延伸到介電層124和蝕刻停止層122中,並分別接觸源極/汲極接觸栓塞94A和閘極接觸栓塞94B。每一個通孔130和通孔132可以包括貼附/阻擋層126和金屬填充區域128。貼附/阻擋層126可以由Ti、TiN、Ta、或TaN等形成。金屬填充區域128可以包括Ru、Ir、Mo、W、Cu等或其合金。
本公開的實施方式具有一些有利特徵。經由氧化金屬氮化物層,可將金屬氮化物層從複數側壁以及介電層的頂表面移除,而金屬氮化物層可選擇性地留在接觸開口的底部。這使得矽化物層能夠選擇性沉積,從而選擇性地由下而上沉積金屬區。因此,接觸栓塞是無縫的。
在本揭示內容中的一些實施方式中,一種方法,包含蝕刻介電層,以形成溝槽於介電層中;沉積金屬層延伸至溝槽中;執行氮化處理於金屬層上,以將金屬層的上部分轉換為金屬氮化物層;執行氧化處理於金屬氮化物層上,以形成金屬氮氧化物層;移除金屬氮氧化物層;以及使用由下而上沉積處理填充金屬材料於溝槽中,以形成接觸栓塞。在一實施方式中,在形成溝槽後,裸露出在介電層下的源極/汲極區域。在一實施方式中,更包括在氮化處理之後以及氧化處理之前,執行退火處理,以使金屬層的下部分與源極/汲極區域反應,以形成矽化物區域。在一實施方式中,在移除金屬氮氧化物層之後,金屬氮化物層的底部部分保留在溝槽的底部。在一實施方式中,更包括在金屬氮化物層的底部部分上選擇性地沉積矽化物層,其中金屬材料選擇性地由矽化物層生長。在一實施方式中,更包括在選擇性沉積矽化物層之前,使用氯化鈦 (TiCl4 ) 處理金屬氮化物層的底部部分。在一實施方式中,於金屬氮化物層上執行的氧化處理,導致介電層上所有的金屬氮化物層,和在介電層的複數側壁上所有的金屬氮化物層被氮化,並在氧化處理後,在溝槽的底部的金屬氮化物層的底部部分被保留。在一實施方式中,移除金屬氮氧化物層和填充金屬材料為原位執行於相同的真空環境下。
在本揭示內容中的一些實施方式中,一種裝置包含接點蝕刻停止層;第一層間介電層,在接點蝕刻停止層上;以及接觸栓塞,延伸至接點蝕刻停止層以及第一層間介電層中,接觸栓塞包含:金屬氮化物層;含矽層,在金屬氮化物層上;以及均質金屬材料,在含矽層上。在一實施方式中,金屬氮化物層包含第一金屬,並且均質金屬材料包含與第一金屬不同的第二金屬。在一實施方式中,含矽層包含矽化鋁。在一實施方式中,裝置更包括在含矽層以及金屬氮化物層之間的界面的氯。在一實施方式中,裝置更包括在金屬氮化物層下的矽化物區域,其中含矽層和金屬氮化物層中的第一氯原子濃度高於均質金屬材料以及矽化物區域中的第二氯原子濃度。在一實施方式中,金屬氮化物層不會延伸到均質金屬材料的複數側壁上。在一實施方式中,均質金屬材料的複數側壁接觸第一層間介電層的複數側壁。在一實施方式中,裝置更包括蝕刻停止層,在第一層間介電層上;以及第二層間介電層,在蝕刻停止層上,其中接觸栓塞更延伸至蝕刻停止層以及第二層間介電層中。在一實施方式中,裝置更包括金屬層,在金屬氮化物層下;以及閘極電極,在金屬層下並接觸金屬層。
在本揭示內容中的一些實施方式中,一種裝置包括源極/汲極區域;第一金屬矽化物區域,在源極/汲極區域上並接觸源極/汲極區域;以及接觸栓塞,在第一金屬矽化物區域上並接觸第一金屬矽化物區域,接觸栓塞包括:金屬氮化物層;第二金屬矽化物區域,在金屬氮化物層上;以及鋁區,在第二金屬矽化物區域上。在一實施方式中,接觸栓塞是無阻擋層的。在一實施方式中,裝置更包括接點蝕刻停止層;層間介電層在接點蝕刻停止層上; 以及介電間隔物,環繞並接觸接觸栓塞,其中介電間隔物,延伸至接點蝕刻停止層以及層間介電層中。
前述概述了幾個實施方式的特徵,使得本領域技術人員可以更好地理解本揭示的態樣。本領域技術人員應當理解,他們可以容易地將本揭露用作設計或修改其他過程和結構的基礎,以實現與本文介紹的實施方式相同的目的及/或實現相同的優點。本領域技術人員也應當理解,這樣的等效構造不脫離本揭示的精神和範圍,並且在不脫離本揭示的精神和範圍的情況下,它們可以在這裡進行各種改變、替換和變更。
10:晶片 20:基板 22:阱區 24:隔離區 24A:頂表面 26:半導體片 28:墊氧化層 30:硬遮罩層 36:鰭片 38:虛設閘極堆疊 40:虛設閘極介電層 42:虛設閘極電極 44:硬遮罩層 46:閘極間隔物 54:磊晶區 56:孔洞 58:接點蝕刻停止層 60:層間介電層 62:溝槽 63:區域 64:閘極介電層 66:閘極電極 68:替代閘極堆疊 70:自對準硬遮罩 72:蝕刻停止層 74:層間介電層 76:源極/汲極接觸開口 78:閘極接觸開口 80:介電間隔物 82:金屬層 83:氮化處理 84:金屬氮化物層 86:矽化物區域 87:氧化處理 88:金屬氧化物層 89:分子 90:矽化物層 91:金屬矽化物區域 92:金屬區 94:金屬區 94A:源極/汲極接觸栓塞 94B:閘極接觸栓塞 96A:箭頭 96B:箭頭 97:箭頭 100:鰭式場效電晶體 110:載入模組 112:腔室 114:腔室 116:腔室 126:貼附/阻擋層 128:金屬填充區域 130:通孔 132:通孔 200:製備工具 400:製造流程圖 402:流程 404:流程 406:流程 408:流程 410:流程 412:流程 414:流程 416:流程 418:流程 420:流程 422:流程 424:流程 426:流程 428:流程 430:流程 432:流程 434:流程 436:流程 438:流程 440:流程 442:流程 7B-7B:截面 12B-12B:截面 W1:寬度 T1:厚度 T2:厚度 T3:厚度 T4:厚度 T5:厚度
當與所附圖式一起閱讀時,本揭露內容可自以下詳細的描述而得到最好的理解。要強調的是,根據產業的標準做法,各個特徵並不按尺寸繪製,而僅用來說明目的。事實上,為了清楚地討論,各個特徵的尺寸可任意地增加或減小。 第1-6圖、第7A圖、第7B圖、第8-11圖、第12A圖、第12B圖和第13-22圖是根據一些實施方式繪示形成電晶體和各個接觸栓塞的中間階段的透視圖和橫截面圖。 第23圖是根據一些實施方式繪示形成接觸栓塞的製備工具 。 第24圖是根據一些實施方式繪示形成電晶體和各個接觸栓塞的流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
10:晶片
20:基板
24A:頂表面
36:鰭片
46:閘極間隔物
54:磊晶區
58:接點蝕刻停止層
60:層間介電層
64:閘極介電層
66:閘極電極
68:替代閘極堆疊
72:蝕刻停止層
74:層間介電層
80:介電間隔物
82:金屬層
84:金屬氮化物層
86:矽化物區域
90:矽化物層
91:金屬矽化物區域
92:金屬區
94A:源極/汲極接觸栓塞
94B:閘極接觸栓塞
96A:箭頭
96B:箭頭
97:箭頭

Claims (20)

  1. 一種方法,包含: 蝕刻一介電層,以形成一溝槽於該介電層中; 沉積一金屬層延伸至該溝槽中; 執行一氮化處理於該金屬層上,以將該金屬層的一上部分轉換為一金屬氮化物層; 執行一氧化處理於該金屬氮化物層上,以形成一金屬氮氧化物層; 移除該金屬氮化物層;以及 使用一由下而上沉積處理,填充一金屬材料於該溝槽中,以形成一接觸栓塞。
  2. 如請求項1所述的方法,其中在形成該溝槽後,裸露出在該介電層下的一源極/汲極區域。
  3. 如請求項2所述的方法,更包括在該氮化處理之後以及該氧化處理之前,執行一退火處理,以使該金屬層的一下部分與該源極/汲極區域反應,以形成一矽化物區域。
  4. 如請求項1所述的方法,其中在移除該金屬氮氧化物層之後,該金屬氮化物層的一底部部分保留在該溝槽的一底部。
  5. 如請求項4所述的方法,更包括在該金屬氮化物層的該底部部分上選擇性地沉積一矽化物層,其中該金屬材料選擇性地由該矽化物層生長。
  6. 如請求項5所述的方法,更包括在該選擇性沉積該矽化物層之前,使用氯化鈦(TiCl4 ) 處理該金屬氮化物層的該底部部分。
  7. 如請求項1所述的方法,其中執行該氧化處理於該金屬氮化物層上,導致該介電層上所有的該金屬氮化物層,和在該介電層的複數側壁上所有的該金屬氮化物層被氮化,並在該氧化處理後,在該溝槽的一底部的該金屬氮化物層的一底部部分被保留。
  8. 如請求項1所述的方法,其中移除該金屬氮氧化物層和填充該金屬材料為原位執行於相同的一真空環境下。
  9. 一種裝置,包含: 一接點蝕刻停止層; 一第一層間介電層,在該接點蝕刻停止層上;以及 一接觸栓塞,延伸至該接點蝕刻停止層以及該第一層間介電層中,該接觸栓塞包含: 一金屬氮化物層; 一含矽層,在該金屬氮化物層上;以及 一均質金屬材料,在該含矽層上。
  10. 如請求項9所述的裝置,其中該金屬氮化物層包含一第一金屬,並且該均質金屬材料包含與該第一金屬不同的一第二金屬。
  11. 如請求項10所述的裝置,其中該含矽層包含矽化鋁。
  12. 如請求項9所述的裝置,更包括在該含矽層以及該金屬氮化物層之間的一界面的氯。
  13. 如請求項12所述的裝置,更包括在該金屬氮化物層下的一矽化物區域,其中該含矽層和該金屬氮化物層中的第一氯原子濃度高於該均質金屬材料以及該矽化物區域中的第二氯原子濃度。
  14. 如請求項9所述的裝置,其中該金屬氮化物層不會延伸到該均質金屬材料的複數側壁上。
  15. 如請求項9所述的裝置,其中該均質金屬材料的複數側壁接觸該第一層間介電層的複數側壁。
  16. 如請求項9所述的裝置,更包括: 一蝕刻停止層,在該第一層間介電層上;以及 一第二層間介電層,在該蝕刻停止層上,其中該接觸栓塞更延伸至該蝕刻停止層以及該第二層間介電層中。
  17. 如請求項9所述的裝置,更包括: 一金屬層,在該金屬氮化物層下;以及 一閘極電極,在該金屬層下並接觸該金屬層。
  18. 一種裝置,包括: 一源極/汲極區域; 一第一金屬矽化物區域,在該源極/汲極區域上並接觸該源極/汲極區域;以及 一接觸栓塞,在該第一金屬矽化物區域上並接觸該第一金屬矽化物區域,該接觸栓塞包括: 一金屬氮化物層: 一第二金屬矽化物區域,在該金屬氮化物層上;以及 一鋁區,在該第二金屬矽化物區域上。
  19. 如請求項18所述的裝置,其中該接觸栓塞是無阻擋層的。
  20. 如請求項18所述的裝置,更包括: 一接點蝕刻停止層; 一層間介電層在該接點蝕刻停止層上;以及 一介電間隔物,環繞並接觸該接觸栓塞,其中該介電間隔物,延伸至該接點蝕刻停止層以及該層間介電層中。
TW109129945A 2019-09-20 2020-09-01 半導體裝置及其形成方法 TWI746141B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962903424P 2019-09-20 2019-09-20
US62/903,424 2019-09-20
US16/738,337 US11469139B2 (en) 2019-09-20 2020-01-09 Bottom-up formation of contact plugs
US16/738,337 2020-01-09

Publications (2)

Publication Number Publication Date
TW202114066A true TW202114066A (zh) 2021-04-01
TWI746141B TWI746141B (zh) 2021-11-11

Family

ID=74881163

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129945A TWI746141B (zh) 2019-09-20 2020-09-01 半導體裝置及其形成方法

Country Status (5)

Country Link
US (3) US11469139B2 (zh)
KR (2) KR20210035032A (zh)
CN (1) CN112542422A (zh)
DE (1) DE102020101271B4 (zh)
TW (1) TWI746141B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11232943B2 (en) * 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US20220223472A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Ruthenium Reflow For Via Fill
US11929314B2 (en) * 2021-03-12 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures including a fin structure and a metal cap
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法
US20220352328A1 (en) * 2021-04-28 2022-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Disposable Hard Mask for Interconnect Formation
US20230009981A1 (en) * 2021-07-09 2023-01-12 Taiwan Semiconductor Manufacturing Company Limited Conductive structures and methods of formation
US20230036693A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Devices and Methods of Manufacture
US20240096698A1 (en) * 2022-09-20 2024-03-21 Qualcomm Incorporated Selective tungsten contact plugs above gate and source/drain contacts

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091148A (en) * 1997-09-10 2000-07-18 Micron Technology Inc Electrical connection for a semiconductor structure
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
KR100294973B1 (ko) 1998-09-29 2001-10-26 김영환 반도체장치의플러그형성방법
KR100273767B1 (ko) * 1998-10-28 2001-01-15 윤종용 반도체소자의 텅스텐막 제조방법 및 그에 따라 제조되는 반도체소자
TW541659B (en) 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
US6730573B1 (en) * 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
JP2006156886A (ja) * 2004-12-01 2006-06-15 Renesas Technology Corp 半導体集積回路装置およびその製造方法
KR100753416B1 (ko) 2006-03-24 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP5710529B2 (ja) * 2011-09-22 2015-04-30 株式会社東芝 半導体装置及びその製造方法
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
CN106663667B (zh) * 2014-08-29 2020-02-14 英特尔公司 用于用多个金属层填充高纵横比的窄结构的技术以及相关联的配置
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
KR20180018510A (ko) * 2015-06-18 2018-02-21 인텔 코포레이션 반도체 구조체들을 위한 금속 피처들의 BUF(Bottom-Up Fill)
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20170141552A (ko) 2016-06-15 2017-12-26 삼성전자주식회사 반도체 소자 및 그 제조 방법.
US20180138123A1 (en) 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same
US10014185B1 (en) * 2017-03-01 2018-07-03 Applied Materials, Inc. Selective etch of metal nitride films
US10475654B2 (en) * 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法

Also Published As

Publication number Publication date
US20220359285A1 (en) 2022-11-10
DE102020101271B4 (de) 2023-04-27
KR102495788B1 (ko) 2023-02-07
US20210090948A1 (en) 2021-03-25
KR20220026559A (ko) 2022-03-04
CN112542422A (zh) 2021-03-23
DE102020101271A1 (de) 2021-03-25
US11469139B2 (en) 2022-10-11
TWI746141B (zh) 2021-11-11
KR20210035032A (ko) 2021-03-31
US20230386917A1 (en) 2023-11-30

Similar Documents

Publication Publication Date Title
TWI746141B (zh) 半導體裝置及其形成方法
US10636664B2 (en) Wrap-around contact plug and method manufacturing same
US12002712B2 (en) Phase control in contact formation
TWI737007B (zh) 積體電路裝置及其形成方法
US11901229B2 (en) Barrier-free approach for forming contact plugs
US11355616B2 (en) Air spacers around contact plugs and method forming same
US20240297235A1 (en) Air spacers around contact plugs and method forming same
TWI845103B (zh) 半導體裝置結構之形成方法
US11948981B2 (en) Seam-filling of metal gates with Si-containing layers
KR102610582B1 (ko) 알루미늄을 함유하지 않는 일함수 층을 갖는 nfet 및 이를 형성하는 방법
KR20230131064A (ko) 트랜지스터 내의 일함수 금속 및 이를 형성하는 방법
TW202343578A (zh) 半導體裝置及其形成方法
TW202129722A (zh) 半導體裝置的形成方法