DE102020101271A1 - Bottom-up formation of contact plugs - Google Patents

Bottom-up formation of contact plugs Download PDF

Info

Publication number
DE102020101271A1
DE102020101271A1 DE102020101271.3A DE102020101271A DE102020101271A1 DE 102020101271 A1 DE102020101271 A1 DE 102020101271A1 DE 102020101271 A DE102020101271 A DE 102020101271A DE 102020101271 A1 DE102020101271 A1 DE 102020101271A1
Authority
DE
Germany
Prior art keywords
layer
metal
metal nitride
silicon
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020101271.3A
Other languages
German (de)
Other versions
DE102020101271B4 (en
Inventor
Yen-Yu Chen
Chung-Liang Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020101271A1 publication Critical patent/DE102020101271A1/en
Application granted granted Critical
Publication of DE102020101271B4 publication Critical patent/DE102020101271B4/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02244Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of a metallic layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Ein Verfahren umfasst das Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht, das Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt, das Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines Abschnitts der Metallschicht in eine Metallnitridschicht, das Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht, das Entfernen der Metalloxynitridschicht, und das Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers.One method includes etching a dielectric layer to form a trench in the dielectric layer, depositing a metal layer that extends into the trench, performing a nitriding process on the metal layer to convert a portion of the metal layer into a metal nitride layer, performing an oxidation process the metal nitride layer to form a metal oxynitride layer, removing the metal oxynitride layer, and filling a metallic material into the trench using a bottom-up deposition process to form a contact plug.

Description

PRIORITÄTSANSPRUCH UND QUERVERWEISPRIORITY CLAIM AND CROSS REFERENCE

Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 62/903,424 , eingereicht am 20. September 2019, mit dem Titel „Bottom-up Formation of Contact Plugs“, die durch Bezugnahme in die vorliegende Anmeldung aufgenommen ist.This application claims priority of the provisional U.S. Patent Application No. 62 / 903,424 , filed September 20, 2019, entitled "Bottom-up Formation of Contact Plugs," which is incorporated herein by reference.

ALLGEMEINER STAND DER TECHNIKGENERAL STATE OF THE ART

Bei der Herstellung von integrierten Schaltungen werden /Source-/Drain-Kontaktstecker zum Verbinden mit den Source- und Drain-Regionen und den Gates von Transistoren verwendet. Die Source-/Drain-Kontaktstecker sind typischerweise mit Source-/Drain-Silizidregionen verbunden, deren Bildungsprozess das Bilden von Kontaktöffnungen in einem Zwischenschichtdielektrikum, das Abscheiden einer Metallschicht, die sich in die Kontaktöffnungen hinein erstreckt, und dann das Durchführen eines Temperns, um die Metallschicht mit dem Silizium/Germanium der Source-/Drain-Regionen reagieren zu lassen, umfasst. Die Source-/Drain-Kontaktstecker werden dann in den verbleibenden Kontaktöffnungen gebildet.In integrated circuit manufacture, / source / drain contact plugs are used to connect to the source and drain regions and gates of transistors. The source / drain contact plugs are typically connected to source / drain silicide regions, the process of which involves forming contact openings in an interlayer dielectric, depositing a metal layer that extends into the contact openings, and then performing an anneal around the To allow the metal layer to react with the silicon / germanium of the source / drain regions, includes. The source / drain contact plugs are then formed in the remaining contact openings.

FigurenlisteFigure list

Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erörterung willkürlich vergrößert oder verkleinert sein.

  • 1-6, 7A, 7B, 8-11, 12A, 12B und 13-22 veranschaulichen die perspektivischen Ansichten und Querschnittsansichten von Zwischenstufen bei dem Bilden eines Transistors und der jeweiligen Kontaktstecker gemäß einigen Ausführungsformen.
  • 23 veranschaulicht ein Produktionswerkzeug zum Bilden von Kontaktsteckern gemäß einigen Ausführungsformen.
  • 24 veranschaulicht einen Prozessfluss zum Bilden eines Transistors und der jeweiligen Kontaktstecker gemäß einigen Ausführungsformen.
Aspects of the present disclosure can be best understood from the following detailed description in conjunction with the accompanying drawings. It should be noted that, in accordance with industry practice, various features are not shown to scale. Indeed, the dimensions of the various features may be increased or decreased arbitrarily for ease of discussion.
  • 1-6 , 7A , 7B , 8-11 , 12A , 12B and 13-22 10 illustrate the perspective and cross-sectional views of intermediate stages in the formation of a transistor and the respective contact plugs in accordance with some embodiments.
  • 23 Figure 11 illustrates a production tool for forming contact plugs in accordance with some embodiments.
  • 24 illustrates a process flow for forming a transistor and the respective contact plugs in accordance with some embodiments.

AUSFÜHRLICHE BESCHREIBUNGDETAILED DESCRIPTION

Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.The following disclosure provides many different embodiments or examples for implementing various features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are of course only examples and are not intended to be limiting. For example, forming a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and also include embodiments in which additional features between the first and second Feature may be formed so that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and / or letters in the various examples. This repetition is for simplicity and clarity and does not in itself imply a relationship between the various embodiments and / or configurations discussed.

Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „darüberliegend“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Fig. veranschaulicht, verwendet werden. Die räumlich bezogenen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Fig. dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein und die räumlich bezogenen Deskriptoren, die hierin verwendet werden, können dementsprechend gleichermaßen interpretiert werden.Furthermore, spatially related terms such as "below", "below", "lower", "overlying", "upper" and the like may be used herein for a more convenient description of describing the relationship of one element or feature to another element (s) ) or feature (s) as illustrated in the figures may be used. The spatial terms are intended to encompass various orientations of the device in use or operation in addition to the orientation illustrated in the figures. The device may be oriented differently (rotated 90 degrees or with other orientations) and the spatial descriptors used herein may be interpreted accordingly.

Ein Transistor und das Verfahren zum Bilden davon werden gemäß einigen Ausführungsformen bereitgestellt. Die Zwischenstufen bei dem Bilden des Transistors und der entsprechenden Kontaktstecker sind gemäß einigen Ausführungsformen veranschaulicht. Die Zwischenstufen des Bildens der Transistoren und der Durchkontaktierungen sind gemäß einigen Ausführungsformen veranschaulicht. Es werden einige Variationen einiger Ausführungsformen erörtert. In sämtlichen verschiedenen Ansichten und veranschaulichenden Ausführungsformen werden gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen. In den veranschaulichten Ausführungsformen wird das Bilden von Finnen-Feldeffekttransistoren (FinFETs) als ein Beispiel zum Erläutern des Konzepts der vorliegenden Offenbarung verwendet. Andere Arten von Transistoren, wie etwa Nanodraht-Transistoren, Nanoschicht-Transistoren, planare Transistoren, Gate-All-Around(GAA)-Transistoren und dergleichen, können auch das Konzept der vorliegenden Offenbarung aufnehmen. Ferner kann das Verfahren bei anderen Verschaltungsstrukturen, wie etwa Durchkontaktierungen, Metallleitungen oder dergleichen, angewendet werden. Wenngleich Verfahrensausführungsformen derart erörtert werden können, dass sie in einer konkreten Reihenfolge durchgeführt werden, können andere Verfahrensausführungsformen in einer beliebigen logischen Reihenfolge durchgeführt werden.A transistor and the method of forming it are provided in accordance with some embodiments. The intermediate stages in forming the transistor and corresponding contact plugs are illustrated in accordance with some embodiments. The intermediate stages of forming the transistors and vias are illustrated in accordance with some embodiments. Some variations of some embodiments are discussed. Like reference characters are used to refer to like elements throughout the several views and illustrative embodiments. In the illustrated embodiments, the formation of fin field effect transistors (FinFETs) is used as an example to explain the concept of the present disclosure. Other types of transistors, such as nanowire transistors, nanolayer transistors, planar transistors, gate-all-around (GAA) transistors, and the like, may also incorporate the concept of the present disclosure. Furthermore, the method can be used for other interconnection structures, such as vias, metal lines or the like become. While method embodiments can be discussed as being performed in any particular order, other method embodiments can be performed in any logical order.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind ein Source-/Drain-Kontaktstecker und ein Gate-Kontaktstecker jeweils über einer Source-/Drain-Region und einer Gate-Elektrode eines Transistors gebildet und berühren diese. Die Bildungsprozesse der Kontaktstecker umfassen das Abscheiden einer Metallschicht, das Nitridieren eines Oberflächenabschnitts der Metallschicht zum Bilden einer Metallnitridschicht und das Durchführen eines Temperprozesses zum Bilden von Source-/Drain-Silizid. Die Metallnitridschicht wird dann oxidiert, so dass das resultierende Oxid entfernt werden kann, und einige Abschnitte der Metallnitridschicht werden an den Unterseiten der Kontaktöffnungen zurückgelassen. Die Metallnitridschichten werden als Grundlagen zum selektiven Abscheiden eines Metalls verwendet, und die Abscheidung erfolgt von unten nach oben.According to some embodiments of the present disclosure, a source / drain contact plug and a gate contact plug are each formed over and contacting a source / drain region and a gate electrode of a transistor. The processes for forming the contact plugs include depositing a metal layer, nitriding a surface portion of the metal layer to form a metal nitride layer, and performing an annealing process to form source / drain silicide. The metal nitride layer is then oxidized so that the resulting oxide can be removed, and some portions of the metal nitride layer are left on the undersides of the contact openings. The metal nitride layers are used as the basis for selectively depositing a metal, and the deposition is from bottom to top.

1-6, 7A, 7B, 8-11, 12A, 12B und 13-22 veranschaulichen die perspektivischen Ansichten und Querschnittsansichten von Zwischenstufen bei dem Bilden eines FinFET und der entsprechenden Kontaktstecker gemäß einigen Ausführungsformen der vorliegenden Offenbarung. Die Prozesse, die in diesen Fig. gezeigt sind, sind auch schematisch in dem Prozessfluss 400 widergegeben, wie in 24 gezeigt. 1-6 , 7A , 7B , 8-11 , 12A , 12B and 13-22 10 illustrate the perspective and cross-sectional views of intermediate stages in forming a FinFET and corresponding contact plugs in accordance with some embodiments of the present disclosure. The processes shown in these figures are also schematic in the process flow 400 reproduced as in 24 shown.

In 1 ist ein Substrat 20 bereitgestellt. Das Substrat 20 kann ein Halbleitersubstrat, wie etwa ein Bulk-Halbleitersubstrat, ein Halbleiter-auf-Isolator-Substrat (SOI substrate, Semiconductor-On-Insulator Substrate) oder dergleichen, sein, welches dotiert (z. B. mit einem p- oder einem n-Dotiermittel) oder undotiert sein kann. Das Halbleitersubstrat 20 kann ein Teil eines Wafers 10, wie etwa ein Siliziumwafer, sein. Allgemein ist ein SOI-Substrat eine Schicht eines Halbleitermaterials, das auf einer Isolatorschicht gebildet ist. Der Isolator kann zum Beispiel eine vergrabene Oxidschicht (BOX layer, Buried Oxide Layer), eine Siliziumoxidschicht oder dergleichen sein. Die Isolatorschicht wird auf einem Substrat bereitgestellt, das typischerweise ein Siliziumsubstrat oder ein Glassubstrat ist. Es können auch andere Substrate, wie etwa ein mehrschichtiges Substrat oder ein Gradientsubstrat, verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats 20 Silizium; Germanium; einen Verbundhalbleiter einschließlich Siliziumcarbid, SiPC, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon umfassen.In 1 is a substrate 20th provided. The substrate 20th may be a semiconductor substrate, such as a bulk semiconductor substrate, a semiconductor-on-insulator substrate (SOI substrate, Semiconductor-On-Insulator Substrate) or the like, which is doped (e.g. with a p- or an n- Dopant) or undoped. The semiconductor substrate 20th can be part of a wafer 10 such as a silicon wafer. In general, an SOI substrate is a layer of semiconductor material formed on an insulator layer. The insulator can be, for example, a buried oxide layer (BOX layer, buried oxide layer), a silicon oxide layer or the like. The insulator layer is provided on a substrate, which is typically a silicon substrate or a glass substrate. Other substrates, such as a multilayer substrate or a gradient substrate, can also be used. In some embodiments, the semiconductor material of the semiconductor substrate can be 20th Silicon; Germanium; a compound semiconductor including silicon carbide, SiPC, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and / or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and / or GaInAsP; or combinations thereof.

Weiter unter Bezugnahme auf 1 ist eine Wannenregion 22 in dem Substrat 20 gebildet. Der jeweilige Prozess ist als Prozess 402 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 22 eine p-Wannenregion, die durch Implantieren einer p-Verunreinigung, welche Bor, Indium oder dergleichen sein kann, in das Substrat 20 gebildet wird. Gemäß anderen Ausführungsformen der vorliegenden Offenbarung ist die Wannenregion 22 eine n-Wannenregion, die durch Implantieren einer n-Verunreinigung, welche Phosphor, Arsen, Antimon oder dergleichen sein kann, in das Substrat 20 gebildet wird. Die resultierende Wannenregion 22 kann sich zu der oberen Fläche des Substrats 20 erstrecken. Die n- oder p-Verunreinigungskonzentration kann 1018 cm-3 oder weniger betragen, wie etwa im Bereich zwischen ungefähr 1017 cm-3 und ungefähr 1018 cm-3.Continuing with reference to 1 is a tub region 22nd in the substrate 20th educated. The particular process is called a process 402 in the process flow 400 illustrated in 24 is shown. According to some embodiments of the present disclosure, the well region is 22nd a p-well region formed by implanting a p-type impurity, which may be boron, indium, or the like, into the substrate 20th is formed. In accordance with other embodiments of the present disclosure, the well region is 22nd an n-well region formed by implanting an n-type impurity, which may be phosphorus, arsenic, antimony, or the like, into the substrate 20th is formed. The resulting pan region 22nd can extend to the top surface of the substrate 20th extend. The n or p impurity concentration can be 10 18 cm -3 or less, such as in the range between about 10 17 cm -3 and about 10 18 cm -3 .

Unter Bezugnahme auf 2 sind Isolationsregionen 24 derart gebildet, dass sie sich von einer oberen Fläche des Substrats 20 in das Substrat 20 hinein erstrecken. Die Isolationsregionen 24 werden im Folgenden alternativ als flache Grabenisolationsregionen (STI regions, Shallow Trench Isolation Regions) bezeichnet. Der jeweilige Prozess ist als Prozess 404 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Abschnitte des Substrats 20 zwischen benachbarten STI-Regionen 24 werden als Halbleiterstreifen 26 bezeichnet. Zum Bilden der STI-Regionen 24 werden eine Pad-Oxidschicht 28 und eine Hartmaskenschicht 30 auf dem Halbleitersubstrat 20 gebildet und dann strukturiert. Die Pad-Oxidschicht 28 kann ein dünner Film sein, der aus Siliziumoxid gebildet ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Pad-Oxidschicht 28 in einem thermischen Oxidationsprozess gebildet, wobei eine obere Flächenschicht des Halbleitersubstrats 20 oxidiert wird. Die Pad-Oxidschicht 28 wirkt als eine Adhäsionsschicht zwischen dem Halbleitersubstrat 20 und der Hartmaskenschicht 30. Die Pad-Oxidschicht 28 kann auch als eine Ätzstoppschicht zum Ätzen der Hartmaskenschicht 30 wirken. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 30 aus Siliziumnitrid gebildet, zum Beispiel unter Verwendung von chemischer Niederdruck-Dampfabscheidung (LPCVD, Low-Pressure Chemical Vapor Deposition). Gemäß anderen Ausführungsformen der vorliegenden Offenbarung wird die Hartmaskenschicht 30 durch thermische Nitridierung von Silizium oder plasmaverstärkte chemische Dampfabscheidung (PECVD, Plasma Enhanced Chemical Vapor Deposition) gebildet. Ein Photoresist (nicht gezeigt) wird auf der Hartmaskenschicht 30 gebildet und dann strukturiert. Die Hartmaskenschicht 30 wird dann unter Verwendung des strukturierten Photoresists als eine Ätzmaske zum Bilden von Hartmasken 30 strukturiert, wie in 2 gezeigt ist.With reference to 2 are isolation regions 24 formed so as to extend from a top surface of the substrate 20th into the substrate 20th extend into it. The isolation regions 24 are alternatively referred to below as shallow trench isolation regions (STI regions). The particular process is called a process 404 in the process flow 400 illustrated in 24 is shown. The sections of the substrate 20th between neighboring STI regions 24 are called semiconductor strips 26th designated. To form the STI regions 24 become a pad oxide layer 28 and a hard mask layer 30th on the semiconductor substrate 20th formed and then structured. The pad oxide layer 28 may be a thin film made of silicon oxide. According to some embodiments of the present disclosure, the pad oxide layer is 28 formed in a thermal oxidation process, wherein an upper surface layer of the semiconductor substrate 20th is oxidized. The pad oxide layer 28 acts as an adhesion layer between the semiconductor substrate 20th and the hard mask layer 30th . The pad oxide layer 28 can also be used as an etch stop layer for etching the hard mask layer 30th Act. According to some embodiments of the present disclosure, the hard mask layer is 30th formed from silicon nitride, for example using low-pressure chemical vapor deposition (LPCVD). According to other embodiments of the present disclosure, the hard mask layer is 30th formed by thermal nitriding of silicon or plasma-enhanced chemical vapor deposition (PECVD, Plasma Enhanced Chemical Vapor Deposition). A photoresist (not shown) is placed on the hard mask layer 30th formed and then structured. The hard mask layer 30th is then formed using the patterned photoresist as an etch mask of hard masks 30th structured as in 2 is shown.

Als Nächstes wird die strukturierte Hartmaskenschicht 30 als eine Ätzmaske zum Ätzen der Pad-Oxidschicht 28 und des Substrats 20 verwendet, gefolgt von dem Füllen der resultierenden Gräben in dem Substrat 20 mit (einem) dielektrischen Material(ien). Es wird ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess, durchgeführt, um überschüssige Abschnitte der dielektrischen Materialien zu entfernen, und die verbleibenden Abschnitte des/der dielektrischen Materials/Materialien sind STI-Regionen 24. Die STI-Regionen 24 können ein Liner-Dielektrikum (nicht gezeigt) aufweisen, welches ein thermisches Oxid sein kann, das durch eine thermische Oxidation einer Oberflächenschicht des Substrats 20 gebildet wird. Das Liner-Dielektrikum kann auch eine abgeschiedene Siliziumoxidschicht, Siliziumnitridschicht oder dergleichen sein, die zum Beispiel unter Verwendung von Atomschichtabscheidung (ALD, Atomic Layer Deposition), chemische Hochdichteplasmadampfabscheidung (HDPCVD, High-Density Plasma Chemical Vapor Deposition) oder chemische Dampfabscheidung (CVD, Chemical Vapor Deposition) gebildet wird. Die STI-Regionen 24 können auch ein dielektrisches Material über dem Liner-Oxid umfassen, wobei das dielektrische Material unter Verwendung von fließfähiger chemischer Dampfabscheidung (FCVD, Flowable Chemical Vapor Deposition), Spin-On-Coating oder dergleichen gebildet werden kann. Das dielektrische Material über dem Liner-Dielektrikum kann Siliziumoxid gemäß einigen Ausführungsformen umfassen.Next is the textured hard mask layer 30th as an etching mask for etching the pad oxide layer 28 and the substrate 20th is used, followed by filling the resulting trenches in the substrate 20th with (a) dielectric material (ien). A planarization process, such as a chemical mechanical polishing (CMP) process or a mechanical grinding process, is performed to remove excess portions of the dielectric materials, and the remaining portions of the dielectric material (s) are STI regions 24 . The STI regions 24 may have a liner dielectric (not shown), which may be a thermal oxide produced by thermal oxidation of a surface layer of the substrate 20th is formed. The liner dielectric can also be a deposited silicon oxide layer, silicon nitride layer, or the like, which, for example, using atomic layer deposition (ALD), chemical high-density plasma vapor deposition (HDPCVD, high-density plasma chemical vapor deposition) or chemical vapor deposition (CVD, Chemical Vapor Deposition) is formed. The STI regions 24 may also include a dielectric material over the liner oxide, which dielectric material can be formed using flowable chemical vapor deposition (FCVD), spin-on coating, or the like. The dielectric material over the liner dielectric may include silicon oxide in accordance with some embodiments.

Die oberen Flächen der Hartmasken 30 und die oberen Flächen der STI-Regionen 24 können im Wesentlichen auf derselben Höhe liegen. Die Halbleiterstreifen 26 befinden sich zwischen benachbarten STI-Regionen 24. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 26 Teile des ursprünglichen Substrats 20 und ist somit das Material der Halbleiterstreifen 26 dasselbe wie jenes des Substrats 20. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung sind die Halbleiterstreifen 26 Ersetzungsstreifen, die durch Ätzen der Abschnitte des Substrats 20 zwischen den STI-Regionen 24 zum Bilden von Aussparungen und Durchführen einer Epitaxie zum erneuten Züchten eines anderen Halbleitermaterials in den Aussparungen gebildet werden. Dementsprechend sind die Halbleiterstreifen 26 aus einem Halbleitermaterial gebildet, das sich von jenem des Substrats 20 unterscheidet. Gemäß einigen Ausführungsformen sind die Halbleiterstreifen 26 aus Siliziumgermanium, Silizium-Kohlenstoff oder einem III-V-Verbundhalbleitermaterial gebildet.The upper surfaces of the hard masks 30th and the top surfaces of the STI regions 24 can be essentially at the same level. The semiconductor strips 26th are located between neighboring STI regions 24 . According to some embodiments of the present disclosure, the semiconductor strips are 26th Parts of the original substrate 20th and is thus the material of the semiconductor strips 26th the same as that of the substrate 20th . In accordance with alternative embodiments of the present disclosure, the semiconductor strips are 26th Replacement strips made by etching the sections of the substrate 20th between the STI regions 24 for forming recesses and performing an epitaxy for growing another semiconductor material again in the recesses. The semiconductor strips are accordingly 26th formed from a semiconductor material different from that of the substrate 20th differs. According to some embodiments, the are semiconductor strips 26th formed from silicon germanium, silicon carbon or a III-V compound semiconductor material.

Unter Bezugnahme auf 3 werden die STI-Regionen 24 ausgespart, so dass die oberen Abschnitte der Halbleiterstreifen 26 höher als die oberen Flächen 24A der verbleibenden Abschnitte der STI-Regionen 24 vorstehen, um vorstehende Finnen 36 zu bilden. Der jeweilige Prozess ist als Prozess 406 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Das Ätzen kann unter Verwendung eines Trockenätzprozesses durchgeführt werden, wobei zum Beispiel HF3 und NH3 als die Ätzgase verwendet werden. Während dem Ätzprozess kann Plasma erzeugt werden. Es kann auch Argon aufgenommen werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird das Aussparen der STI-Regionen 24 unter Verwendung eines Nassätzprozesses durchgeführt. Die Ätzchemikalie kann zum Beispiel HF umfassen.With reference to 3rd become the STI regions 24 recessed so that the upper sections of the semiconductor strips 26th higher than the upper surfaces 24A of the remaining sections of the STI regions 24 protrude to protruding fins 36 to build. The particular process is called a process 406 in the process flow 400 illustrated in 24 is shown. The etching can be performed using a dry etching process using, for example, HF 3 and NH 3 as the etching gases. Plasma can be generated during the etching process. Argon can also be absorbed. In accordance with alternative embodiments of the present disclosure, omitting the STI regions 24 performed using a wet etch process. The etch chemical can include HF, for example.

Die vorstehenden Finnen 36 können aus anderen Halbleitermaterialien gebildet werden oder durch diese ersetzt werden. Zum Beispiel können für NMOS-Transistoren die vorstehenden Finnen 36 aus Si, SiP, SiC, SiPC oder einem III-V-Verbundhalbleiter (wie etwa InP, GaAs, AlAs, InAs, InAlAs, InGaAs oder dergleichen) gebildet sein oder diese umfassen. Für PMOS-Transistoren können die vorstehenden Finnen 36 aus Si, SiGe, SiGeB, Ge oder einem 111-V-Verbundhalbleiter (wie etwa InSb, GaSb, InGaSb oder dergleichen) gebildet sein oder diese umfassen.The protruding fins 36 can be formed from or replaced by other semiconductor materials. For example, for NMOS transistors, the above fins 36 be formed from or comprise Si, SiP, SiC, SiPC or a III-V compound semiconductor (such as, for example, InP, GaAs, AlAs, InAs, InAlAs, InGaAs or the like). For PMOS transistors, the above fins 36 be formed from or comprise Si, SiGe, SiGeB, Ge or a 111-V compound semiconductor (such as InSb, GaSb, InGaSb or the like).

In den zuvor veranschaulichten Ausführungsformen können die Finnen durch ein beliebiges geeignetes Verfahren strukturiert werden. Zum Beispiel können die Finnen unter Verwendung eines oder mehrerer Photolithographieprozesse einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie- und selbstausgerichtete Prozesse, was das Erzeugen von Mustern ermöglicht, die zum Beispiel Abstände aufweisen, die kleiner als das, was ansonsten unter Verwendung eines einzigen direkten Photolithographieprozesses erhalten werden kann, sind. Zum Beispiel wird in einer Ausführungsform eine Opferschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandshalter werden entlang der strukturierten Opferschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Opferschicht wird dann entfernt und die verbleibenden Abstandshalter oder Spanndorne können dann verwendet werden, um die Finnen zu strukturieren.In the previously illustrated embodiments, the fins can be patterned by any suitable method. For example, the fins can be patterned using one or more photolithography processes including double patterning or multiple patterning processes. In general, double structuring or multiple structuring processes combine photolithography and self-aligned processes, which enables the creation of patterns having, for example, spaces that are smaller than what can otherwise be obtained using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the structured sacrificial layer using a self-aligned process. The sacrificial layer is then removed and the remaining spacers or mandrels can then be used to structure the fins.

Unter Bezugnahme auf 4 sind Dummy-Gate-Stapel 38 derart gebildet, dass sie sich auf den oberen Flächen und den Seitenwänden der (vorstehenden) Finnen 36 erstrecken. Der jeweilige Prozess ist als Prozess 408 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Dummy-Gate-Stapel 38 können Dummy-Gate-Dielektrika 40 und Dummy-Gate-Elektroden 42 über den Dummy-Gate-Dielektrika 40 aufweisen. Die Dummy-Gate-Elektroden 42 können zum Beispiel unter Verwendung von Polysilizium gebildet werden und es können auch andere Materialien verwendet werden. Jeder der Dummy-Gate-Stapel 38 kann auch eine (oder mehrere) Hartmaskenschicht 44 über den Dummy-Gate-Elektroden 42 aufweisen. Die Hartmaskenschichten 44 können aus Siliziumnitrid, Siliziumoxid, Siliziumcarbonitrid oder Mehrfachschichten davon gebildet sein. Die Dummy-Gate-Stapel 38 können eine einzige oder mehrere der vorstehende Finnen 36 und/oder STI-Regionen 24 überqueren. Die Dummy-Gate-Stapel 38 weisen auch Längsrichtungen senkrecht zu den Längsrichtungen der vorstehenden Finnen 36 auf.With reference to 4th are dummy gate stacks 38 formed in such a way that they rest on the upper surfaces and the side walls of the (protruding) fins 36 extend. The particular process is called a process 408 in the process flow 400 illustrated in 24 is shown. The dummy gate stack 38 can use dummy gate dielectrics 40 and dummy gate electrodes 42 over the dummy gate dielectrics 40 exhibit. The dummy gate electrodes 42 for example, can be formed using polysilicon, and other materials can also be used. Each of the dummy gate stacks 38 can also have one (or more) hard mask layer 44 over the dummy gate electrodes 42 exhibit. The hard mask layers 44 may be formed from silicon nitride, silicon oxide, silicon carbonitride, or multiple layers thereof. The dummy gate stack 38 can be one or more of the above fins 36 and / or STI regions 24 cross. The dummy gate stack 38 also have longitudinal directions perpendicular to the longitudinal directions of the protruding fins 36 on.

Als Nächstes werden die Gate-Abstandshalter 46 auf den Seitenwänden der Dummy-Gate-Stapel 38 gebildet. Der jeweilige Prozess ist auch als Prozess 408 in dem Prozessfluss 400 gezeigt, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung werden die Gate-Abstandshalter 46 aus (einem) dielektrischen Material(ien), wie etwa Siliziumnitrid, Siliziumcarbonitrid oder dergleichen, gebildet und können eine Einschichtstruktur oder eine Mehrschichtstruktur einschließlich mehrerer dielektrischer Schichten aufweisen.Next are the gate spacers 46 on the sidewalls of the dummy gate stacks 38 educated. The particular process is also called a process 408 in the process flow 400 shown in 24 is shown. According to some embodiments of the present disclosure, the gate spacers 46 formed from a dielectric material (s) such as silicon nitride, silicon carbonitride or the like, and may have a single-layer structure or a multilayer structure including a plurality of dielectric layers.

Ein Ätzprozess wird dann durchgeführt, um die Abschnitte der vorstehenden Finnen 36 zu ätzen, die nicht von den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 abgedeckt werden, was zu der in 5 gezeigten Struktur führt. Der jeweilige Prozess ist als Prozess 410 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Das Aussparen kann anisotrop sein, und somit werden die Abschnitte der Finnen 36, die direkt unter den Dummy-Gate-Stapeln 38 und den Gate-Abstandshaltern 46 liegen, geschützt und nicht geätzt. Die oberen Flächen der ausgesparten Halbleiterstreifen 26 können niedriger als die oberen Flächen 24A der STI-Regionen 24 gemäß einigen Ausführungsformen sein. Die Aussparungen 50 sind dementsprechend gebildet. Die Aussparungen 50 weisen Abschnitte auf, die auf den gegenüberliegenden Seiten der Dummy-Gate-Stapel 38 liegen, und Abschnitte zwischen verbleibenden Abschnitten der vorstehenden Finnen 36.An etching process is then performed to the portions of the protruding fins 36 to etch those not from the dummy gate stacks 38 and the gate spacers 46 covered what is related to the in 5 structure shown. The particular process is called a process 410 in the process flow 400 illustrated in 24 is shown. The recess can be anisotropic and thus the sections become the fins 36 that are directly below the dummy gate stacks 38 and the gate spacers 46 lying, protected and not etched. The upper surfaces of the recessed semiconductor strips 26th can be lower than the upper surfaces 24A of the STI regions 24 according to some embodiments. The recesses 50 are formed accordingly. The recesses 50 have sections that are on opposite sides of the dummy gate stack 38 and portions between remaining portions of the protruding fins 36 .

Als Nächstes werden Epitaxieregionen (Source-/Drain-Regionen) 54 durch selektives Züchten (durch Epitaxie) eines Halbleitermaterials in den Aussparungen 50 gebildet, was zu der Struktur in 6 führt. Der jeweilige Prozess ist als Prozess 412 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Je nachdem, ob der resultierende FinFET ein p-FinFET oder ein n-FinFET ist, kann eine p- oder eine n-Verunreinigung vor Ort mit dem Fortschreiten der Epitaxie dotiert werden. Wenn zum Beispiel der resultierende FinFET ein p-FinFET ist, kann Siliziumgermaniumbor (SiGeB), Siliziumbor (SiB) oder dergleichen gezüchtet werden. Umgekehrt kann, wenn der resultierende FinFET ein n-FinFET ist, Siliziumphosphor (SiP), Siliziumkohlenstoffphosphor (SiCP) oder dergleichen gezüchtet werden. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung weisen die Epitaxieregionen 54 111-V-Verbundhalbleiter, wie etwa GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, Kombinationen davon oder Mehrfachschichten davon auf. Nachdem die Aussparungen 50 mit den Epitaxieregionen 54 gefüllt sind, bewirkt das weitere epitaxiale Züchten der Epitaxieregionen 54, dass sich die Epitaxieregionen 54 horizontal ausdehnen, und können Facetten gebildet werden. Das weitere Züchten der Epitaxieregionen 54 kann auch bewirken, dass benachbarte Epitaxieregionen 54 miteinander verschmelzen. Es können Lücken (Luftspalte) 56 erzeugt werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung kann das Bilden der Epitaxieregionen 54 beendet werden, wenn die obere Fläche der Epitaxieregionen 54 immer noch wellig ist, oder wenn die obere Fläche der verschmolzenen Epitaxieregionen 54 planar geworden ist, was durch weiteres Züchten auf den Epitaxieregionen 54 erzielt wird, wie in 6 gezeigt ist.Next, epitaxial regions (source / drain regions) 54 by selectively growing (by epitaxy) a semiconductor material in the recesses 50 formed leading to the structure in 6th leads. The particular process is called a process 412 in the process flow 400 illustrated in 24 is shown. Depending on whether the resulting FinFET is a p-FinFET or an n-FinFET, a p- or n-impurity can be doped on site as the epitaxy progresses. For example, if the resulting FinFET is a p-FinFET, silicon germanium boron (SiGeB), silicon boron (SiB), or the like can be grown. Conversely, when the resulting FinFET is an n-type FinFET, silicon phosphorus (SiP), silicon carbon phosphorus (SiCP), or the like can be grown. According to alternative embodiments of the present disclosure, the epitaxial regions have 54 111-V compound semiconductors such as GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlAs, AlP, GaP, combinations thereof or multiple layers thereof. After the cutouts 50 with the epitaxial regions 54 are filled, causes the further epitaxial growth of the epitaxial regions 54 that the epitaxial regions 54 expand horizontally, and facets can be formed. The further growing of the epitaxial regions 54 can also cause neighboring epitaxial regions 54 merge with each other. There may be gaps (air gaps) 56 be generated. According to some embodiments of the present disclosure, forming the epitaxial regions 54 be terminated when the top surface of the epitaxial regions 54 is still wavy, or if the top surface of the fused epitaxial regions 54 has become planar, which by further growing on the epitaxial regions 54 is achieved, as in 6th is shown.

Nach dem Epitaxieschritt können die Epitaxieregionen 54 ferner mit einer p- oder einer n-Verunreinigung implantiert werden, um Source- und Drain-Regionen zu bilden, welche auch unter Verwendung des Bezugszeichens 54 gekennzeichnet sind. Gemäß alternativen Ausführungsformen der vorliegenden Offenbarung wird der Implantationsschritt übersprungen, wenn die Epitaxieregionen 54 vor Ort mit der p- oder n-Verunreinigung während der Epitaxie dotiert werden.After the epitaxy step, the epitaxial regions 54 can also be implanted with a p or an n impurity to form source and drain regions, which are also identified using the reference numeral 54 Marked are. According to alternative embodiments of the present disclosure, the implantation step is skipped if the epitaxial regions 54 be doped on site with the p- or n-impurity during the epitaxy.

7A veranschaulicht eine perspektivische Ansicht der Struktur nach dem Bilden der Kontaktätzstoppschicht (CESL, Contact Etch Stop Layer) 58 und des Zwischenschichtdielektrikums (ILD, Inter-Layer Dielectric) 60. Der jeweilige Prozess ist als Prozess 414 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die CESL 58 kann aus Siliziumoxid, Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxycarbid, Siliziumoxynitrid, Siliziumoxycarbonitrid, Aluminiumoxid, Aluminiumnitrid oder dergleichen gebildet sein und kann unter Verwendung von CVD, ALD oder dergleichen gebildet werden. Das ILD 60 kann ein dielektrisches Material umfassen, das unter Verwendung von zum Beispiel FCVD, Spin-On-Coating, CVD oder einem anderen Abscheidungsverfahren gebildet ist. Das ILD 60 kann durch ein sauerstoffhaltiges dielektrisches Material gebildet werden, welches ein siliziumoxidbasiertes Material sein kann, wie etwa Siliziumoxid, Phosphosilikatglas (PSG), Borsilikatglas (BSG), bordotiertes Phosphosilikatglas (BPSG), Siliziumoxycarbid, ein dielektrisches high-k-Material, wie etwa Zirkoniumoxid, Hafniumoxid, oder ein dielektrisches low-k-Material. Es kann ein Planarisierungsprozess, wie etwa ein CMP-Prozess oder ein mechanischer Schleifprozess, durchgeführt werden, um die oberen Flächen des ILD 60, der Dummy-Gate-Stapel 38 und der Gate-Abstandshalter 46 einander anzugleichen. 7A 13 illustrates a perspective view of the structure after the contact etch stop layer (CESL) 58 and the inter-layer dielectric (ILD) are formed. The respective process is a process 414 in the process flow 400 illustrated in 24 is shown. The CESL 58 can be formed from silicon oxide, silicon nitride, silicon carbonitride, silicon oxycarbide, silicon oxynitride, silicon oxycarbonitride, aluminum oxide, aluminum nitride, or the like, and can be formed using CVD, ALD, or the like. The ILD 60 may comprise a dielectric material formed using, for example, FCVD, spin-on coating, CVD, or some other deposition process. The ILD 60 can be formed by an oxygen-containing dielectric material, which can be a silicon oxide-based material such as silicon oxide, Phosphosilicate glass (PSG), borosilicate glass (BSG), boron-doped phosphosilicate glass (BPSG), silicon oxycarbide, a high-k dielectric material such as zirconium oxide, hafnium oxide, or a low-k dielectric material. A planarization process, such as a CMP process or a mechanical grinding process, can be performed on the top surfaces of the ILD 60 , the dummy gate stack 38 and the gate spacer 46 to align with each other.

7B veranschaulicht den Referenzquerschnitt 7B-7B in 7A, bei welchem die Dummy-Gate-Stapel 38 veranschaulicht sind. Es ist zu erkennen, dass die Strukturen auf der rechten Seite der Source-/Drain-Region 54 (wie etwa in den Regionen 63) nicht gezeigt sind, während in einigen Ausführungsformen eine Struktur einschließlich einer selben Gate-Struktur wie die Gate-Struktur 38 und die entsprechenden Gate-Abstandshalter in der Region 63 und der Region rechts von der Region 63 gebildet sein können. 7B illustrates the reference cross-section 7B-7B in 7A at which the dummy gate stack 38 are illustrated. It can be seen that the structures are on the right-hand side of the source / drain region 54 (such as in the regions 63 ) are not shown, while in some embodiments a structure including a same gate structure as the gate structure 38 and the corresponding gate spacers in the region 63 and the region to the right of the region 63 can be formed.

Als Nächstes werden die Dummy-Gate-Stapel 38 einschließlich der Hartmaskenschichten 44, der Dummy-Gate-Elektroden 42 und der Dummy-Gate-Dielektrika 40 geätzt, wobei Gräben 62 zwischen den Gate-Abstandshaltern 46 gebildet werden, wie in 8 gezeigt ist. Der jeweilige Prozess ist als Prozess 416 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die oberen Flächen und die Seitenwände der vorstehenden Finnen 36 werden zu den Gräben 62 freigelegt. Wie in 9 gezeigt, werden als Nächstes Ersetzungs-Gate-Stapel 68 in den Gräben 62 gebildet (8). Der jeweilige Prozess ist als Prozess 418 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Ersetzungs-Gate-Stapel 68 weisen Gate-Dielektrika 64 und die entsprechenden Gate-Elektroden 66 auf.Next are the dummy gate stacks 38 including the hard mask layers 44 , the dummy gate electrodes 42 and the dummy gate dielectrics 40 etched, trenches 62 between the gate spacers 46 be formed as in 8th is shown. The particular process is called a process 416 in the process flow 400 illustrated in 24 is shown. The top surfaces and the side walls of the protruding fins 36 become the trenches 62 exposed. As in 9 Next, shown are replacement gate stacks 68 in the trenches 62 educated ( 8th ). The particular process is called a process 418 in the process flow 400 illustrated in 24 is shown. The replacement gate stacks 68 have gate dielectrics 64 and the corresponding gate electrodes 66 on.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist das Gate-Dielektrikum 64 eine Grenzschicht (IL, Interfacial Layer) 64 als seinen unteren Teil auf. Die IL ist auf den freigelegten Flächen der vorstehenden Finnen 36 gebildet. Die IL kann eine Oxidschicht, wie etwa eine Siliziumoxidschicht, aufweisen, welche durch die thermische Oxidation der vorstehenden Finnen 36, einen chemischen Oxidationsprozess oder einen Abscheidungsprozess gebildet ist. Das Gate-Dielektrikum 64 kann auch eine high-k-Dielektrikumsschicht aufweisen, die über der IL gebildet ist. Die high-k-Dielektrikumsschicht umfasst ein high-K-Dielektrikummaterial, wie etwa Hafniumoxid, Lanthanoxid, Aluminiumoxid, Zirkoniumoxid oder dergleichen. Die dielektrische Konstante (k-Wert) des high-K-Dielektrikummaterials ist höher als 3,9 und kann höher als ungefähr 7,0 sein und hat manchmal eine Höhe von 21,0 oder mehr. Die high-k-Dielektrikumsschicht liegt über der IL und kann diese berühren. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die high-k-Dielektrikumsschicht unter Verwendung von ALD, CVD, PECVD, Molekularstrahlabscheidung (MBD, Molecular-Beam Deposition) oder dergleichen gebildet.According to some embodiments of the present disclosure, the gate dielectric comprises 64 an Interfacial Layer (IL) 64 as its lower part. The IL is on the exposed surfaces of the protruding fins 36 educated. The IL may have an oxide layer, such as a silicon oxide layer, which is formed by the thermal oxidation of the protruding fins 36 , a chemical oxidation process or a deposition process is formed. The gate dielectric 64 may also include a high-k dielectric layer formed over the IL. The high-k dielectric layer comprises a high-k dielectric material such as hafnium oxide, lanthanum oxide, aluminum oxide, zirconium oxide or the like. The dielectric constant (k value) of the high K dielectric material is greater than 3.9 and can be greater than about 7.0 and sometimes has a height of 21.0 or more. The high-k dielectric layer lies over the IL and can touch it. In accordance with some embodiments of the present disclosure, the high-k dielectric layer is formed using ALD, CVD, PECVD, molecular beam deposition (MBD), or the like.

Die Gate-Elektrode 66 ist auf dem Gate-Dielektrikum 64 gebildet. Die Gate-Elektrode 66 kann mehrere gestapelte Schichten, welche als konformale Schichten gebildet sein können, und eine Füllmetallregion, die den Rest der Gräben 62 füllt, die nicht von den mehreren gestapelten Schichten gefüllt werden, aufweisen. Die gestapelten Schichten können eine Barriereschicht, eine Austrittsarbeitsschicht über der Barriereschicht und eine oder mehrere Metallabdeckschichten über der Austrittsarbeitsschicht umfassen. Die Füllmetallregion kann aus Wolfram, Kobalt oder dergleichen gebildet sein. Gemäß alternativen Ausführungsformen ist die Barriereschicht möglicherweise nicht gebildet und können die Abdeckschichten vollständig die Gräben füllen und ist die Füllmetallregion nicht gebildet.The gate electrode 66 is on the gate dielectric 64 educated. The gate electrode 66 may have multiple stacked layers, which may be formed as conformal layers, and a filler metal region covering the rest of the trenches 62 fills that are not filled by the multiple stacked layers. The stacked layers may include a barrier layer, a work function layer over the barrier layer, and one or more metal cover layers over the work function layer. The fill metal region can be formed from tungsten, cobalt, or the like. According to alternative embodiments, the barrier layer may not be formed and the cover layers can completely fill the trenches and the fill metal region is not formed.

10 veranschaulicht das Bilden von selbstausgerichteten Hartmasken 70 gemäß einigen Ausführungsformen. Der jeweilige Prozess ist als Prozess 420 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß anderen Ausführungsformen werden die selbstausgerichteten Hartmasken 70 nicht gebildet. Das Bilden der Hartmasken 70 kann das Durchführen eines Ätzprozesses zum Aussparen von Gate-Stapeln 68, so dass Aussparungen zwischen Gate-Abstandshaltern 46 gebildet werden, das Füllen der Aussparungen mit einem dielektrischen Material, und dann das Durchführen eines Planarisierungsprozesses, wie etwa eines CMP-Prozesses oder eines mechanischen Schleifprozesses, zum Entfernen von überschüssigen Abschnitten des dielektrischen Materials, umfassen. Die Hartmasken 70 können aus Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder dergleichen gebildet sein oder diese umfassen. Somit wird der FinFET 100 gebildet. 10 illustrates the formation of self-aligned hard masks 70 according to some embodiments. The particular process is called a process 420 in the process flow 400 illustrated in 24 is shown. According to other embodiments, the self-aligned hard masks are 70 not educated. The formation of the hard masks 70 may include performing an etch process to cut gate stacks 68 so that there are gaps between gate spacers 46 , filling the recesses with a dielectric material, and then performing a planarization process, such as a CMP process or a mechanical grinding process, to remove excess portions of the dielectric material. The hard masks 70 may be formed from or comprise silicon nitride, silicon oxynitride, silicon oxycarbonitride or the like. Thus, the FinFET 100 is formed.

Unter Bezugnahme auf 11 wird die Ätzstoppschicht 72 gebildet. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Ätzstoppschicht 72 aus einem dielektrischen Material gebildet, welches Siliziumnitrid, Siliziumoxycarbid, Siliziumoxynitrid, Aluminiumoxid oder dergleichen oder Mehrfachschichten davon umfassen kann. Das ILD 74 wird über der Ätzstoppschicht 72 abgeschieden. Die Prozesse zum Bilden der Ätzstoppschicht 72 und des ILD 74 sind als Prozess 424 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird das ILD 74 aus einem Material gebildet, das aus derselben Gruppe von Kandidatenmaterialen zum Bilden des ILD 58 ausgewählt wird.With reference to 11 becomes the etch stop layer 72 educated. According to some embodiments of the present disclosure, the etch stop layer is 72 formed from a dielectric material which may include silicon nitride, silicon oxycarbide, silicon oxynitride, aluminum oxide, or the like, or multiple layers thereof. The ILD 74 becomes over the etch stop layer 72 deposited. The processes for forming the etch stop layer 72 and the ILD 74 are as a process 424 in the process flow 400 illustrated in 24 is shown. According to some embodiments, the ILD 74 formed from a material selected from the same group of candidate materials for forming the ILD 58 is selected.

12A und 12B veranschaulichen die Bildung der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78. Der jeweilige Prozess ist als Prozess 424 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Der Bildungsprozess der Source-/Drain-Kontaktöffnung 76 kann das Bilden eines strukturierten Photoresists (nicht gezeigt) und das Ätzen des ILD 74, der Ätzstoppschicht 72, des ILD 60 und der CESL 58 zum Freilegen der Source-/Drain-Region 54 umfassen. Der Bildungsprozess der Gate-Kontaktöffnung 78 kann das Bilden eines anderen strukturierten Photoresists (nicht gezeigt) und das Ätzen des ILD 74, der Ätzstoppschicht 72 und der Hartmaske 70 zum Freilegen der Gate-Elektrode 66 umfassen. Die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78 können durch unterschiedliche Ätzprozesse gebildet werden oder können unter Verwendung von gemeinsamen Ätzprozessen gebildet werden. Gemäß einigen Ausführungsformen ist die Source-/Drain-Kontaktöffnung 76 länglich und weist eine Längsrichtung (X-Richtung) senkrecht zu der Source-/Drain-Regionsrichtung (Y-Richtung) auf. Gemäß einigen Ausführungsformen können die ILDs 74 und 58 unter Verwendung der gemischten Gase von NF3 und NH3, der gemischten Gase von HF und NH3 oder dergleichen geätzt werden. Die Ätzstoppschicht 72 und die CESL 58 können unter Verwendung der gemischten Gase von CF4, O2 und N2, der gemischten Gase von NF3 und O2, der gemischten Gase von SF6 und O2 oder dergleichen geätzt werden. Nachdem die Kontaktöffnungen 76 und 78 gebildet sind, kann ein Reinigungsprozess durchgeführt werden, um das Polymer zu entfernen, das bei dem Ätzprozess erzeugt wird. Der Reinigungsprozess kann unter Verwendung von Sauerstoff (02) oder der Mischung von H2 und N2 durchgeführt werden, wobei Plasma erzeugt wird, gefolgt von einem Nassreinigungsprozess unter Verwendung von entionisiertem Wasser. 12A and 12B illustrate the formation of the source / drain contact opening 76 and the gate contact opening 78 . The particular process is called a process 424 in the process flow 400 illustrated in 24 is shown. The formation process of the source / drain contact hole 76 may include forming a patterned photoresist (not shown) and etching the ILD 74 , the etch stop layer 72 , of the ILD 60 and the CESL 58 to expose the source / drain region 54 include. The gate contact opening formation process 78 may include forming another patterned photoresist (not shown) and etching the ILD 74 , the etch stop layer 72 and the hard mask 70 to expose the gate electrode 66 include. The source / drain contact opening 76 and the gate contact opening 78 can be formed by different etching processes or can be formed using common etching processes. In accordance with some embodiments, is the source / drain contact opening 76 elongated and has a longitudinal direction (X direction) perpendicular to the source / drain region direction (Y direction). According to some embodiments, the ILDs 74 and 58 using the mixed gases of NF 3 and NH 3 , the mixed gases of HF and NH 3, or the like. The etch stop layer 72 and the CESL 58 can be etched using the mixed gases of CF 4 , O 2 and N2, the mixed gases of NF 3 and O 2 , the mixed gases of SF 6 and O 2, or the like. After the contact openings 76 and 78 are formed, a cleaning process can be performed to remove the polymer generated in the etching process. The cleaning process can be carried out using oxygen ( 02 ) or the mixture of H 2 and N 2 , generating plasma, followed by a wet cleaning process using deionized water.

12B veranschaulicht den Referenzquerschnitt 12B-12B in 12A. Gemäß einigen Ausführungsformen liegen die Breiten W1 der Öffnungen 76 und 78 in dem Bereich von zwischen ungefähr 12 nm und ungefähr 20 nm. Die Aspektverhältnisse (welche die Verhältnisse der Tiefen zu den jeweiligen Breiten sind) der Öffnungen 76 und 78 können in dem Bereich von zwischen ungefähr 6 und 8 liegen. 12B illustrates the reference cross-section 12B-12B in 12A . According to some embodiments, the widths are W1 of the openings 76 and 78 in the range of between about 12 nm and about 20 nm. The aspect ratios (which are the ratios of the depths to the respective widths) of the openings 76 and 78 can be in the range of between about 6 and 8.

Als Nächstes werden unter Bezugnahme auf 13 dielektrische Abstandshalter 80 gebildet. Der Bildungsprozess kann das Abscheiden einer dielektrischen Deckschicht und das Ätzen der dielektrischen Deckschicht durch einen anisotropen Ätzprozess umfassen. Die dielektrische Deckschicht kann eine konformale oder im Wesentlichen konformale Schicht sein, wobei zum Beispiel die Dicke von horizontalen Abschnitten und vertikalen Abschnitten eine Differenz aufweist, die kleiner als ungefähr 10 Prozent der horizontalen Dicke ist. Die Abscheidung kann durch ALD, CVD oder dergleichen erzielt werden. Die dielektrischen Abstandshalter 80 können aus einem dielektrischen Material gebildet werden, das aus SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN, HfOx, Kombinationen davon und/oder Mehrfachschichten davon ausgewählt ist. Die dielektrischen Abstandshalter 80 können dabei helfen, die Leckage zwischen dem darauffolgend gebildeten Source-/Drain-Kontaktstecker und dem Gate-Kontaktstecker zu verhindern. Die Dicke der dielektrischen Abstandshalter 80 kann im Bereich von zwischen ungefähr 1 nm und ungefähr 3 nm liegen.Next, referring to FIG 13th dielectric spacers 80 educated. The formation process may include depositing a dielectric cover layer and etching the dielectric cover layer by an anisotropic etching process. The dielectric cover layer can be a conformal or substantially conformal layer, for example the thickness of horizontal sections and vertical sections having a difference that is less than about 10 percent of the horizontal thickness. The deposition can be achieved by ALD, CVD, or the like. The dielectric spacers 80 can be formed from a dielectric material selected from SiN, SiON, SiCN, SiC, SiOCN, AlON, AlN, HfOx, combinations thereof, and / or multiple layers thereof. The dielectric spacers 80 can help prevent leakage between the subsequently formed source / drain contact plug and the gate contact plug. The thickness of the dielectric spacers 80 can range between about 1 nm and about 3 nm.

Unter Bezugnahme auf 14 wird die Metallschicht 82 abgeschieden, welche sich sowohl in die Source-/Drain-Kontaktöffnung 76 als auch die Gate-Kontaktöffnung 78 hinein erstreckt. Der jeweilige Prozess ist als Prozess 426 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Metallschicht 82 kann aus reinem oder im Wesentlichen reinem (zum Beispiel über 95-prozentigem) Ti, Ta, Ni oder dergleichen oder Legierungen davon gebildet sein oder diese umfassen. Die Metallschicht 82 ist eine nichtkonformale Schicht, wobei die Dicke T1 der horizontalen Abschnitte größer als die Dicke T2 der vertikalen Abschnitte ist. Die Dicke T2 kann an den mittleren Tiefen der Öffnungen 76 und 78 gemessen werden. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung ist das Verhältnis T1/T2 größer als 5:1 und kann im Bereich von zwischen ungefähr 5:1 und ungefähr 15:1 liegen. Zum Beispiel kann die Dicke T1 im Bereich von zwischen ungefähr 100 Ä und ungefähr 150 Ä liegen. Die Dicke T2 kann im Bereich von zwischen ungefähr 6 Ä und ungefähr 20 Ä liegen. Gemäß einigen Ausführungsformen der vorliegenden Offenbarung wird die Abscheidung durch physische Dampfabscheidung (PVD, Physical Vapor Deposition) durchgeführt. Um das wünschenswerte Verhältnis T1/T2 zu erzielen, kann die Abscheidung mit einem Vorstrom (und einer Vorspannung), der angelegt wird, durchgeführt werden. Zum Beispiel kann die Vorspannung höher als ungefähr 150 Volt sein und im Bereich von zwischen ungefähr 150 Volt und ungefähr 300 Volt liegen.With reference to 14th becomes the metal layer 82 deposited, which are in both the source / drain contact opening 76 as well as the gate contact opening 78 extends into it. The particular process is called a process 426 in the process flow 400 illustrated in 24 is shown. The metal layer 82 may be formed from or comprise pure or substantially pure (e.g., above 95 percent) Ti, Ta, Ni, or the like, or alloys thereof. The metal layer 82 is a non-conformal layer, the thickness being T1 of the horizontal sections greater than the thickness T2 of vertical sections is. The fat T2 can be at the middle depths of the openings 76 and 78 be measured. According to some embodiments of the present disclosure, the ratio is T1 / T2 greater than 5: 1 and can range between about 5: 1 and about 15: 1. For example, the thickness can T1 are in the range of between about 100 Å and about 150 Å. The fat T2 can range from between about 6 Å and about 20 Å. In accordance with some embodiments of the present disclosure, the deposition is performed by physical vapor deposition (PVD). To the desirable relationship T1 / T2 To achieve this, the deposition can be performed with a bias current (and a bias voltage) applied. For example, the bias voltage can be greater than about 150 volts and can range between about 150 volts and about 300 volts.

15 veranschaulicht einen Nitridierungsprozess 83 zum Bilden der Metallnitridschicht 84. Der jeweilige Prozess ist als Prozess 428 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird der Nitridierungsprozess durch Behandeln der Metallschicht 82 in einem stickstoffhaltigen Prozessgas, wie etwa Ammoniak (NH3), durchgeführt. Die Metallnitridschicht 84 kann aus TiN, TaN, NiN oder dergleichen oder Kombinationen davon gebildet sein oder diese umfassen. Der Nitridierungsprozess kann durch einen thermischen Nitridierungsprozess und/oder einen Plasmnitridierungsprozess durchgeführt werden. Eine Oberflächenschicht der Metallschicht 82 wird in die Metallnitridschicht 84 umgewandelt. Die Seitenwandabschnitte der Metallschicht 82 können vollständig umgewandelt werden. Alternativ wird eine Oberflächenschicht jedes der Seitenwandabschnitte der Metallschicht 82 umgewandelt, während ein innerer Abschnitt der Seitenwandabschnitte der Metallschicht 82 Metallschicht bleibt. Die horizontalen Abschnitte der Metallnitridschicht 84 werden teilweise umgewandelt, wobei die Metallnitridschicht 84 die verbleibenden Abschnitte der Metallschicht 82 überlappt. Gemäß alternativen Ausführungsformen wird anstatt des Abscheidens und dann Nitridierens einer Metallschicht die Metallnitridschicht 84 über der Metallschicht 82 abgeschieden. Gemäß einigen Ausführungsformen kann auf den Unterseiten der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 die Dicke T3 der Metallnitridschicht 84 im Bereich von zwischen ungefähr 4 nm und ungefähr 6 nm liegen. 15th illustrates a nitriding process 83 for forming the metal nitride layer 84 . The particular process is called a process 428 in the process flow 400 illustrated in 24 is shown. According to some embodiments, the nitriding process is carried out by treating the metal layer 82 in a nitrogen-containing process gas such as ammonia (NH3). The metal nitride layer 84 may be formed from or include TiN, TaN, NiN, or the like, or combinations thereof. The nitriding process can be carried out by a thermal nitriding process and / or a plasma nitriding process. A surface layer of the metal layer 82 is in the metal nitride layer 84 transformed. The Sidewall portions of the metal layer 82 can be completely converted. Alternatively, a surface layer becomes each of the side wall portions of the metal layer 82 converted while an inner portion of the side wall portions of the metal layer 82 Metal layer remains. The horizontal sections of the metal nitride layer 84 are partially converted, the metal nitride layer 84 the remaining portions of the metal layer 82 overlaps. According to alternative embodiments, instead of depositing and then nitriding a metal layer, the metal nitride layer is used 84 over the metal layer 82 deposited. According to some embodiments, on the undersides of the source / drain contact opening 76 and the gate contact opening 78 the fat T3 the metal nitride layer 84 are in the range of between about 4 nm and about 6 nm.

16 veranschaulicht den Silizidierungsprozess durch Tempern, so dass die Metallschicht 82 mit der Source-/Drain-Region 54 reagiert, um die Silizidregion 86 zu bilden, welche Titansilizid, Tantalsilizid, Nickelsilizid oder dergleichen, je nach dem Metall in der Metallschicht 82, umfasst. Der jeweilige Prozess ist als Prozess 430 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Dicke T4 der Silizidregion 86 kann im Bereich von zwischen ungefähr 4 nm und ungefähr 6 nm liegen. Der Silizidierungsprozess kann durch Tempern des Wafers 10 bei einer Temperatur im Bereich von zwischen ungefähr 500°C und ungefähr 600°C, zum Beispiel während einem Zeitraum im Bereich von zwischen ungefähr 10 Sekunden und ungefähr 20 Sekunden, durchgeführt werden. Infolge des Silizidierungsprozesses werden die Abschnitte der Metallschicht 82 auf der Unterseite der Source-/Drain-Kontaktöffnung 76 vollständig silizidiert und berührt somit die Metallnitridschicht 84 die Silizidregion 86. Auf der Gate-Elektrode 66 kann die Metallschicht 82 immer noch einen Abschnitt aufweisen, der unter dem jeweiligen Teil der Metallnitridschicht 84 verbleibt. 16 illustrates the silicidation process by annealing, leaving the metal layer 82 with the source / drain region 54 reacts to the silicide region 86 to form which titanium silicide, tantalum silicide, nickel silicide or the like, depending on the metal in the metal layer 82 , includes. The particular process is called a process 430 in the process flow 400 illustrated in 24 is shown. The fat T4 the silicide region 86 can range between about 4 nm and about 6 nm. The silicidation process can be accomplished by annealing the wafer 10 at a temperature in the range of between about 500 ° C and about 600 ° C, for example for a time in the range of between about 10 seconds and about 20 seconds. As a result of the silicidation process, the sections of the metal layer 82 on the underside of the source / drain contact opening 76 completely silicided and thus touches the metal nitride layer 84 the silicide region 86 . On the gate electrode 66 can the metal layer 82 still have a portion that is under the respective part of the metal nitride layer 84 remains.

Unter Bezugnahme auf 17 wird ein Oxidationsprozess 87 durchgeführt, um die Metalloxidschicht 88 zu bilden, welche aus TiOX, TaOX, NiOx oder Kombinationen davon gebildet werden kann oder diese umfassen kann. Der jeweilige Prozess ist als Prozess 432 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Metalloxidschicht 88 kann Stickstoff darin umfassen und kann somit eine Metalloxynitridschicht sein, wobei Metalloxynitrid als eine Art von Metalloxid betrachtet wird. Zum Beispiel kann der Abschnitt der Metalloxidschicht 88 in der Gate-Kontaktöffnung 78 eine Metalloxidschicht, die aus der Metallschicht 82 gebildet ist, und eine Metalloxynitridschicht, die aus der Metallnitridschicht 84 gebildet ist, umfassen, wobei die Metalloxynitridschicht über der Metalloxidschicht liegt und diese berührt. Andererseits können die Abschnitte der Metallnitridschicht 84 in der Source-/Drain-Kontaktöffnung 76 auf den Seitenwänden der Kontaktöffnungen 76 und 78 und über der ILD-Schicht 74 alle vollständig als Metalloxynitrid umgewandelt werden. Auf der Unterseite jeder der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 bleibt eine Metallnitridschicht 84 unoxidiert. Dies wird durch Steuern der Oxidationszeit und -temperatur erreicht.With reference to 17th becomes an oxidation process 87 performed to the metal oxide layer 88 to form, which can be formed from or comprise TiOX, TaOX, NiOx or combinations thereof. The particular process is called a process 432 in the process flow 400 illustrated in 24 is shown. The metal oxide layer 88 may include nitrogen therein and thus may be a metal oxynitride layer, with metal oxynitride being considered a type of metal oxide. For example, the portion of the metal oxide layer 88 in the gate contact opening 78 a metal oxide layer consisting of the metal layer 82 and a metal oxynitride layer composed of the metal nitride layer 84 is formed, include, wherein the metal oxynitride layer overlies and contacts the metal oxide layer. On the other hand, the sections of the metal nitride layer 84 in the source / drain contact opening 76 on the side walls of the contact openings 76 and 78 and over the ILD layer 74 all completely converted to metal oxynitride. On the bottom of each of the source / drain contact openings 76 and the gate contact opening 78 a metal nitride layer remains 84 unoxidized. This is achieved by controlling the oxidation time and temperature.

Die Oxidation kann unter Verwendung eines sauerstoffhaltigen Gases, wie etwa Sauerstoff (02), Ozon (O3) oder dergleichen, durchgeführt werden. Die Oxidation kann durch einen thermischen Prozess unter Verwendung der zuvor genannten Prozessgase durchgeführt werden, wobei Plasma erzeugt wird oder nicht. Die Oxidation kann durch Verwenden des Plasmas, das anhand der zuvor genannten Prozessgase erzeugt wird, durchgeführt werden, wobei die Temperatur des Wafers 10 während der Oxidation Raumtemperatur oder höher ist. Die Temperatur des Wafers 10 während dem thermischen und/oder Plasmaoxidationsprozess kann auch im Bereich zwischen Raumtemperatur und ungefähr 250°C, im Bereich von zwischen ungefähr 160°C und ungefähr 250°C liegen. Die Strömungsrate des sauerstoffhaltigen Gases kann im Bereich von zwischen ungefähr 2.000 sccm und ungefähr 6.000 sccm liegen. Die Oxidationsdauer kann im Bereich von zwischen ungefähr 15 Sekunden und ungefähr 60 Sekunden liegen. Die Oxidation wird ohne Anlegen einer Vorspannung bzw. eines Vorstroms durchgeführt.The oxidation can be carried out using an oxygen-containing gas such as oxygen ( 02 ), Ozone (O 3 ) or the like. The oxidation can be carried out by a thermal process using the aforementioned process gases, with plasma being generated or not. The oxidation can be carried out by using the plasma that is generated using the aforementioned process gases, with the temperature of the wafer 10 is room temperature or higher during the oxidation. The temperature of the wafer 10 during the thermal and / or plasma oxidation process can also be in the range between room temperature and approximately 250 ° C, in the range between approximately 160 ° C and approximately 250 ° C. The flow rate of the oxygen-containing gas can range between about 2,000 sccm and about 6,000 sccm. The oxidation time can range between about 15 seconds and about 60 seconds. The oxidation is carried out without applying a bias voltage or a bias current.

In einem darauffolgenden Prozess wird die Metalloxidschicht 88 durch Ätzen entfernt. Der jeweilige Prozess ist als Prozess 434 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen wird das Ätzen unter Verwendung eines chlorbasierten Ätzgases, wie etwa TaCl5, WCl5, WCl6, MoCl5, NbCl5 oder dergleichen oder Kombinationen davon, durchgeführt. Das Ätzen kann durch einen thermischen Trockenätzprozess durchgeführt werden, wobei die Temperatur des Wafers 10 im Bereich von zwischen ungefähr 300°C und ungefähr 500°C liegt. Das Ätzen kann mit oder ohne Plasma durchgeführt werden. Ebenfalls wird während dem Ätzen kein Wasserstoff (H2) eingebracht und kein NH3 eingebracht. Ansonsten können die Prozessgase ein Vorläufer zum Abscheiden einer Metallschicht anstelle zum Ätzen der Metalloxidschicht 88 werden. Infolge des Ätzens wird die Metalloxidschicht 88 vollständig entfernt. Das Ätzen ist selbsteinschränkend, wobei die verbleibende Metallnitridschicht 84 als Ätzstoppschicht wirkt. Eine dünne Schicht der Metallnitridschicht 84 wird somit auf der Unterseite jeder der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 zurückgelassen. Die verbleibende Metallnitridschicht 84 kann eine Dicke T5 im Bereich von zwischen ungefähr 1 nm und ungefähr 3 nm aufweisen. Die Metallnitridschichten 84 können auch so dünn wie möglich sein, vorausgesetzt, sie weisen alle eine vollständige Abdeckung der Unterseiten der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78 auf.In a subsequent process, the metal oxide layer is created 88 removed by etching. The particular process is called a process 434 in the process flow 400 illustrated in 24 is shown. According to some embodiments, the etching is performed using a chlorine-based etching gas such as TaCl 5 , WCl 5 , WCl 6 , MoCl 5 , NbCl 5, or the like, or combinations thereof. The etching can be performed by a thermal dry etching process, taking the temperature of the wafer 10 is in the range of between about 300 ° C and about 500 ° C. Etching can be done with or without plasma. Likewise, no hydrogen is produced during the etching ( H2 ) introduced and no NH 3 introduced. Otherwise, the process gases can be a precursor for depositing a metal layer instead of for etching the metal oxide layer 88 become. As a result of the etching, the metal oxide layer becomes 88 completely removed. The etch is self-limiting, with the remaining metal nitride layer 84 acts as an etch stop layer. A thin layer of the metal nitride layer 84 thus becomes on the underside of each of the source / drain contact openings 76 and the gate contact opening 78 left behind. The remaining metal nitride layer 84 can be a thickness T5 in the range of between about 1 nm and about 3 nm. The metal nitride layers 84 can also be as thin as possible provided that they all have complete coverage of the undersides of the source / drain contact opening 76 and the gate contact opening 78 on.

18 veranschaulicht einen Behandlungsprozess, der auf der Metallnitridschicht 84 durchgeführt wird. Der jeweilige Prozess ist als Prozess 436 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Behandlung kann unter Verwendung eines Prozessgases durchgeführt werden, wobei der Wafer 10 in dem Prozessgas eingeweicht wird. Das Prozessgas kann TaCl5, NiCl4, WCl5, MoCl5 oder dergleichen oder Kombinationen davon umfassen. Während der Behandlung wird der Wafer 10 erhitzt, zum Beispiel auf eine Temperatur im Bereich von zwischen ungefähr 200°C und ungefähr 500°C. Es wird kein Plasma erzeugt. Die Behandlungsdauer kann mehr als ungefähr 5 Sekunden betragen und kann im Bereich von zwischen ungefähr 5 Sekunden und 50 Sekunden liegen. Wenn TiCl4 als das Behandlungsprozessgas verwendet wird, führt das TiCl4-Einweichen dazu, dass die resultierenden Moleküle (wie etwa TiCl3-Moleküle) mit den freien Bindungen der darunterliegenden Metallnitridschichten 84 verbunden werden. Die verbundenen Moleküle sind als 89 dargestellt, wie in 18 gezeigt. Andererseits sind keine Moleküle des Behandlungsgases mit den Flächen der freigelegten dielektrischen Materialien, wie etwa die dielektrischen Abstandshalter 80 und die Dielektrikumsschicht 74, verbunden. 18th illustrates a treatment process that occurs on the metal nitride layer 84 is carried out. The particular process is called a process 436 in the process flow 400 illustrated in 24 is shown. The treatment can be carried out using a process gas, with the wafer 10 in which process gas is soaked. The process gas can comprise TaCl 5 , NiCl 4 , WCl 5 , MoCl 5 or the like or combinations thereof. During the treatment, the wafer becomes 10 heated, for example to a temperature in the range of between about 200 ° C and about 500 ° C. No plasma is generated. The duration of treatment can be greater than about 5 seconds and can range between about 5 seconds and 50 seconds. When TiCl 4 is used as the treatment process gas, the TiCl 4 soaking causes the resulting molecules (such as TiCl 3 molecules) to bond with the dangling bonds of the underlying metal nitride layers 84 get connected. The linked molecules are shown as 89, as in FIG 18th shown. On the other hand, there are no molecules of the treatment gas with the surfaces of the exposed dielectric materials, such as the dielectric spacers 80 and the dielectric layer 74 , connected.

19 veranschaulicht ferner die selektive Abscheidung der Siliziumschicht 90 unter Verwendung eines siliziumhaltigen Gases als einen Vorläufer, welches SiH4, Si2H6, Si3H8 oder dergleichen oder Kombinationen davon sein kann. Der jeweilige Prozess ist als Prozess 438 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Die Abscheidung kann unter Verwendung von chemischer Dampfabscheidung (CVD, Chemical Vapor Deposition) oder anderer anwendbarer Verfahren durchgeführt werden. Während der Abscheidung der Siliziumschicht 90 kann der Wafer 10 erhitzt werden, zum Beispiel auf eine Temperatur im Bereich von zwischen ungefähr 400°C und ungefähr 550°C. Der Druck des Vorläufers kann im Bereich von zwischen ungefähr 15 Torr und ungefähr 40 Torr liegen. Die Abscheidungszeit kann im Bereich von zwischen ungefähr 30 Sekunden und ungefähr 600 Sekunden liegen. Die Siliziumschicht 90 kann eine Dicke im Bereich von zwischen ungefähr 1 Ä und ungefähr 10 Ä aufweisen, und die Dicke kann im Bereich von zwischen ungefähr 1 Ä und ungefähr 10 Ä oder im Bereich von zwischen ungefähr 1 Ä und ungefähr 5Å liegen. Die Siliziumschicht 90 kann eine amorphe Schicht sein. 19th also illustrates the selective deposition of the silicon layer 90 using a silicon-containing gas as a precursor, which can be SiH 4 , Si2H 6 , Si 3 H 8, or the like, or combinations thereof. The particular process is called a process 438 in the process flow 400 illustrated in 24 is shown. The deposition can be carried out using chemical vapor deposition (CVD) or other applicable methods. During the deposition of the silicon layer 90 can the wafer 10 for example, to a temperature in the range of between about 400 ° C and about 550 ° C. The pressure of the precursor can range between about 15 torr and about 40 torr. The deposition time can range between about 30 seconds and about 600 seconds. The silicon layer 90 may have a thickness in the range of between about 1 Å and about 10 Å, and the thickness may be in the range of between about 1 Å and about 10 Å or in the range of between about 1 Å and about 5 Å. The silicon layer 90 can be an amorphous layer.

Wenn die Siliziumschicht 90 gebildet ist, und wenn Wasserstoff (zum Beispiel von SiH4) bereitgestellt wird, werden Si-H-Bindungen auf der oberen Fläche der Siliziumschichten 90 gebildet. Dies stellt eine gute Grundlage für das darauffolgende Füllen von Metall bereit, und die Siliziumschicht 90 wirkt als eine Keimschicht für die selektive Abscheidung von Metall in der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78. Gemäß alternativen Ausführungsformen werden die chlorbasierte Gasbehandlung und/oder die Abscheidung der Siliziumschicht 90 nicht durchgeführt. Gemäß einigen Ausführungsformen kann, selbst wenn diese Prozesse nicht durchgeführt werden, wobei ein geeignetes Prozessgas ausgewählt wird, immer noch ein gewisser Bottom-up-Effekt durch Verwenden der Metallnitridschichten 84 als Grundlagen für die selektive Abscheidung erzielt werden. Die Selektivität der Abscheidung ist jedoch höher, wenn die Siliziumschicht 90 gebildet wird, wobei die Selektivität das Verhältnis der Abscheidungsrate von Metall auf Silizium zu der Abscheidungsrate von Metall auf dielektrischen Materialien ist.When the silicon layer 90 is formed, and when hydrogen (e.g. from SiH 4 ) is provided, Si-H bonds are formed on the top surface of the silicon layers 90 educated. This provides a good foundation for the subsequent filling of metal, and the silicon layer 90 acts as a seed layer for the selective deposition of metal in the source / drain contact opening 76 and the gate contact opening 78 . According to alternative embodiments, the chlorine-based gas treatment and / or the deposition of the silicon layer are used 90 not done. According to some embodiments, even if these processes are not performed with a suitable process gas selected, some bottom-up effect can still be achieved by using the metal nitride layers 84 can be achieved as a basis for selective deposition. However, the selectivity of the deposition is higher when the silicon layer 90 where the selectivity is the ratio of the rate of deposition of metal on silicon to the rate of deposition of metal on dielectric materials.

20 veranschaulicht die selektive Bottom-up-Abscheidung eines Metalls in die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78, so dass die Metallregionen 92 gebildet werden. Der jeweilige Prozess ist als Prozess 440 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Gemäß einigen Ausführungsformen sind die Metallregionen 92 aus Aluminium, Molybdän, Ruthen, Iridium, Wolfram, Kobalt oder dergleichen oder Kombinationen davon gebildet oder umfassen diese. Die gesamten Metallregionen 92 können homogen sein. Gemäß einigen Ausführungsformen, in welchen Aluminium abgeschieden wird, umfassen die Reaktionsprozessgase Dimethylaluminiumhydrid (DMAH) und Wasserstoff (H2). Das DMAH neigt dazu, Aluminium selektiv, insbesondere auf der Siliziumschicht, abzuscheiden. Das Abscheidungsverfahren kann CVD oder ähnliche Verfahren umfassen. Die Abscheidungstemperatur kann im Bereich von zwischen ungefähr 175°C und ungefähr 275°C liegen. Der Druck der Reaktionsgase kann im Bereich von zwischen ungefähr 1 Torr und ungefähr 3 Torr liegen. Die resultierenden Metallregionen 92 können vollständig die Source-/Drain-Kontaktöffnung 76 und die Gate-Kontaktöffnung 78 füllen oder derart gefüllt sein, dass sie obere Flächen aufweisen, die leicht niedriger als die obere Fläche des ILD 74 sind. Zum Beispiel kann die Höhe der Metallregionen 92 im Bereich von zwischen ungefähr 500 Ä und ungefähr 1.500 Ä liegen, je nach den Tiefen der Source-/Drain-Kontaktöffnung 76 und der Gate-Kontaktöffnung 78. 20th illustrates the selective bottom-up deposition of a metal into the source / drain contact opening 76 and the gate contact opening 78 so that the metal regions 92 are formed. The particular process is called a process 440 in the process flow 400 illustrated in 24 is shown. According to some embodiments, the regions are metal 92 formed from or comprise aluminum, molybdenum, ruthenium, iridium, tungsten, cobalt, or the like, or combinations thereof. The entire metal regions 92 can be homogeneous. According to some embodiments in which aluminum is deposited, the reaction process gases include dimethyl aluminum hydride (DMAH) and hydrogen ( H2 ). The DMAH tends to deposit aluminum selectively, particularly on the silicon layer. The deposition process can include CVD or similar processes. The deposition temperature can range between about 175 ° C and about 275 ° C. The pressure of the reaction gases can range between about 1 torr and about 3 torr. The resulting metal regions 92 can completely cover the source / drain contact opening 76 and the gate contact opening 78 or filled so that they have upper surfaces that are slightly lower than the upper surface of the ILD 74 are. For example, the height of the metal regions 92 are in the range of between about 500 Å and about 1,500 Å, depending on the depths of the source / drain contact opening 76 and the gate contact opening 78 .

Die Siliziumschicht 90 wirkt als eine Keimschicht zur Abscheidung der Metallregion 92. Andererseits wird Metall nicht auf freigelegten dielektrischen Materialien, wie etwa auf den Flächen der dielektrischen Abstandshalter 80 und des ILD 74, abgeschieden. Dementsprechend ist die Abscheidung der Metallregion 92 ein selektiver Abscheidungsprozess und ein Bottom-up-Abscheidungsprozess. Die resultierenden Metallregionen 92 sind keimfrei. Da Aluminium eine gute Adhäsion bezüglich der Metallnitridschicht 84, der dielektrischen Abstandshalter 80 und des ILD 74 aufweist, können die Metallregionen 92 gebildet werden, ohne Adhäsionsschichten (Barrieren) bilden zu müssen (die typischerweise aus Ti, TiN, Ta, TaN oder dergleichen gebildet sind). Die resultierenden Kontaktstecker sind somit barrierelos.The silicon layer 90 acts as a seed layer to deposit the metal region 92 . On the other hand, metal does not become on exposed dielectric materials, such as on the surfaces of the dielectric spacers 80 and the ILD 74 , deposited. The deposition of the metal region is corresponding 92 a selective one Deposition process and a bottom-up deposition process. The resulting metal regions 92 are germ-free. Since aluminum has good adhesion with respect to the metal nitride layer 84 , the dielectric spacer 80 and the ILD 74 has, the metal regions 92 can be formed without having to form adhesive layers (barriers) (which are typically made of Ti, TiN, Ta, TaN, or the like). The resulting contact plugs are therefore barrier-free.

23 veranschaulicht ein Produktionswerkzeug 200 zum Durchführen der Prozesse, wie in 18, 19 und 20 gezeigt. Das Produktionswerkzeug 200 weist ein Lademodul 110 zum Laden und Abladen von Wafern und mehrere Prozesskammern auf. Die Prozesskammern umfassen Kammern 112 zum Ätzen der Metalloxid(-oxynitrid-)-schicht 88 (in 17 gezeigt), Kammern 114 zum Behandeln der Metallnitridschichten und Abscheiden der Siliziumschichten 90 (19) und Kammern 116 zum Abscheiden der Metallregion 92 (20). Das Ätzen von Metalloxidschichten, das Behandeln der Metallnitridschicht 84 und das Abscheiden der Siliziumschicht 90 und die Abscheidung der Metallregion 92 werden vor Ort in dem Produktionswerkzeug 200 durchgeführt, so dass keine Vakuumunterbrechung zwischen diesen Prozessen auftritt. Ansonsten können die freigelegten Flächen der Metallnitridschichten 84 und der Siliziumschichten 90 oxidiert werden und sind die darauffolgenden Abscheidungsprozesse möglicherweise nicht selektiv. 23 illustrates a production tool 200 to perform the processes as in 18th , 19th and 20th shown. The production tool 200 has a charging module 110 for loading and unloading wafers and several process chambers. The process chambers include chambers 112 for etching the metal oxide (oxynitride) layer 88 (in 17th shown), chambers 114 for treating the metal nitride layers and depositing the silicon layers 90 ( 19th ) and chambers 116 to deposit the metal region 92 ( 20th ). The etching of metal oxide layers, the treatment of the metal nitride layer 84 and the deposition of the silicon layer 90 and the deposition of the metal region 92 are on site in the production tool 200 carried out so that no vacuum interruption occurs between these processes. Otherwise, the exposed areas of the metal nitride layers 84 and the silicon layers 90 are oxidized and the subsequent deposition processes may not be selective.

In einem darauffolgenden Prozess durchläuft die Struktur, wie in 20 gezeigt, einen thermalen Prozess, um die Metallregionen 92 aufzuschmelzen. Während dem Aufschmelzprozess kann Wasserstoff (H2) als ein Prozessgas verwendet werden, so dass einige unerwünschte Verunreinigungen, wie etwa Kohlenstoff, in den Metallregionen 92 entfernt werden. Bei dem Aufschmelzen kann die Temperatur des Wafers 10 in dem thermischen Prozess im Bereich von zwischen ungefähr 400°C und ungefähr 450°C liegen. Aluminium kann, wenn es verwendet wird, in den Metallregionen 92 teilweise geschmolzen werden. Infolge des Aufschmelzens weisen die Metallregionen 92 eine polykristalline Struktur auf und kann die Korngröße vorteilhafterweise im Vergleich zu vor dem Aufschmelzen vergrößert werden. Zum Beispiel weisen vor dem Aufschmelzprozess über 75 Prozent (Kornzahlprozentanteil) der Körner in den Metallregionen eine Korngröße im Bereich von zwischen ungefähr 2 nm und ungefähr 8 nm auf. Nach dem Aufschmelzprozess weisen über 75 Prozent der Körner Korngrößen auf, die in den Bereich von zwischen ungefähr 9 nm und ungefähr 15 nm fallen. Ferner werden mit dem Aufschmelzen Fugen oder Lücken in der Metallregion 92 entfernt, falls vorhanden.In a subsequent process, the structure goes through, as in 20th shown a thermal process to the metal regions 92 to melt. During the melting process, hydrogen ( H2 ) can be used as a process gas, leaving some undesirable impurities, such as carbon, in the metal regions 92 removed. During the melting process, the temperature of the wafer 10 in the thermal process are in the range of between about 400 ° C and about 450 ° C. Aluminum, when used, can be in the metal regions 92 be partially melted. As a result of the melting, the metal regions show 92 a polycrystalline structure and the grain size can advantageously be enlarged compared to before the melting. For example, prior to the melting process, over 75 percent (grain number percentage) of the grains in the metal regions have a grain size in the range of between approximately 2 nm and approximately 8 nm. After the melting process, over 75 percent of the grains have grain sizes that fall in the range of between approximately 9 nm and approximately 15 nm. Furthermore, with the melting, joints or gaps are created in the metal region 92 removed, if present.

Je nachdem, ob der Aufschmelzprozess durchgeführt wird oder nicht, und je nach der Temperatur des darauffolgenden Prozesses können die Siliziumschichten 90 mit den darüberliegenden Metallregionen 92 reagieren (oder nicht), um Metallsilizidregionen 91 zu bilden, welche Aluminiumsilizid(AlSiY)-regionen gemäß einigen Ausführungsformen sein können. Dementsprechend ist die entsprechende Region markiert und wird als siliziumhaltige Regionen 90/91 bezeichnet, um anzugeben, dass unterscheidbare Siliziumschichten 90 vorhanden sein können oder Metallsilizidregionen 91 vorhanden sein können. Gemäß einigen Ausführungsformen liegt die Dicke der Metallsilizidregionen 91 im Bereich von zwischen ungefähr 2 Ä und ungefähr 30 Ä.Depending on whether the melting process is carried out or not, and depending on the temperature of the subsequent process, the silicon layers 90 with the overlying metal regions 92 react (or not) to metal silicide regions 91 which may be aluminum silicide (AlSi Y ) regions in accordance with some embodiments. Accordingly, the corresponding region is marked and is called silicon-containing regions 90 / 91 referred to to indicate that distinguishable silicon layers 90 may be present or metal silicide regions 91 may be present. In some embodiments, the thickness of the metal silicide regions is 91 in the range of between about 2 Å and about 30 Å.

Unter Bezugnahme auf 21 wird ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) oder ein mechanischer Schleifprozess, durchgeführt, um überschüssige Abschnitte der Metallregionen 92 zu entfernen, so dass die oberen Flächen der Metallregionen 92 koplanar mit der oberen Fläche des ILD 74 sind. Der jeweilige Prozess ist als Prozess 442 in dem Prozessfluss 400 veranschaulicht, der in 24 gezeigt ist. Der Source-/Drain-Kontaktstecker 94A und der Gate-Kontaktstecker 94B werden somit gebildet.With reference to 21 a planarization process, such as a chemical mechanical polishing (CMP) process or a mechanical grinding process, is performed to remove excess portions of the metal regions 92 remove, leaving the top surfaces of the metal regions 92 coplanar with the upper surface of the ILD 74 are. The particular process is called a process 442 in the process flow 400 illustrated in 24 is shown. The source / drain contact plug 94A and the gate contact plug 94B are thus formed.

Gemäß einigen Ausführungsformen weist der Source-/Drain-Kontaktstecker 94A die Metallregion 94, die Siliziumschicht 90 oder die Silizidregion 91 und die Metallnitridschicht 84 auf. Die Metallnitridschicht 84 liegt über der Silizidregion 86 und berührt diese. Die Elemente (wie etwa Ti und Cl), die durch die Behandlung (unter Verwendung von TiCl4) eingebracht werden, können sich an der Grenzfläche zwischen der Siliziumschicht 90 und der Metallnitridschicht 84 befinden. Ebenfalls kann die Siliziumschicht 90 oder die Silizidregion 91 eine unterscheidbare Schicht sein oder ist diese möglicherweise keine unterscheidbare Schicht, da sie zu dünn ist, und ferner aufgrund der Tatsache, dass der darauffolgende thermische Prozess ihre Diffusion bewirken kann. Der Siliziumatomprozentanteil in den siliziumhaltigen Regionen 90/91 kann der höchste sein, und die Atomprozentanteile verringern sich in der Richtung weiter weg von den siliziumhaltigen Regionen 90/91. Ähnlich können einige Elemente, wie etwa Chlor, in den siliziumhaltigen Regionen 90/91 (aufgrund der TiCl4-Behandlung) beobachtet werden, können sich die Konzentrationen dieser Elemente weiter weg von den Grenzflächenregionen verringern. Zum Beispiel veranschaulichen die Pfeile 96A und 96B in 21 die Richtungen, in welchen die Chlorprozentanteile schrittweise abnehmen können. Der Pfeil 96A veranschaulicht auch die Richtung, in welcher sich der Siliziumatomprozentanteil verringert. Es sei jedoch darauf hingewiesen, dass der Siliziumatomprozentanteil in der Silizidregion 86 eine Spitze erreichen kann. Dementsprechend kann der Siliziumatomprozentanteil zwei Konzentrationsspitzen aufweisen, wobei die erste Spitze in der Silizidregion 86 liegt und die zweite Spitze dort, wo die Siliziumschicht 90 ist, liegt. Die zweite Spitze kann niedriger als die erste Spitze sein. Der Siliziumatomprozentanteil in der Metallnitridschicht 84 kann geringer als in beiden Spitzen sein.According to some embodiments, the source / drain contact plug 94A the metal region 94 who have favourited silicon layer 90 or the silicide region 91 and the metal nitride layer 84 on. The metal nitride layer 84 lies above the silicide region 86 and touches them. The elements (such as Ti and Cl) that are introduced by the treatment (using TiCl 4 ) can be at the interface between the silicon layer 90 and the metal nitride layer 84 are located. The silicon layer can also 90 or the silicide region 91 may or may not be a distinguishable layer because it is too thin and also due to the fact that the subsequent thermal process can cause its diffusion. The silicon atom percentage in the silicon-containing regions 90 / 91 may be the highest, and the atomic percentages decrease in the direction further away from the silicon-containing regions 90 / 91 . Similarly, some elements, such as chlorine, can be found in the silicon-containing regions 90 / 91 (due to the TiCl 4 treatment), the concentrations of these elements may decrease further away from the interfacial regions. For example, the arrows illustrate 96A and 96B in 21 the directions in which the chlorine percentages can gradually decrease. The arrow 96A also illustrates the direction in which the silicon atom percentage decreases. It should be noted, however, that the silicon atom percentage is in the silicide region 86 can reach a peak. Accordingly, the silicon atom percentage can have two concentration peaks, the first peak in the silicide region 86 lies and the second tip where the silicon layer 90 is, lies. The second peak can be lower than the first peak. The silicon atom percentage in the metal nitride layer 84 can be less than both peaks.

Gemäß einigen Ausführungsformen weist der Gate-Kontaktstecker 94B die Metallregion 94, die siliziumhaltige Region 90/91 und die Metallnitridschicht 84 auf. Eine Titanschicht 82 kann vorhanden sein oder nicht. Dementsprechend berührt entweder die untere Fläche der Metallnitridschicht 84 oder die untere Fläche der Titanschicht 82 die Gate-Elektrode 66. Die Elemente (wie etwa Ti und Cl), die durch die Behandlung (unter Verwendung von TiCl4) eingebracht werden, können sich an der Grenzfläche zwischen der siliziumhaltigen Region 90/91 und der Metallnitridschicht '4 befinden. Ebenfalls kann die siliziumhaltige Region 90/91 eine unterscheidbare Schicht sein oder ist diese möglicherweise keine unterscheidbare Schicht, da sie zu dünn ist, und ferner aufgrund der Tatsache, dass der darauffolgende thermische Prozess ihre Diffusion bewirken kann. Der Siliziumatomprozentanteil in der siliziumhaltigen Region 90/91 kann der höchste sein, und die Atomprozentanteile verringern sich in der Richtung weiter weg von der siliziumhaltigen Region 90/91. Ähnlich können einige Elemente, wie etwa Chlor, in den Grenzflächenregionen beobachtet werden, und können sich die Konzentrationen dieser Elemente weiter weg von den Grenzflächenregionen verringern. Zum Beispiel veranschaulichen die Pfeile 97 in 21 die Richtungen, in welchen die Siliziumatomprozentanteile und Chlorprozentanteile schrittweise abnehmen können.According to some embodiments, the gate contact plug comprises 94B the metal region 94 , the silicon-containing region 90 / 91 and the metal nitride layer 84 on. A titanium layer 82 may or may not be present. Accordingly, either touches the lower surface of the metal nitride layer 84 or the lower surface of the titanium layer 82 the gate electrode 66 . The elements (such as Ti and Cl) introduced by the treatment (using TiCl 4 ) can be at the interface between the silicon-containing region 90 / 91 and the metal nitride layer '4 are located. The silicon-containing region 90 / 91 may or may not be a distinguishable layer because it is too thin and also due to the fact that the subsequent thermal process can cause its diffusion. The silicon atom percentage in the silicon-containing region 90 / 91 may be the highest and the atomic percentages decrease in the direction further away from the silicon-containing region 90 / 91 . Similarly, some elements, such as chlorine, can be observed in the interfacial regions and the concentrations of these elements may decrease further away from the interfacial regions. For example, the arrows illustrate 97 in 21 the directions in which the silicon atom percentages and chlorine percentages can gradually decrease.

22 veranschaulicht das Bilden der Ätzstoppschicht 122 und der Dielektrikumsschicht 124. Gemäß einigen Ausführungsformen wird die Ätzstoppschicht 122 aus Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid, Aluminiumoxid oder dergleichen oder Mehrfachschichten davon gebildet oder umfasst diese, wobei die Dielektrikumsschicht 124 eine dielektrische low-k-Schicht sein kann. Die Durchkontaktierungen 130 und 132 sind derart gebildet, dass sie sich in die Dielektrikumsschicht 124 und die Ätzstoppschicht 122 hinein erstrecken und jeweils den Source-/Drain-Kontaktstecker 94A und den Gate-Kontaktstecker 94B berühren. Jede der Durchkontaktierungen 130 und 132 kann die Adhäsions-/Barriereschicht 126 und die Füllmetallregion 128 aufweisen. Die Adhäsions-/Barriereschicht 126 kann aus Ti, TiN, Ta, TaN oder dergleichen gebildet sein. Die Füllmetallregion 128 kann Ru, Ir, Mo, W, Cu oder dergleichen oder Legierungen davon umfassen. 22nd illustrates the formation of the etch stop layer 122 and the dielectric layer 124 . According to some embodiments, the etch stop layer is 122 formed from silicon carbide, silicon oxycarbide, silicon oxynitride, aluminum oxide or the like, or multiple layers thereof, wherein the dielectric layer 124 may be a low-k dielectric layer. The vias 130 and 132 are formed in such a way that they extend into the dielectric layer 124 and the etch stop layer 122 extend in and each of the source / drain contact plug 94A and the gate contact plug 94B touch. Each of the vias 130 and 132 can the adhesion / barrier layer 126 and the fill metal region 128 exhibit. The adhesion / barrier layer 126 may be formed from Ti, TiN, Ta, TaN, or the like. The filler metal region 128 may include Ru, Ir, Mo, W, Cu, or the like, or alloys thereof.

Die Ausführungsformen der vorliegenden Offenbarung weisen einige vorteilhafte Merkmale auf. Durch Oxidieren der Metallnitridschichten können die Metallnitridschichten von Seitenwänden und oberen Flächen der Dielektrikumsschichten entfernt werden, während die Metallnitridschichten selektiv an den Unterseiten der Kontaktöffnungen zurückgelassen werden können. Dies ermöglicht die selektive Abscheidung von Siliziumschichten und somit die selektive Abscheidung von unten nach oben von Metallregionen. Dementsprechend sind die Kontaktstecker keimfrei.The embodiments of the present disclosure have several advantageous features. By oxidizing the metal nitride layers, the metal nitride layers can be removed from sidewalls and top surfaces of the dielectric layers, while the metal nitride layers can be selectively left on the undersides of the contact openings. This enables the selective deposition of silicon layers and thus the selective deposition from bottom to top of metal regions. Accordingly, the contact plugs are sterile.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung umfasst ein Verfahren das Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht; das Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt; das Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines oberen Abschnitts der Metallschicht in eine Metallnitridschicht; das Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht; das Entfernen der Metalloxynitridschicht; und das Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers. In einer Ausführungsform wird eine Source-/Drain-Region unter der Dielektrikumsschicht offengelegt, nachdem der Graben gebildet ist. In einer Ausführungsform umfasst das Verfahren ferner nach dem Nitridierungsprozess und vor dem Oxidationsprozess das Durchführen eines Temperprozesses, um einen unteren Abschnitt der Metallschicht mit der Source-/Drain-Region reagieren zu lassen, um eine Silizidregion zu bilden. In einer Ausführungsform verbleibt, nachdem die Metalloxynitridschicht entfernt ist, ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens. In einer Ausführungsform umfasst das Verfahren ferner das selektive Abscheiden einer Siliziumschicht auf dem unteren Abschnitt der Metallnitridschicht, wobei das metallische Material selektiv aus der Siliziumschicht gezüchtet wird. In einer Ausführungsform umfasst das Verfahren ferner vor dem selektiven Abscheiden der Siliziumschicht das Behandeln des unteren Abschnitts der Metallnitridschicht unter Verwendung von Titanchlorid (TiCl4). In einer Ausführungsform führt der Oxidationsprozess, der auf der Metallnitridschicht durchgeführt wird, dazu, dass die gesamte Metallnitridschicht über der Dielektrikumsschicht und die gesamte Metallnitridschicht auf Seitenwänden der Dielektrikumsschicht nitridiert werden, wobei ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens nach dem Oxidationsprozess verbleibt. In einer Ausführungsform werden das Entfernen der Metalloxynitridschicht und das Füllen des metallischen Materials vor Ort in einer selben Vakuumumgebung durchgeführt.According to some embodiments of the present disclosure, a method includes etching a dielectric layer to form a trench in the dielectric layer; depositing a metal layer extending into the trench; performing a nitriding process on the metal layer to convert a top portion of the metal layer into a metal nitride layer; performing an oxidation process on the metal nitride layer to form a metal oxynitride layer; removing the metal oxynitride layer; and filling a metallic material into the trench using a bottom-up deposition process to form a contact plug. In one embodiment, a source / drain region is exposed under the dielectric layer after the trench is formed. In one embodiment, the method further comprises performing an annealing process after the nitriding process and before the oxidation process to react a lower portion of the metal layer with the source / drain region to form a silicide region. In one embodiment, after the metal oxynitride layer is removed, a lower portion of the metal nitride layer remains on an underside of the trench. In one embodiment, the method further comprises selectively depositing a silicon layer on the lower portion of the metal nitride layer, wherein the metallic material is selectively grown from the silicon layer. In one embodiment, the method further comprises, prior to the selective deposition of the silicon layer, treating the lower portion of the metal nitride layer using titanium chloride (TiCl 4 ). In one embodiment, the oxidation process that is carried out on the metal nitride layer results in the entire metal nitride layer over the dielectric layer and the entire metal nitride layer being nitrided on sidewalls of the dielectric layer, with a lower section of the metal nitride layer remaining on an underside of the trench after the oxidation process. In one embodiment, the removal of the metal oxynitride layer and the filling of the metallic material on site are performed in the same vacuum environment.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Vorrichtung eine Kontaktätzstoppschicht; ein erstes Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen Kontaktstecker, der sich in die Kontaktätzstoppschicht und das erste Zwischenschichtdielektrikum hinein erstreckt, auf, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine siliziumhaltige Schicht über der Metallnitridschicht; und ein homogenes metallisches Material über der siliziumhaltigen Schicht. In einer Ausführungsform weist die Metallnitridschicht ein erstes Metall auf und weist das homogene metallische Material ein zweites Metall auf, das sich von dem ersten Metall unterscheidet. In einer Ausführungsform umfasst die siliziumhaltige Schicht Aluminiumsilizid. In einer Ausführungsform weist die Vorrichtung ferner Chlor an einer Grenzfläche zwischen der siliziumhaltigen Schicht und der Metallnitridschicht auf. In einer Ausführungsform weist die Vorrichtung ferner eine Silizidregion auf, die unter der Metallnitridschicht liegt, wobei erste Chloratomkonzentrationen in der siliziumhaltigen Schicht und der Metallnitridschicht höher als zweite Chloratomkonzentrationen in dem homogenen metallischen Material und der Silizidregion sind. In einer Ausführungsform erstreckt sich die Metallnitridschicht nicht auf Seitenwänden des homogenen metallischen Materials. In einer Ausführungsform berühren Seitenwände des homogenen metallischen Materials Seitenwände des ersten Zwischenschichtdielektrikums. In einer Ausführungsform weist die Vorrichtung ferner eine Ätzstoppschicht über dem ersten Zwischenschichtdielektrikum; und ein zweites Zwischenschichtdielektrikum über der Ätzstoppschicht auf, wobei sich der Kontaktstecker ferner in die Ätzstoppschicht und das zweite Zwischenschichtdielektrikum hinein erstreckt. In einer Ausführungsform weist die Vorrichtung ferner eine Metallschicht unter der Metallnitridschicht; und eine Gate-Elektrode, die unter der Metallschicht liegt und diese berührt, auf.According to some embodiments of the present disclosure, a device includes a contact etch stop layer; a first interlayer dielectric over the contact etch stop layer; and a contact plug that extends into the contact etch stop layer and the first interlayer dielectric extends into, the contact plug comprising: a metal nitride layer; a silicon-containing layer over the metal nitride layer; and a homogeneous metallic material over the silicon-containing layer. In one embodiment, the metal nitride layer has a first metal and the homogeneous metallic material has a second metal that differs from the first metal. In one embodiment, the silicon-containing layer comprises aluminum silicide. In one embodiment, the device further comprises chlorine at an interface between the silicon-containing layer and the metal nitride layer. In one embodiment, the device further includes a silicide region underlying the metal nitride layer, wherein first chlorine atom concentrations in the silicon-containing layer and the metal nitride layer are higher than second chlorine atom concentrations in the homogeneous metallic material and the silicide region. In one embodiment, the metal nitride layer does not extend on sidewalls of the homogeneous metallic material. In one embodiment, sidewalls of the homogeneous metallic material touch sidewalls of the first interlayer dielectric. In one embodiment, the device further comprises an etch stop layer over the first interlayer dielectric; and a second interlayer dielectric over the etch stop layer, wherein the contact plug further extends into the etch stop layer and the second interlayer dielectric. In one embodiment, the device further comprises a metal layer under the metal nitride layer; and a gate electrode underlying and contacting the metal layer.

Gemäß einigen Ausführungsformen der vorliegenden Offenbarung weist eine Vorrichtung eine Source-/Drain-Region; eine erste Metallsilizidregion über der Source-/Drain-Region und diese berührend; einen Kontaktstecker über der ersten Metallsilizidregion und diese berührend, auf, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine zweite Metallsilizidregion über der Metallnitridschicht; und eine Aluminiumregion über der zweiten Metallsilizidregion. In einer Ausführungsform ist der Kontaktstecker barrierelos. In einer Ausführungsform weist die Vorrichtung ferner eine Kontaktätzstoppschicht; ein Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen dielektrischen Abstandshalter, der den Kontaktstecker einkreist und berührt, auf, wobei sich der dielektrische Abstandshalter sowohl in die Kontaktätzstoppschicht als auch das Zwischenschichtdielektrikum hinein erstreckt.According to some embodiments of the present disclosure, a device has a source / drain region; a first metal silicide region over and in contact with the source / drain region; a contact plug over and in contact with the first metal silicide region, the contact plug comprising: a metal nitride layer; a second metal silicide region over the metal nitride layer; and an aluminum region over the second metal silicide region. In one embodiment, the contact plug is barrier-free. In one embodiment, the device further comprises a contact etch stop layer; an interlayer dielectric over the contact etch stop layer; and a dielectric spacer encircling and contacting the contact plug, the dielectric spacer extending into both the contact etch stop layer and the interlayer dielectric.

Das Vorherige erläutert Merkmale verschiedener Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung leicht als Grundlage zum Gestalten oder Abändern anderer Prozesse und Strukturen zum Erreichen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden kann. Ein Fachmann sollte auch realisieren, dass sich solche äquivalenten Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung entfernen und er verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen kann, ohne sich von dem Wesen und Umfang der vorliegenden Offenbarung zu entfernen.The foregoing illustrates features of various embodiments so that one skilled in the art may better understand aspects of the present disclosure. One skilled in the art should recognize that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to achieve the same purposes and / or achieve the same advantages of the embodiments presented herein. One skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure and can make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

ZITATE ENTHALTEN IN DER BESCHREIBUNGQUOTES INCLUDED IN THE DESCRIPTION

Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.This list of the documents listed by the applicant was generated automatically and is included solely for the better information of the reader. The list is not part of the German patent or utility model application. The DPMA assumes no liability for any errors or omissions.

Zitierte PatentliteraturPatent literature cited

  • US 62903424 [0001]US 62903424 [0001]

Claims (20)

Verfahren umfassend: Ätzen einer Dielektrikumsschicht zum Bilden eines Grabens in der Dielektrikumsschicht; Abscheiden einer Metallschicht, die sich in den Graben hinein erstreckt; Durchführen eines Nitridierungsprozesses auf der Metallschicht zum Umwandeln eines oberen Abschnitts der Metallschicht in eine Metallnitridschicht; Durchführen eines Oxidationsprozesses auf der Metallnitridschicht zum Bilden einer Metalloxynitridschicht; Entfernen der Metalloxynitridschicht; und Füllen eines metallischen Materials in den Graben unter Verwendung eines Bottom-up-Abscheidungsprozesses zum Bilden eines Kontaktsteckers.Procedure comprising: Etching a dielectric layer to form a trench in the dielectric layer; Depositing a metal layer extending into the trench; Performing a nitriding process on the metal layer to convert an upper portion of the metal layer into a metal nitride layer; Performing an oxidation process on the metal nitride layer to form a metal oxynitride layer; Removing the metal oxynitride layer; and Filling a metallic material into the trench using a bottom-up deposition process to form a contact plug. Verfahren nach Anspruch 1, wobei eine Source-/Drain-Region unter der Dielektrikumsschicht offengelegt wird, nachdem der Graben gebildet ist.Procedure according to Claim 1 , exposing a source / drain region beneath the dielectric layer after the trench is formed. Verfahren nach Anspruch 2, ferner umfassend, nach dem Nitridierungsprozess und vor dem Oxidationsprozess, das Durchführen eines Temperprozesses, um einen unteren Abschnitt der Metallschicht mit der Source-/Drain-Region reagieren zu lassen, um eine Silizidregion zu bilden.Procedure according to Claim 2 , further comprising, after the nitriding process and before the oxidation process, performing an annealing process to react a lower portion of the metal layer with the source / drain region to form a silicide region. Verfahren nach einem der vorherigen Ansprüche, wobei, nachdem die Metalloxynitridschicht entfernt ist, ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens verbleibt.A method according to any preceding claim, wherein after the metal oxynitride layer is removed, a lower portion of the metal nitride layer remains on an underside of the trench. Verfahren nach Anspruch 4, ferner umfassend das selektive Abscheiden einer Siliziumschicht auf dem unteren Abschnitt der Metallnitridschicht, wobei das metallische Material selektiv aus der Siliziumschicht gezüchtet wird.Procedure according to Claim 4 further comprising selectively depositing a silicon layer on the lower portion of the metal nitride layer, wherein the metallic material is selectively grown from the silicon layer. Verfahren nach Anspruch 5, ferner umfassend, vor dem selektiven Abscheiden der Siliziumschicht, das Behandeln des unteren Abschnitts der Metallnitridschicht unter Verwendung von Titanchlorid (TiCl4).Procedure according to Claim 5 , further comprising, prior to the selective deposition of the silicon layer, treating the lower portion of the metal nitride layer using titanium chloride (TiCl 4 ). Verfahren nach einem der vorherigen Ansprüche, wobei der Oxidationsprozess, der auf der Metallnitridschicht durchgeführt wird, dazu führt, dass die gesamte Metallnitridschicht über der Dielektrikumsschicht und die gesamte Metallnitridschicht auf Seitenwänden der Dielektrikumsschicht nitridiert werden, wobei ein unterer Abschnitt der Metallnitridschicht an einer Unterseite des Grabens nach dem Oxidationsprozess verbleibt.Method according to one of the preceding claims, wherein the oxidation process which is carried out on the metal nitride layer results in the entire metal nitride layer over the dielectric layer and the entire metal nitride layer being nitrided on sidewalls of the dielectric layer, a lower section of the metal nitride layer being nitrided on an underside of the trench remains after the oxidation process. Verfahren nach einem der vorherigen Ansprüche, wobei das Entfernen der Metalloxynitridschicht und das Füllen des metallischen Materials vor Ort in einer selben Vakuumumgebung durchgeführt werden.Method according to one of the preceding claims, wherein the removal of the metal oxynitride layer and the filling of the metallic material on site are carried out in the same vacuum environment. Vorrichtung, die Folgendes aufweist: eine Kontaktätzstoppschicht; ein erstes Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen Kontaktstecker, der sich in die Kontaktätzstoppschicht und das erste Zwischenschichtdielektrikum hinein erstreckt, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine siliziumhaltige Schicht über der Metallnitridschicht; und ein homogenes metallisches Material über der siliziumhaltigen Schicht.Apparatus comprising: a contact etch stop layer; a first interlayer dielectric over the contact etch stop layer; and a contact plug extending into the contact etch stop layer and the first interlayer dielectric, the contact plug comprising: a metal nitride layer; a silicon-containing layer over the metal nitride layer; and a homogeneous metallic material over the silicon-containing layer. Vorrichtung nach Anspruch 9, wobei die Metallnitridschicht ein erstes Metall aufweist und das homogene metallische Material ein zweites Metall aufweist, das sich von dem ersten Metall unterscheidet.Device according to Claim 9 wherein the metal nitride layer comprises a first metal and the homogeneous metallic material comprises a second metal that is different from the first metal. Vorrichtung nach Anspruch 10, wobei die siliziumhaltige Schicht Aluminiumsilizid umfasst.Device according to Claim 10 wherein the silicon-containing layer comprises aluminum silicide. Vorrichtung nach einem der Ansprüche 9 bis 11, die ferner Chlor an einer Grenzfläche zwischen der siliziumhaltigen Schicht und der Metallnitridschicht aufweist.Device according to one of the Claims 9 to 11 which further comprises chlorine at an interface between the silicon-containing layer and the metal nitride layer. Vorrichtung nach Anspruch 12, die ferner eine Silizidregion aufweist, die unter der Metallnitridschicht liegt, wobei erste Chloratomkonzentrationen in der siliziumhaltigen Schicht und der Metallnitridschicht höher als zweite Chloratomkonzentrationen in dem homogenen metallischen Material und der Silizidregion sind.Device according to Claim 12 further comprising a silicide region underlying the metal nitride layer, wherein first chlorine atom concentrations in the silicon-containing layer and the metal nitride layer are higher than second chlorine atom concentrations in the homogeneous metallic material and the silicide region. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 13, wobei sich die Metallnitridschicht nicht auf Seitenwänden des homogenen metallischen Materials erstreckt.Device according to one of the previous ones Claims 9 to 13th wherein the metal nitride layer does not extend on sidewalls of the homogeneous metallic material. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 14, wobei Seitenwände des homogenen metallischen Materials Seitenwände des ersten Zwischenschichtdielektrikums berühren.Device according to one of the previous ones Claims 9 to 14th wherein sidewalls of the homogeneous metallic material contact sidewalls of the first interlayer dielectric. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 15, die ferner Folgendes aufweist: eine Ätzstoppschicht über dem ersten Zwischenschichtdielektrikum; und ein zweites Zwischenschichtdielektrikum über der Ätzstoppschicht, wobei sich der Kontaktstecker ferner in die Ätzstoppschicht und das zweite Zwischenschichtdielektrikum hinein erstreckt.Device according to one of the previous ones Claims 9 to 15th further comprising: an etch stop layer over the first interlayer dielectric; and a second interlayer dielectric over the etch stop layer, the contact plug further extending into the etch stop layer and the second interlayer dielectric. Vorrichtung nach einem der vorherigen Ansprüche 9 bis 16, die ferner Folgendes aufweist: eine Metallschicht unter der Metallnitridschicht; und eine Gate-Elektrode, die unter der Metallschicht liegt und diese berührt.Device according to one of the previous ones Claims 9 to 16 further comprising: a metal layer under the metal nitride layer; and a gate electrode underlying and contacting the metal layer. Vorrichtung, die Folgendes aufweist: eine Source-/Drain-Region; eine erste Metallsilizidregion über der Source-/Drain-Region und diese berührend; und einen Kontaktstecker über der ersten Metallsilizidregion und diese berührend, wobei der Kontaktstecker Folgendes aufweist: eine Metallnitridschicht; eine zweite Metallsilizidregion über der Metallnitridschicht; und eine Aluminiumregion über der zweiten Metallsilizidregion.A device comprising: a source / drain region; a first metal silicide region over and in contact with the source / drain region; and a contact plug over and in contact with the first metal silicide region, the contact plug comprising: a metal nitride layer; a second metal silicide region over the metal nitride layer; and an aluminum region over the second metal silicide region. Vorrichtung nach Anspruch 18, wobei der Kontaktstecker barrierelos ist.Device according to Claim 18 , whereby the contact plug is barrier-free. Vorrichtung nach Anspruch 18 oder 19, die ferner Folgendes aufweist: eine Kontaktätzstoppschicht; ein Zwischenschichtdielektrikum über der Kontaktätzstoppschicht; und einen dielektrischen Abstandshalter, der den Kontaktstecker einkreist und berührt, wobei sich der dielektrische Abstandshalter sowohl in die Kontaktätzstoppschicht als auch das Zwischenschichtdielektrikum hinein erstreckt.Device according to Claim 18 or 19th Further comprising: a contact etch stop layer; an interlayer dielectric over the contact etch stop layer; and a dielectric spacer encircling and contacting the contact plug, the dielectric spacer extending into both the contact etch stop layer and the interlayer dielectric.
DE102020101271.3A 2019-09-20 2020-01-21 METHOD OF BOTTOM-UP FORMING OF DEVICE WITH PLUG AND DEVICE WITH PLUG Active DE102020101271B4 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962903424P 2019-09-20 2019-09-20
US62/903,424 2019-09-20
US16/738,337 2020-01-09
US16/738,337 US11469139B2 (en) 2019-09-20 2020-01-09 Bottom-up formation of contact plugs

Publications (2)

Publication Number Publication Date
DE102020101271A1 true DE102020101271A1 (en) 2021-03-25
DE102020101271B4 DE102020101271B4 (en) 2023-04-27

Family

ID=74881163

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020101271.3A Active DE102020101271B4 (en) 2019-09-20 2020-01-21 METHOD OF BOTTOM-UP FORMING OF DEVICE WITH PLUG AND DEVICE WITH PLUG

Country Status (5)

Country Link
US (3) US11469139B2 (en)
KR (2) KR20210035032A (en)
CN (1) CN112542422A (en)
DE (1) DE102020101271B4 (en)
TW (1) TWI746141B (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11232943B2 (en) * 2019-04-24 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for semiconductor interconnect
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs
US20220223472A1 (en) * 2021-01-11 2022-07-14 Applied Materials, Inc. Ruthenium Reflow For Via Fill
US11929314B2 (en) * 2021-03-12 2024-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures including a fin structure and a metal cap
CN113078102B (en) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
TWI809454B (en) * 2021-07-19 2023-07-21 南亞科技股份有限公司 Method of manufacturing semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091148A (en) * 1997-09-10 2000-07-18 Micron Technology Inc Electrical connection for a semiconductor structure
US6404054B1 (en) * 1998-10-28 2002-06-11 Samsung Electronics Co., Ltd. Tungsten layer formation method for semiconductor device and semiconductor device using the same
US20130075912A1 (en) * 2011-09-22 2013-03-28 Satoshi Wakatsuki Semiconductor device and method for manufacturing the same
US20180138123A1 (en) * 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
KR100294973B1 (en) 1998-09-29 2001-10-26 김영환 Plug Formation Method of Semiconductor Device
TW541659B (en) 2002-04-16 2003-07-11 Macronix Int Co Ltd Method of fabricating contact plug
US7534709B2 (en) * 2003-05-29 2009-05-19 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
JP2006156886A (en) * 2004-12-01 2006-06-15 Renesas Technology Corp Semiconductor integrated circuit device and manufacturing method therefor
KR100753416B1 (en) 2006-03-24 2007-08-30 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
WO2016032528A1 (en) * 2014-08-29 2016-03-03 Intel Corporation Technique for filling high aspect ratio, narrow structures with multiple metal layers and associated configurations
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
CN107743653A (en) * 2015-06-18 2018-02-27 英特尔公司 Bottom-up filling for the metallicity of semiconductor structure(BUF)
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR20170141552A (en) * 2016-06-15 2017-12-26 삼성전자주식회사 A semiconductor device and method of manufacturing the semiconductor device
US10014185B1 (en) * 2017-03-01 2018-07-03 Applied Materials, Inc. Selective etch of metal nitride films
US11469139B2 (en) * 2019-09-20 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-up formation of contact plugs

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6091148A (en) * 1997-09-10 2000-07-18 Micron Technology Inc Electrical connection for a semiconductor structure
US6404054B1 (en) * 1998-10-28 2002-06-11 Samsung Electronics Co., Ltd. Tungsten layer formation method for semiconductor device and semiconductor device using the same
US20130075912A1 (en) * 2011-09-22 2013-03-28 Satoshi Wakatsuki Semiconductor device and method for manufacturing the same
US20180138123A1 (en) * 2016-11-15 2018-05-17 Globalfoundries Inc. Interconnect structure and method of forming the same

Also Published As

Publication number Publication date
TW202114066A (en) 2021-04-01
US11469139B2 (en) 2022-10-11
KR20210035032A (en) 2021-03-31
US20230386917A1 (en) 2023-11-30
KR102495788B1 (en) 2023-02-07
TWI746141B (en) 2021-11-11
US20220359285A1 (en) 2022-11-10
US20210090948A1 (en) 2021-03-25
DE102020101271B4 (en) 2023-04-27
CN112542422A (en) 2021-03-23
KR20220026559A (en) 2022-03-04

Similar Documents

Publication Publication Date Title
DE102019118467A1 (en) COVER LAYERS IN METAL GATES OF TRANSISTORS
DE102017123353B4 (en) METHOD OF MAKING A WRAPPED CONTACT PIN
DE102020101271B4 (en) METHOD OF BOTTOM-UP FORMING OF DEVICE WITH PLUG AND DEVICE WITH PLUG
DE102017127206A1 (en) CONTACT STRUCTURE FOR SEMICONDUCTOR COMPONENT
DE102017118199A1 (en) FINFET DEVICE AND TRAINING PROCESS
DE102020101301A1 (en) GATE STRUCTURES FOR SEMICONDUCTOR COMPONENTS
DE102019116921A1 (en) MAKE NITROGENIC LOW-K GATE SPACERS
DE102019125922B3 (en) PROCESS FOR DOPING HIGH-K / METAL GATES TO SET THRESHOLD VOLTAGES
DE102018100300A1 (en) MAKE A CONDUCTIVE CHARACTERISTIC AND A STRUCTURE
DE102019117011B4 (en) SEMICONDUCTOR DEVICE AND PRODUCTION METHOD
DE102019117322A1 (en) SILICON MIXING LAYER TO BLOCK DIFFUSION
DE102019112394A1 (en) Selective etching to improve the threshold voltage distribution
DE102019129773B4 (en) TRANSISTORS WITH REDUCED DEFECTS AND METHOD FOR THEIR PRODUCTION
DE102019121152A1 (en) LOCK-FREE APPROACH TO THE FORMATION OF CONTACT PINS
DE102021104817B4 (en) SEMICONDUCTOR DEVICE AND METHOD
DE102019113425A1 (en) FINFET STRUCTURE AND PROCESS WITH REDUCED FIN BEND
DE102021105733A1 (en) CONTACT PLUG STRUCTURE OF A SEMICONDUCTOR DEVICE AND METHOD OF FORMING THE SAME
DE102020124234A1 (en) METAL GATES AND THE PROCESS FOR THEIR MANUFACTURING
DE102023101602A1 (en) METAL GATE STRUCTURE AND METHOD OF PRODUCTION THEREOF
DE102020119452B4 (en) SEMICONDUCTOR DEVICE WITH CUT METAL GATE STRUCTURE AND METHOD OF PRODUCTION THEREOF
DE102019128469B4 (en) FIN FIELD EFFECT TRANSISTOR COMPONENT AND METHOD FOR MANUFACTURING IT
DE102021111910A1 (en) INTERCONNECT STRUCTURE AND ITS MANUFACTURING PROCESS
DE102020114865A1 (en) NON-COMPLIANT PACKAGING LAYER AND METHOD FOR MANUFACTURING IT
DE102018124815B4 (en) FIN field effect transistor device and method
DE102020129561A1 (en) PROCEDURE FOR ADJUSTING THRESHOLD VOLTAGES OF TRANSISTORS

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final