DE102017120565B4 - Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung - Google Patents

Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung Download PDF

Info

Publication number
DE102017120565B4
DE102017120565B4 DE102017120565.9A DE102017120565A DE102017120565B4 DE 102017120565 B4 DE102017120565 B4 DE 102017120565B4 DE 102017120565 A DE102017120565 A DE 102017120565A DE 102017120565 B4 DE102017120565 B4 DE 102017120565B4
Authority
DE
Germany
Prior art keywords
layer
cobalt
barrier layer
conductive
titanium
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102017120565.9A
Other languages
English (en)
Other versions
DE102017120565A1 (de
Inventor
Yu-Jen Chang
Min-Yann Hsieh
Hua Feng Chen
Kuo-Hua Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PARABELLUM STRATEGIC OPPORTUNITIES FUND LLC, W, US
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102017120565A1 publication Critical patent/DE102017120565A1/de
Application granted granted Critical
Publication of DE102017120565B4 publication Critical patent/DE102017120565B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Zwischenverbindungsstruktur (100A, 100B, 100C) mit:einem leitfähigen Strukturelement (60, 62, 64), das Cobalt aufweist; undeiner Durchkontaktierung (70, 72, 74), die auf dem leitfähigen Strukturelement (60, 62, 64) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) Folgendes umfasst:eine erste Durchkontaktierungssperrschicht (112), die über dem leitfähigen Strukturelement angeordnet ist und Titan enthält;eine zweite Durchkontaktierungssperrschicht (114), die über der ersten Durchkontaktierungssperrschicht (112) angeordnet ist, wobei die zweite Durchkontaktierungssperrschicht (114) Titan und Stickstoff enthält, undeine massive Durchkontaktierungsschicht (120), die über der zweiten Durchkontaktierungssperrschicht (114) angeordnet ist,eine Verkappungsschicht (104), die über dem leitfähigen Strukturelement (60, 62, 64) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) durch die Verkappungsschicht (104) bis zu dem leitfähigen Strukturelement (60, 62, 64) verläuft, und wobei die Verkappungsschicht (104) Cobaltsilizid aufweist.

Description

  • Hintergrund
  • Die IC-Branche (IC: integrierter Halbleiter-Schaltkreis) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und -Entwürfen haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltkreise als die vorhergehende Generation hat. Im Laufe der IC-Evolution hat die Funktionsdichte (d. h. die Anzahl von miteinander verbundenen Bauelementen je Chipfläche) im Allgemeinen zugenommen, während die Strukturgröße (d. h. die kleinste Komponente oder Leitung, die mit einem Herstellungsverfahren erzeugt werden kann) abgenommen hat. Dieser Prozess der Verkleinerung bietet im Allgemeinen Vorteile durch die Erhöhung der Produktionsleistung und die Senkung der entsprechenden Kosten.
  • Diese Verkleinerung hat aber auch die Komplexität der Bearbeitung und Herstellung von ICs erhöht, und damit diese Fortschritte realisiert werden können, sind ähnliche Entwicklungen bei der IC-Bearbeitung und -Herstellung erforderlich. Zum Beispiel haben Zwischenverbindungsstrukturen auf Kupferbasis, die normalerweise in MLI-Strukturelementen (MLI: multilayer interconnect; Mehrschicht-Zwischenverbindung) implementiert sind, zu Leistungs-, Ausbeute- und Kostenproblemen geführt, da MLI-Strukturelemente mit der ständig kleiner werdenden IC-Strukturgröße kompakter werden. Kupfer-Zwischenverbindungen zeigen zum Beispiel einen höheren Widerstand, ein schlechtes Elektromigrationsverhalten und Hohlräume bei der Herstellung, wenn IC-Technologien Sub-20-nm-Technologieknoten erreichen. Zwar sind bestehende Zwischenverbindungsstrukturen im Allgemeinen für ihren angestrebten Zweck geeignet, aber sie sind bisher nicht in jeder Hinsicht zufriedenstellend gewesen. So zeigt beispielsweise die Patentschrift US 9 721 889 B1 eine Durchkontaktierung mit mehrschichtigen Durchkontaktierungssperren, deren Hauptkörper eine erste Schicht und eine Metallschicht aufweist, wobei die erste Schicht mehrere Sperrschichten umfasst, die jeweils Titan bzw. Titan und Stickstoff enthalten.
  • Figurenliste
  • Die vorliegende Erfindung lässt sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 ist eine schematische Teilansicht eines teilweisen oder vollständigen integrierten Schaltkreiselements gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 2A ist eine vergrößerte schematische Teilansicht des integrierten Schaltkreiselements von 1 beim Implementieren einer teilweisen oder vollständigen Zwischenverbindungsstruktur gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 2B ist eine vergrößerte schematische Teilansicht des integrierten Schaltkreiselements von 1 beim Implementieren einer weiteren teilweisen oder vollständigen Zwischenverbindungsstruktur gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 2C ist eine vergrößerte schematische Teilansicht des integrierten Schaltkreiselements von 1 beim Implementieren einer weiteren teilweisen oder vollständigen Zwischenverbindungsstruktur gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 3 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung einer Zwischenverbindungsstruktur, wie etwa der in den 1 und/oder 2A bis 2C gezeigten Zwischenverbindungsstrukturen, gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • 4 ist ein Ablaufdiagramm eines Verfahrens zur Herstellung einer Durchkontaktierung einer Zwischenverbindungsstruktur, wie etwa der in den 1 und/oder 2A bis 2C gezeigten Zwischenverbindungsstrukturen, gemäß verschiedenen Aspekten der vorliegenden Erfindung.
    • Die 5A bis 5F sind schematische Teilansichten einer teilweisen oder vollständigen Zwischenverbindungsstruktur auf verschiedenen Herstellungsstufen (wie etwa denen, die mit den Verfahren von 3 und/oder 4 assoziiert sind) gemäß verschiedenen Aspekten der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Die vorliegende Erfindung betrifft allgemein integrierte Schaltkreiselemente und insbesondere Zwischenverbindungsstrukturen für integrierte Schaltkreiselemente.
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so ausgebildet werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind.
  • Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor. Außerdem kann die Herstellung eines Elements auf oder in Verbindung mit einem anderen Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen die Elemente in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen weitere Elemente zwischen den Elementen hergestellt werden können, sodass die Elemente nicht in direktem Kontakt sind. Darüber hinaus werden zur Vereinfachung der Beschreibung der Beziehung eines Elements zu einem anderen Element räumlich relative Begriffe, wie etwa „unterer“, „oberer“, „horizontaler“, „vertikaler“, „oberhalb“, „über“, „unterhalb“, „unter“, „nach oben“, „nach unten“, „oben“, „unten“ usw., sowie deren Derivate (z. B. „horizontal“, „nach unten“, „nach oben“ usw.) verwendet. Die räumlich relativen Begriffe sollen verschiedene Orientierungen des Bauelements abdecken, das die Strukturelemente aufweist.
  • Der Ablauf eines IC-Herstellungsprozesses wird normalerweise in drei Kategorien unterteilt: Front End of Line (FEOL), Middle End of Line (MEOL) und Back End of Line (BEOL). FEOL umfasst im Allgemeinen Prozesse, die mit der Fertigung von IC-Bauelementen, wie etwa Transistoren, verbunden sind. FEOL-Prozesse umfassen zum Beispiel die Herstellung von Trennelementen, Gate-Strukturen und Source- und Drain-Strukturelementen (die im Allgemeinen als Source-/Drain-Strukturelemente bezeichnet werden). MEOL umfasst im Allgemeinen Prozesse, die mit der Herstellung von Kontakten mit leitfähigen Strukturelementen (oder leitfähigen Bereichen) von IC-Bauelementen verbunden sind, wie etwa von Kontakten mit Gate-Strukturen und/oder Source-/Drain-Strukturelementen. BEOL umfasst im Allgemeinen Prozesse, die mit der Herstellung von Zwischenverbindungsstrukturen verbunden sind, die IC-Strukturelemente, die mit dem FEOL-Prozess hergestellt werden (hier als FEOL-Strukturelemente oder -Strukturen bezeichnet) und dem MEOL-Prozess hergestellt werden (hier als MEOL-Strukturelemente oder -Strukturen bezeichnet), miteinander verbinden, sodass der Betrieb der IC-Bauelemente ermöglicht wird. BEOL-Prozesse können zum Beispiel die Herstellung von mehrschichtigen Zwischenverbindungselementen umfassen, die den Betrieb der IC-Bauelemente ermöglichen. Es ist festgestellt worden, dass mit dem Übergang der IC-Technologien zu kleineren Technologieknoten die BEOL-Prozesse MEOL-Strukturelemente und/oder FEOL-Strukturelemente beschädigen. In der vorliegenden Erfindung werden verbesserte Zwischenverbindungsstrukturen zum Schützen von MEOL- und/oder FEOL-Strukturelementen vor Beschädigung durch BEOL-Prozesse untersucht.
  • 1 ist eine schematische Teilansicht eines teilweisen oder vollständigen integrierten Schaltkreiselements 10 gemäß verschiedenen Aspekten der vorliegenden Erfindung. Das integrierte Schaltkreiselement 10 kann in einem Mikroprozessor, einem Speicher und/oder einem anderen integrierten Schaltkreiselement enthalten sein. Bei einigen Ausführungsformen ist das integrierte Schaltkreiselement 10 ein Teil eines IC-Chips (IC: integrierter Schaltkreis) oder ein Ein-Chip-System (SoC) oder ein Teil davon, das verschiedene passive und aktive mikroelektronische Bauelemente umfasst, wie etwa Widerstände, Kondensatoren, Induktoren, Dioden, p-Feldeffekttransistoren (PFETs), n-Feldeffekttransistoren (NFETs), Metall-Oxid-Halbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metall-Oxid-Halbleiter-Transistoren (CMOS-Transistoren), Bipolartransistoren (BJTs), seitlich ausdiffundierte MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon. Die Transistoren können planare Transistoren oder Multi-Gate-Transistoren, wie etwa Finnen-FETs (FinFETs), sein. 1 ist der Klarheit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. In dem integrierten Schaltkreiselement 10 können weitere Strukturelemente hinzugefügt werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen des integrierten Schaltkreiselements 10 ersetzt, modifiziert oder weggelassen werden.
  • Das integrierte Schaltkreiselement 10 weist ein Substrat (Wafer) 12 auf. Bei der dargestellten Ausführungsform umfasst das Substrat 12 Silizium. Alternativ oder zusätzlich umfasst das Substrat 12 Folgendes: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa Siliziumgermanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Alternativ ist das Substrat 12 ein Halbleiter-auf-Isolator-Substrat, wie etwa ein Silizium-auf-Isolator(SOI)-Substrat, ein Siliziumgermanium-auf-Isolator(SGOI)-Substrat oder ein Germanium-auf-Isolator(GOI)-Substrat. Halbleiter-auf-Isolator-Substrate können mittels Trennung durch Implantation von Sauerstoff (SIMOX), Waferbonden und/oder anderer geeigneter Verfahren hergestellt werden. Das Substrat 12 kann in Abhängigkeit von den Entwurfsanforderungen für das integrierte Schaltkreiselement 10 verschiedene dotierte Bereiche (nicht dargestellt) haben. Bei einigen Ausführungsformen weist das Substrat 12 p-dotierte Bereiche (zum Beispiel p-Wannen) auf, die mit p-Dotanden, wie etwa Bor (zum Beispiel BF2), Indium, einem anderen p-Dotanden oder Kombinationen davon, dotiert sind. Bei einigen Ausführungsformen weist das Substrat 12 n-dotierte Bereiche (zum Beispiel n-Wannen) auf, die mit n-Dotanden, wie etwa Phosphor, Arsen, einem anderen n-Dotanden oder Kombinationen davon, dotiert sind. Bei einigen Ausführungsformen weist das Substrat 12 dotierte Bereiche auf, die mit einer Kombination aus p- und n-Dotanden hergestellt sind. Die verschiedenen dotierten Bereiche können direkt auf und/oder in dem Substrat 12 so hergestellt werden, dass zum Beispiel eine p-Wannenstruktur, eine n-Wannenstruktur, eine Doppelwannenstruktur, eine erhabene Struktur oder Kombinationen davon entstehen. Um die verschiedenen dotierten Bereiche herzustellen, können eine Ionenimplantation, eine Diffusion und/oder ein anderer geeigneter Dotierungsprozess durchgeführt werden.
  • Über und/oder in dem Substrat 12 werden ein oder mehrere Trennelemente (nicht dargestellt) hergestellt, um verschiedene Bereiche, wie etwa verschiedene Bauelementbereiche, des integrierten Schaltkreiselements 10 zu trennen. Zum Beispiel definieren Trennelemente aktive Bauelementbereiche und/oder passive Bauelementbereiche, und sie trennen diese elektrisch voneinander. Die Trennelemente weisen Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, ein anderes geeignetes Isoliermaterial oder Kombinationen davon auf. Die Trennelemente können verschiedene Strukturen umfassen, wie etwa STI-Strukturen (STI: flache Grabenisolation), DTI-Strukturen (DTI: tiefe Grabenisolation) und/oder LOCOS-Strukturen (LOCOS: Lokaloxidation von Silizium). Bei einigen Ausführungsformen umfassen die Trennelemente STI-Strukturelemente. STI-Strukturelemente können zum Beispiel dadurch hergestellt werden, dass ein Graben in das Substrat 12 geätzt wird (zum Beispiel durch Trocken- und/oder Nassätzung) und der Graben mit einem Isoliermaterial gefüllt wird (zum Beispiel durch chemische Aufdampfung oder einen Spin-on-Glass-Prozess). Anschließend kann eine chemisch-mechanische Polierung (CMP) durchgeführt werden, um überschüssiges Isoliermaterial zu entfernen und/oder eine Oberseite der Trennelemente zu planarisieren. Bei einigen Ausführungsformen haben die STI-Strukturelemente eine mehrschichtige Struktur, die die Gräben füllt, wie etwa eine Siliziumnitridschicht, die über einer Oxid-Deckschicht angeordnet ist.
  • Über dem Substrat 12 sind verschiedene Gate-Strukturen angeordnet, wie etwa eine Gate-Struktur 20A, eine Gate-Struktur 20B und eine Gate-Struktur 20C. Bei einigen Ausführungsformen sind ein oder mehrere der Gate-Strukturen 20A bis 20C zwischen einen Source-Bereich und einen Drain-Bereich geschichtet, wobei ein Kanalbereich zwischen dem Source-Bereich und dem Drain-Bereich definiert ist. Die eine oder die mehreren Gate-Strukturen 20A bis 20C reichen in den Kanalbereich hinein, sodass bei Betrieb ein Strom zwischen den Source-/Drain-Bereichen fließen kann. Bei einigen Ausführungsformen werden die Gate-Strukturen 20A bis 20C über einer Finnenstruktur hergestellt, sodass die Gate-Strukturen 20A bis 20C jeweils einen Teil der Finnenstruktur umschließen. Zum Beispiel umschließen eine oder mehrere der Gate-Strukturen 20A bis 20C Kanalbereiche der Finnenstruktur, sodass ein Source-Bereich und ein Drain-Bereich der Finnenstruktur zwischengeschichtet werden. Die Gate-Strukturen 20A bis 20C umfassen Metall-Gate(MG)-Stapel, wie etwa einen Metall-Gate-Stapel 22A, einen Metall-Gate-Stapel 22B und einen Metall-Gate-Stapel 22C. Die Metall-Gate-Stapel 22A bis 22C sind so konfiguriert, dass sie die gewünschte Funktionalität entsprechend den Entwurfsanforderungen für das integrierte Schaltkreiselement 10 erfüllen, sodass die Metall-Gate-Stapel 22A bis 22C die gleichen oder unterschiedliche Schichten und/oder Materialien aufweisen. Bei einigen Ausführungsformen umfassen die Metall-Gate-Stapel 22A bis 22C ein Gate-Dielektrikum (zum Beispiel eine dielektrische Gate-Schicht) und eine Gate-Elektrode (zum Beispiel eine Austrittsarbeitsschicht und eine leitende massive Schicht). Die Metall-Gate-Stapel 22A bis 22C können zahlreiche weitere Schichten aufweisen, zum Beispiel Verkappungsschichten, Zwischenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. Bei einigen Ausführungsformen ist die dielektrische Gate-Schicht über einer Zwischenschicht (die ein dielektrisches Material, wie etwa Siliziumoxid, aufweist) angeordnet, und die Gate-Elektrode ist über der dielektrischen Gate-Schicht angeordnet. Die dielektrische Gate-Schicht weist ein dielektrisches Material auf, wie etwa Siliziumoxid, ein dielektrisches High-k-Material, ein anderes geeignetes dielektrisches Material oder Kombinationen davon. Beispiele für das dielektrische High-k-Material sind Hafniumoxid (HfO2), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirconiumoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid(HfO2-Al2O3)-Legierung, andere geeignete dielektrische High-k-Materialien oder Kombinationen davon. Bei einigen Ausführungsformen ist die dielektrische Gate-Schicht eine dielektrische High-k-Schicht. Die Gate-Elektrode weist ein leitendes Material auf, wie etwa Polysilizium, Aluminium (Al), Kupfer (Cu), Titan (Ti), Tantal (Ta), Wolfram (W), Molybdän (Mo), Cobalt (Co), TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, ein anderes leitende Material oder Kombinationen davon. Bei einigen Ausführungsformen ist die Austrittsarbeitsschicht eine leitende Schicht, die so angepasst ist, dass sie eine gewünschte Austrittsarbeit (wie etwa eine n-Austrittsarbeit oder eine p-Austrittsarbeit) hat, und die leitende massive Schicht ist eine leitende Schicht, die über der Austrittsarbeitsschicht hergestellt ist. Bei einigen Ausführungsformen weist die Austrittsarbeitsschicht n-Austrittsarbeitsmaterialien auf, wie etwa Ti, Silber (Ag), TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mangan (Mn), Zirconium (Zr), andere geeignete n-Austrittsarbeitsmaterialien oder Kombinationen davon. Bei einigen Ausführungsformen weist die Austrittsarbeitsschicht p-Austrittsarbeitsmaterialien auf, wie etwa TiN, TaN, Ruthenium (Ru), Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, andere geeignete p-Austrittsarbeitsmaterialien oder Kombinationen davon. Die massive leitende Schicht (oder Füllschicht) weist ein geeignetes leitendes Material auf, wie etwa Al, W und/oder Cu. Die massive leitende Schicht kann zusätzlich oder kollektiv Polysilizium, Ti, Ta, Metalllegierungen, andere geeignete Materialien oder Kombinationen davon aufweisen.
  • Die Gate-Strukturen 20A bis 20C werden mittels Abscheidungs-, lithografischen, Ätz- oder anderer geeigneter Verfahren oder Kombinationen davon hergestellt. Die Abscheidungsverfahren umfassen CVD, physikalische Aufdampfung (PVD), Atomlagenabscheidung (ALD), chemische Aufdampfung mit einem Plasma hoher Dichte (HDPCVD), metallorganische chemische Aufdampfung (MOCVD), Fernplasma-CVD (RPCVD), Plasma-unterstützte chemische Aufdampfung (PECVD), Tiefdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), chemische Gasphasenabscheidung bei Atmosphärendruck (APCVD), Plattierung, andere geeignete Verfahren oder Kombinationen davon. Die lithografischen Strukturierungsverfahren umfassen Resist-Beschichtung (zum Beispiel Schleuderbeschichtung), Vorhärtung, Maskenjustierung, Belichtung, Härtung nach der Belichtung, Entwicklung des Resists, Wässerung, Trocknung (zum Beispiel Nachhärtung), andere geeignete Verfahren oder Kombinationen davon. Alternativ wird die lithografische Belichtung durch andere Verfahren, wie etwa maskenlose Lithografie, Elektronenstrahl-Schreiben oder Ionenstrahl-Schreiben, unterstützt oder implementiert, oder sie wird durch diese ersetzt. Die Ätzverfahren umfassen Trockenätzung, Nassätzung, andere Ätzverfahren oder Kombinationen davon. Die Metall-Gate-Stapel 22A bis 22C werden mit einem Gate-zuletzt-Prozess, einem Gate-zuerst-Prozess oder einem Gate-zuletzt-/Gate-zuerst-Hybridprozess hergestellt. Bei Gate-zuletzt-Prozess-Implementierungen umfassen Gate-Strukturen 20A bis 20D Dummy-Gate-Stapel, die anschließend durch die Metall-Gate-Stapel 22A bis 22C ersetzt werden. Die Dummy-Gate-Stapel umfassen zum Beispiel eine Zwischenschicht (die zum Beispiel Siliziumoxid aufweist) und eine Dummy-Gate-Elektrodenschicht (die zum Beispiel Polysilizium aufweist). Bei einigen Ausführungsformen wird die Dummy-Gate-Elektrodenschicht entfernt, sodass Öffnungen (Gräben) entstehen, in denen die Metall-Gate-Stapel 22A bis 22C hergestellt werden.
  • Die Gate-Strukturen 20A bis 20C umfassen weiterhin Abstandshalter 26A bis 26C, die jeweils angrenzend an die Metall-Gate-Stapel 22A bis 22C (zum Beispiel entlang deren Seitenwänden) angeordnet sind. Die Abstandshalter 26A bis 26C werden mit einem geeigneten Verfahren hergestellt und weisen ein dielektrisches Material auf. Das dielektrische Material kann Silizium, Sauerstoff, Kohlenstoff, Stickstoff, ein anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid oder Siliziumcarbid) umfassen. Zum Beispiel kann bei der dargestellten Ausführungsform eine dielektrische Schicht, die Silizium und Stickstoff aufweist, wie etwa eine Siliziumnitridschicht, über dem Substrat 12 abgeschieden werden und anschließend anisotrop geätzt werden, um die Abstandshalter 26A bis 26C herzustellen. Bei einigen Ausführungsformen haben die Abstandshalter 26A bis 26C eine Mehrschichtstruktur, wie etwa eine erste dielektrische Schicht, die Siliziumnitrid aufweist, und eine zweite dielektrische Schicht, die Siliziumoxid aufweist. Bei einigen Ausführungsformen werden mehr als eine Gruppe von Abstandshaltern, wie etwa Dichtungs-Abstandshalter, versetzte Abstandshalter, Opfer-Abstandshalter, Dummy-Abstandshalter und/oder Hauptabstandshalter, angrenzend an die Metall-Gate-Stapel 22A bis 22C hergestellt. Bei diesen Ausführungsformen können die verschiedenen Gruppen von Abstandshaltern Materialien mit unterschiedlichen Ätzraten aufweisen. Zum Beispiel kann eine erste dielektrische Schicht, die Silizium und Sauerstoff (zum Beispiel Siliziumoxid) aufweist, über dem Substrat 12 abgeschieden werden und anschließend anisotrop geätzt werden, um eine erste Abstandshaltergruppe angrenzend an die Metall-Gate-Stapel 22A bis 22C (oder Dummy-Metall-Gate-Stapel bei einigen Ausführungsformen) herzustellen, und eine zweite dielektrische Schicht, die Silizium und Stickstoff (zum Beispiel Siliziumnitrid) aufweist, kann über dem Substrat 12 abgeschieden werden und anschließend anisotrop geätzt werden, um eine zweite Abstandshaltergruppe angrenzend an die erste Abstandshaltergruppe herzustellen. Es können Implantations-, Diffusions- und/oder Glühprozesse durchgeführt werden, um in Abhängigkeit von den Entwurfsanforderungen für das integrierte Schaltkreiselement 10 leicht dotierte (LDD) und/oder stark dotierte (HDD) Source- und Drain-Strukturelemente in dem Substrat 12 vor und/oder nach der Herstellung der Abstandshalter 26A bis 26C herzustellen.
  • In Source-/Drain-Bereichen des Substrats 12 sind epitaxiale Source-Strukturelemente und epitaxiale Drain-Strukturelemente (die als epitaxiale Source-/Drain-Strukturelemente bezeichnet werden) angeordnet. Zum Beispiel wird ein Halbleitermaterial epitaxial auf dem Substrat 12 aufgewachsen, sodass epitaxiale Source-/Drain-Strukturelemente 30 über einem Source-Bereich und einem Drain-Bereich des Substrats 12 entstehen. Bei der dargestellten Ausführungsform ist eine Gate-Struktur 20B zwischen den epitaxialen Source-/Drain-Strukturelementen 30 angeordnet, und ein Kanalbereich ist zwischen den epitaxialen Source-/Drain-Strukturelementen 30 definiert. Die Gate-Struktur 20B und die epitaxialen Source-/Drain-Strukturelemente 30 bilden somit einen Teil eines Transistors, wie etwa eines Pull-up-Transistors oder eines Pull-down-Transistors, des integrierten Schaltkreiselements 10. Die Gate-Struktur 20B und/oder die epitaxialen Source-/Drain-Strukturelemente 30 werden daher alternativ als Bauelementstrukturen bezeichnet. Bei einigen Ausführungsformen umschließen die epitaxialen Source-/Drain-Strukturelemente 30 Source-/Drain-Bereiche einer Finnenstruktur. Als Epitaxieverfahren können CVD-Abscheidungsverfahren [zum Beispiel Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD], Molekularstrahlepitaxie, andere geeignete SEG-Verfahren (SEG: selektives epitaxiales Aufwachsen) oder Kombinationen davon verwendet werden. Für das Epitaxieverfahren können gasförmige und/oder flüssige Vorläufer verwendet werden, die mit der Zusammensetzung des Substrats 12 wechselwirken. Die epitaxialen Source-/Drain-Strukturelemente 30 werden mit n-Dotanden und/oder p-Dotanden dotiert. Bei einigen Ausführungsformen, bei denen das integrierte Schaltkreiselement 10 als ein n-Bauelement (das zum Beispiel einen n-Kanal hat) konfiguriert ist, sind die epitaxialen Source-/Drain-Strukturelemente 30 epitaxiale Schichten, die Silizium und/oder Kohlenstoff aufweisen, wobei siliziumhaltige epitaxiale Schichten oder Silizium-Kohlenstoff-haltige epitaxiale Schichten mit Phosphor, einem anderen n-Dotanden oder Kombinationen davon dotiert werden (sodass zum Beispiel eine epitaxiale Si:P-Schicht oder eine epitaxiale Si:C:P-Schicht entsteht). Bei einigen Ausführungsformen, bei denen das integrierte Schaltkreiselement 10 als ein p-Bauelement (das zum Beispiel einen p-Kanal hat) konfiguriert ist, sind die epitaxialen Source-/Drain-Strukturelemente 30 epitaxiale Schichten, die Silizium und Germanium aufweisen, wobei Siliziumgermanium-haltige epitaxiale Schichten mit Bor, einem anderen p-Dotanden oder Kombinationen davon dotiert werden (sodass zum Beispiel eine epitaxiale Si:Ge:B-Schicht entsteht). Bei einigen Ausführungsformen weisen die epitaxialen Source-/Drain-Strukturelemente 30 Materialien und/oder Dotanden auf, die eine gewünschte Zugspannung und/oder Druckspannung in dem Kanalbereich erzielen. Bei einigen Ausführungsformen werden die epitaxialen Source-/Drain-Strukturelemente 30 während der Abscheidung durch Zugabe von Dotierungsstoffen zu einem Ausgangsmaterial des Epitaxieverfahrens dotiert. Bei einigen Ausführungsformen werden die epitaxialen Source-/Drain-Strukturelemente 30 durch eine Ionenimplantation nach einem Abscheidungsprozess dotiert. Bei einigen Ausführungsformen werden Glühprozesse durchgeführt, um die Dotanden in den epitaxialen Source-/Drain-Strukturelementen 30 und/oder in anderen Source-/Drain-Bereichen des integrierten Schaltkreiselements 10 (zum Beispiel in HDD-Bereichen und/oder LDD-Bereichen) zu aktivieren.
  • Über dem Substrat 12 ist ein MLI-Element 40 (MLI: Mehrschicht-Zwischenverbindung) angeordnet. Das MLI-Element 40 verbindet elektrisch verschiedene Bauelemente (zum Beispiel Transistoren, Widerstände, Kondensatoren und/oder Induktoren) und/oder Komponenten (zum Beispiel Gate-Strukturen und/oder Source-/Drain-Strukturelemente) des integrierten Schaltkreiselements 10, sodass die verschiedenen Bauelemente und/oder Komponenten so arbeiten können, wie es von den Entwurfsanforderungen für das integrierte Schaltkreiselement 10 vorgegeben wird. Das MLI-Element 40 umfasst eine Kombination aus dielektrischen Schichten und leitfähigen Schichten, die so konfiguriert sind, dass sie verschiedene Zwischenverbindungsstrukturen bilden. Die leitfähigen Schichten sind so konfiguriert, dass sie vertikale Zwischenverbindungselemente, wie etwa Bauelement-Ebene-Kontakte und/oder Durchkontaktierungen, und/oder horizontale Zwischenverbindungselemente bilden, wie etwa leitfähige Verbindungen. Die vertikalen Zwischenverbindungselemente verbinden normalerweise horizontale Zwischenverbindungselemente in verschiedenen Schichten (oder verschiedenen Ebenen) des MLI-Elements 40. Bei einigen Ausführungsformen haben die vertikalen und die horizontalen Zwischenverbindungselemente jeweilige Längen und Breiten, die jeweils entlang der gleichen Richtung gemessen werden, wobei die vertikalen Zwischenverbindungselemente Längen haben, die größer als ihre Breiten sind, und die horizontalen Zwischenverbindungselemente Längen haben, die kleiner als ihre Breiten sind. Die Zwischenverbindungsstrukturen sind so konfiguriert, dass sie während des Betriebs des integrierten Schaltkreiselements 10 Signale zwischen den Bauelementen und/oder den Komponenten des integrierten Schaltkreiselements 10 übertragen und/oder Signale (zum Beispiel Taktsignale, Spannungssignale und/oder Erdungssignale) zu den Bauelementen und/oder den Komponenten des integrierten Schaltkreiselements 10 verteilen. Es ist zu beachten, dass das MLI-Element 40 zwar mit einer gegebenen Anzahl von dielektrischen Schichten und leitfähigen Schichten dargestellt ist, aber die vorliegende Erfindung ein MLI-Element 40 in Betracht zieht, das in Abhängigkeit von den Entwurfsanforderungen für das integrierte Schaltkreiselement 10 mehr oder weniger dielektrische und/oder leitende Schichten hat.
  • In 1 weist das MLI-Element 40 Folgendes auf: eine oder mehrere dielektrische Schichten, wie etwa eine dielektrische Zwischenschicht 42 (ILD-0), die über dem Substrat 12 angeordnet ist; eine dielektrische Zwischenschicht 44 (ILD-1), die über der ILD-Schicht 42 angeordnet ist; eine dielektrische Schicht 46 (ILD-2), die über der ILD-Schicht 44 angeordnet ist; und eine dielektrische Zwischenschicht 48 (ILD-3), die über der ILD-Schicht 46 angeordnet ist. Die ILD-Schichten 42 bis 48 weisen ein dielektrisches Material auf, wie zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxidnitrid, TEOS-Oxid (TEOS: Tetraethylorthosilicat), Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), ein dielektrisches Low-k-Material, ein anderes geeignetes dielektrisches Material oder Kombinationen davon. Beispielhafte dielektrische Low-k-Materialien sind FSG, mit Kohlenstoff dotiertes Siliziumoxid, Black Diamond® (Fa. Applied Materials, Santa Clara, Kalifornien), Xerogel, Aerogel, amorpher Fluorkohlenstoff, Parylen, BCB (bis-Benzocyclobutene), SiLK (Fa. Dow Chemicals, Midland, Michigan), Polyimid, andere dielektrische Low-k-Materialien oder Kombinationen davon. Bei der dargestellten Ausführungsform sind die ILD-Schichten 42 bis 48 dielektrische Schichten, die ein dielektrisches Low-k-Material aufweisen (im Allgemeinen als dielektrische Low-k-Schichten bezeichnet). Die ILD-Schichten 42 bis 48 können eine Mehrschichtstruktur mit mehreren dielektrischen Materialien haben. Das MLI-Element 40 kann weiterhin eine oder mehrere Kontakt-Ätzstoppschichten (CESLs) aufweisen, die über dem Substrat 12 angeordnet sind, wie etwa eine CESL 52, die zwischen der ILD-Schicht 42 und der ILD-Schicht 44 angeordnet ist; eine CESL 54, die zwischen der ILD-Schicht 44 und der ILD-Schicht 46 angeordnet ist; und eine CESL 56, die zwischen der ILD-Schicht 46 und der ILD-Schicht 48 angeordnet ist. Bei einigen Ausführungsformen ist auch eine CESL (nicht dargestellt) zwischen dem Substrat 12 und der ILD-Schicht 42 angeordnet. Die CESLs 52 bis 56 weisen ein Material auf, das von dem der ILD-Schichten 42 bis 48 verschieden ist, wie etwa ein dielektrisches Material, das von dem dielektrischen Material der ILD-Schichten 42 bis 48 verschieden ist. Bei der dargestellten Ausführungsform, bei der die ILD-Schichten 42 bis 48 ein dielektrisches Low-k-Material aufweisen, weisen die CESLs 52 bis 56 Silizium und Stickstoff (zum Beispiel Siliziumnitrid oder Siliziumoxidnitrid) auf. Die ILD-Schichten 42 bis 48 und/oder die CESLs 52 bis 56 werden über dem Substrat 12 zum Beispiel mit einem Abscheidungsverfahren hergestellt (wie etwa CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, Plattierung, anderen geeigneten Verfahren oder Kombinationen davon). Bei einigen Ausführungsformen werden die ILD-Schichten 42 bis 48 und/oder die CESLs 52 bis 56 mit einem fließfähigen CVD-Prozess (FCVD-Prozess) hergestellt, der zum Beispiel das Abscheiden eines fließfähigen Materials (wie etwa einer flüssigen Verbindung) über dem Substrat 12 und das Umwandeln des fließfähigen Materials in ein festes Material mit einem geeigneten Verfahren umfasst, wie etwa thermisches Glühen und/oder UV-Bestrahlung. Nach der Abscheidung der ILD-Schichten 42 bis 48 und/oder der CESLs 52 bis 56 wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, sodass die ILD-Schichten 42 bis 48 und/oder die CESLs 52 bis 56 im Wesentlichen planare Oberflächen haben.
  • Ein Bauelement-Ebene-Kontakt 60, ein Bauelement-Ebene-Kontakt 62, ein Bauelement-Ebene-Kontakt 64, eine Durchkontaktierung 70, eine Durchkontaktierung 72, eine Durchkontaktierung 74, eine leitfähige Verbindung 80, eine leitfähige Verbindung 82 und eine leitfähige Verbindung 84 sind in den ILD-Schichten 42 bis 48 angeordnet und bilden Zwischenverbindungsstrukturen. Die Bauelement-Ebene-Kontakte 60 bis 64 (die auch als lokale Zwischenverbindungen oder lokale Kontakte bezeichnet werden) verbinden IC-Bauelementstrukturen mit anderen leitfähigen Strukturelementen des MLI-Elements 40 elektrisch und/oder physisch. Zum Beispiel ist der Bauelement-Ebene-Kontakt 60 ein Metall-Polysilizium(MP)-Kontakt, der sich im Allgemeinen auf einen Kontakt mit einer Gate-Struktur bezieht, wie etwa einer Polysilizium-Gate-Struktur oder einer Metall-Gate-Struktur. Bei der dargestellten Ausführungsform ist der Bauelement-Ebene-Kontakt 60 auf der Gate-Struktur 20B (insbesondere auf dem Metall-Gate-Stapel 22B) angeordnet, sodass der Bauelement-Ebene-Kontakt 60 die Gate-Struktur 20B mit der Durchkontaktierung 70 verbindet. Der Bauelement-Ebene-Kontakt 60 verläuft durch die ILD-Schicht 44 und die CESL 52, obwohl die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen der Bauelement-Ebene-Kontakt 60 durch mehr als eine ILD-Schicht und/oder CESL des MLI-Elements 40 verläuft. Weiterhin sind der Bauelement-Ebene-Kontakt 62 und der Bauelement-Ebene-Kontakt 64 Metall-Bauelement(MD)-Kontakte, die sich im Allgemeinen auf Kontakte mit einem leitfähigen Bereich des integrierten Schaltkreiselements 10, wie etwa dem Source-/Drain-Bereich, beziehen. Bei der dargestellten Ausführungsform sind der Bauelement-Ebene-Kontakt 62 und der Bauelement-Ebene-Kontakt 64 auf jeweiligen epitaxialen Source-/Drain-Strukturelementen 30 angeordnet, sodass der Bauelement-Ebene-Kontakt 62 und der Bauelement-Ebene-Kontakt 64 die epitaxialen Source-/Drain-Strukturelemente 30 mit der Durchkontaktierung 72 bzw. der Durchkontaktierung 74 verbinden. Der Bauelement-Ebene-Kontakt 62 und der Bauelement-Ebene-Kontakt 64 verlaufen durch die ILD-Schicht 42, die ILD-Schicht 44 und die CESL 52, obwohl die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen der Bauelement-Ebene-Kontakt 62 und/oder der Bauelement-Ebene-Kontakt 64 durch mehr als eine ILD-Schicht und/oder CESL des MLI-Elements 40 verlaufen. Bei einigen Ausführungsformen sind die Bauelement-Ebene-Kontakte 60 bis 64 leitende MEOL-Strukturelemente, die leitende FEOL-Strukturelemente (zum Beispiel die Gate-Strukturen 20A bis 20C und/oder die epitaxialen Source-/Drain-Strukturelemente 30) mit leitfähigen BEOL-Strukturelementen (zum Beispiel den Durchkontaktierungen 70 bis 74) verbinden, sodass die leitfähigen FEOL-Strukturelemente mit den leitfähigen BEOL-Strukturelementen elektrisch und/oder physisch verbunden werden.
  • Die Durchkontaktierungen 70 bis 74 verbinden die leitfähigen Strukturelemente des MLI-Elements 40 elektrisch und/oder physisch miteinander. Zum Beispiel ist die Durchkontaktierung 70 auf dem Bauelement-Ebene-Kontakt 60 angeordnet, sodass die Durchkontaktierung 70 den Bauelement-Ebene-Kontakt 60 mit der leitfähigen Verbindung 80 verbindet; die Durchkontaktierung 72 ist auf dem Bauelement-Ebene-Kontakt 62 angeordnet, sodass die Durchkontaktierung 72 den Bauelement-Ebene-Kontakt 62 mit der leitfähigen Verbindung 80 verbindet; und die Durchkontaktierung 74 ist auf dem Bauelement-Ebene-Kontakt 64 angeordnet, sodass die Durchkontaktierung 74 den Bauelement-Ebene-Kontakt 64 mit der leitfähigen Verbindung 84 verbindet. Bei der dargestellten Ausführungsform verlaufen die Durchkontaktierungen 70 bis 74 durch die ILD-Schicht 46 und die CESL 54, obwohl die vorliegende Erfindung Ausführungsformen in Betracht zieht, bei denen die Durchkontaktierungen 70 bis 74 durch mehr als eine ILD-Schicht und/oder CESL des MLI-Elements 40 verlaufen. Bei einigen Ausführungsformen sind die Durchkontaktierungen 70 bis 74 leitende BEOL-Strukturelemente, die leitende MEOL-Strukturelemente (zum Beispiel die Bauelement-Ebene-Kontakte 60 bis 64) mit leitfähigen BEOL-Strukturelementen (zum Beispiel den leitfähigen Verbindung 80 bis 84) verbinden, sodass die leitfähigen MEOL-Strukturelemente mit den leitfähigen BEOL-Strukturelementen elektrisch und/oder physisch verbunden werden. Bei einigen Ausführungsformen weist das MLI-Element 40 weiterhin Durchkontaktierungen auf, die leitende BEOL-Strukturelemente sind, die leitende BEOL-Strukturelemente in verschiedenen ILD-Schichten miteinander verbinden, wie etwa die leitfähigen Verbindungen 80 bis 84 mit leitfähigen Verbindungen (nicht dargestellt), die in anderen ILD-Schichten (nicht dargestellt) über den ILD-Schichten 42 bis 48 angeordnet sind, sodass sie die leitfähigen BEOL-Strukturelemente des integrierten Schaltkreiselements 10 elektrisch und/oder physisch verbinden.
  • Die Bauelement-Ebene-Kontakte 60 bis 64 und die leitfähigen Verbindungen 80 bis 84 weisen ein geeignetes leitendes Material auf, wie etwa Ta, Ti, Al, Cu, Co, Tantalnitrid (TaN), Titan (Ti), Titannidrid (TiN), Cobalt (Co) und/oder andere geeignete leitende Materialien. Die Bauelement-Ebene-Kontakte 60 bis 64, die Durchkontaktierungen 70 bis 74 und die leitfähigen Verbindungen 80 bis 84 werden durch Strukturieren der ILD-Schichten 42 bis 48 und/oder der CESLs 52 bis 56 hergestellt. Für die Strukturierung der ILD-Schichten 42 bis 48 und der CESLs 52 bis 56 können lithografische Prozesse und/oder Ätzprozesse verwendet werden, um Öffnungen (Gräben), wie etwa Kontaktöffnungen und/oder Leitungsöffnungen, in den jeweiligen ILD-Schichten 42 bis 48 und/oder den CESLs 52 bis 56 herzustellen. Bei einigen Ausführungsformen umfassen die lithografischen Prozesse das Herstellen einer Resistschicht über den jeweiligen ILD-Schichten 42 bis 48 und/oder CESLs 52 bis 56, eine Strukturierungsbestrahlung der Resistschicht und das Entwickeln der bestrahlten Resistschicht, sodass eine strukturierte Resistschicht entsteht, die als ein Maskierungselement zum Ätzen von Öffnungen in den jeweiligen ILD-Schichten 42 bis 48 und/oder CESLs 52 bis 56 verwendet werden kann. Die Ätzprozesse umfassen Trockenätzung, Nassätzung, andere Ätzprozesse oder Kombinationen davon. Anschließend werden die Öffnungen mit einem oder mehreren leitfähigen Materialien gefüllt. Die leitfähigen Materialien können mittels PVD, CVD, ALD, Elektroplattierung, stromloser Plattierung, einem anderen geeigneten Abscheidungsverfahren oder Kombinationen davon abgeschieden werden. Anschließend können überschüssige leitende Materialien mit einem Planarisierungsprozess, wie etwa einem CMP-Prozess, entfernt werden, wodurch die Oberseiten der ILD-Schichten 42 bis 48, der CESLs 52 bis 56, der Bauelement-Ebene-Kontakte 60 bis 64, der Durchkontaktierungen 70 bis 74 und/oder der leitfähigen Verbindungen 80 bis 84 planarisiert werden.
  • Die Zwischenverbindungsstrukturen von MLI-Elementen, wie etwa des MLI-Elements 40, weisen Kupfer auf. Es ist festgestellt worden, dass mit der Entwicklung der IC-Technologien zu kleineren Technologieknoten (wie etwa 16 nm, 10 nm, 7 nm, 5 nm und kleiner) und einer größeren Kompaktheit der MLI-Elemente bei Zwischenverbindungsstrukturen auf Kupferbasis die Leistung sinkt, Hohlräume entstehen (zum Beispiel auf Grund von Zwischenverbindungsöffnungen mit einem höheren Seitenverhältnis) und die Widerstands-Kapazitäts(RC)-Verzögerung bei ICs zunimmt. Um diese Probleme zu kompensieren, untersuchen IC-Hersteller neue Materialien für Zwischenverbindungsstrukturen, wie etwa Aluminium, Wolfram, Cobalt und/oder Ruthenium. Cobalt und/oder Wolfram können zum Beispiel in Bauelement-Ebene-Kontakten, wie etwa den Bauelement-Ebene-Kontakten 60 bis 64, implementiert werden, während Aluminium und/oder Wolfram in Durchkontaktierungen, wie etwa den Durchkontaktierungen 70 bis 74, implementiert werden können. Besonders Cobalt zeigt einen besseren Schichtwiderstand und/oder ein besseres Elektromigrationsverhalten (EM-Verhalten) als herkömmliche Zwischenverbindungsmaterialien, wie etwa Kupfer, und es lässt sich leichter in herkömmliche IC-Fertigungsprozesse integrieren. Eine Durchkontaktierung, die auf einem Bauelement-Ebene-Kontakt angeordnet ist, weist daher oft eine Sperrschicht aus Wolfram, die entlang Seitenwänden und/oder einer Unterseite einer Durchkontaktierungsöffnung (die zum Beispiel von einer ILD-Schicht und/oder dem Bauelement-Ebene-Kontakt definiert wird) angeordnet ist, und eine massive Wolframschicht auf, die über der Wolfram-Sperrschicht angeordnet ist, wobei die Wolfram-Sperrschicht und die massive Wolframschicht die Durchkontaktierungsöffnung füllen.
  • Obwohl die massive Wolframschicht einen wünschenswerten Schichtwiderstand zeigt und die Wolfram-Sperrschicht einen wünschenswert niedrigen Kontaktwiderstand hat, führt eine schlechte Haftung der Wolfram-Sperrschicht an den Seitenwandflächen und/oder der Unterseite der Durchkontaktierungsöffnung zu einer erheblichen Beschädigung des Bauelement-Ebene-Kontakts, insbesondere wenn der Bauelement-Ebene-Kontakt Cobalt aufweist. Zum Beispiel sind bei der BEOL-Bearbeitung, die mit wolframhaltigen Durchkontaktierungen (die zum Beispiel durch Abscheiden der massiven Wolframschicht, Planarisieren der Wolfram-Sperrschicht und/oder der massiven Wolfram-Sperrschicht und/oder Reinigungsprozesse entstehen) und/oder mit leitfähigen Verbindungen (zum Beispiel Reinigungsprozesse) der Zwischenverbindungsstruktur verbunden ist, ein Hindurchdringen durch Spalte zwischen der Wolfram-Sperrschicht und der ILD-Schicht und ein Angreifen des Materials des Bauelement-Ebene-Kontakts (insbesondere Cobalt) und eine damit einhergehende Leistungsminderung des Bauelement-Ebene-Kontakts beobachtet worden. Diese Leistungsminderung ist für cobalthaltige Bauelement-Ebene-Kontakte katastrophal. Es ist zum Beispiel festgestellt worden, dass der Cobaltverlust, der durch die Behandlung mit Chemikalien, wie etwa mit CMP-Schlamm (der normalerweise ein saures Lösungsmittel ist), bei der BEOL-Bearbeitung entsteht, zu einem erheblichen Ausbeuteverlust der cobalthaltigen Bauelement-Ebene-Kontakte führt, was für die Erfüllung der Anforderungen an kleiner werdende Technologieknoten inakzeptabel ist.
  • In der vorliegenden Erfindung werden daher Durchkontaktierungen vorgeschlagen, die die Bauelement-Ebene-Kontakte (und bei einigen Ausführungsformen die leitfähigen Verbindungen), insbesondere cobalthaltige Bauelement-Ebene-Kontakte, vor einer Beschädigung nach der Bearbeitung schützen. Insbesondere weisen die Durchkontaktierungen, die nachstehend in den 2A bis 2C offenbart sind und in den Durchkontaktierungen 70 bis 74 implementiert werden können, eine mehrschichtige Durchkontaktierungssperre auf, die gut an den Seitenwandflächen und/oder der Unterseite einer Durchkontaktierungsöffnung (die zum Beispiel von einer ILD-Schicht und/oder dem Bauelement-Ebene-Kontakt definiert wird) haftet, sodass ein Verlust des Materials der Bauelement-Ebene-Kontakte bei der BEOL-Bearbeitung verhindert (oder erheblich verringert) wird. Bei speziellen Ausführungsformen umfasst die mehrschichtige Durchkontaktierungssperre eine Titanschicht und eine Titannidridschicht, die im Allgemeinen als eine Ti/TiN-Durchkontaktierungssperre bezeichnet wird und die, wie festgestellt worden ist, einen niedrigen Widerstand ermöglicht und dabei ausreichend an den Oberflächen haftet, die die Durchkontaktierungsöffnung definieren, sodass eine Beschädigung von darunter befindlichen leitfähigen Strukturelementen vermieden wird. Bei einigen Ausführungsformen wurden keine Änderungen der Bauelementleistung nach der BEOL-Bearbeitung festgestellt. Wenn man zum Beispiel dunkle Spannungskontrastbilder (dark voltage contrast images; DVC-Bilder), die bei der Elektronenstrahlprüfung der Oberflächenladung nach einem CMP-Prozess an einer herkömmlichen Durchkontaktierung mit einer Wolframsperre entstanden sind, mit denen vergleicht, die in der gleichen Weise an einer Durchkontaktierung mit der hier beschriebenen Ti/TiN-Durchkontaktierungssperre entstanden sind, so ist festzustellen, dass die Durchkontaktierung mit der Ti/TiN-Durchkontaktierungssperre frei von Spannungskontrast-Fehlern ist (was darauf hinweist, dass keine Hohlräume, die zum Beispiel aus dem Cobaltverlust während des CMP-Prozesses resultieren, in der Durchkontaktierung und/oder dem Bauelement-Ebene-Kontakt vorhanden sind), während die herkömmliche Durchkontaktierung mit der Wolframsperre Spannungskontrast-Fehler hat (was darauf hinweist, dass Hohlräume, die elektrische Kurzschlüsse oder Stromkreisunterbrechungen verursachen können, in der Durchkontaktierung und/oder dem Bauelement-Ebene-Kontakt vorhanden sind). In einem weiteren Beispiel ist festgestellt worden, dass eine Durchkontaktierung mit der hier beschriebenen Ti/TiN-Durchkontaktierungssperre den Widerstand zwischen der Durchkontaktierung und anderen IC-Strukturelementen erheblich verringern kann (bei einigen Ausführungsformen um bis zu 77 %). Zum Beispiel ist bei einigen Ausführungsformen die Durchkontaktierung mit der Ti/TiN-Durchkontaktierungssperre physisch mit einem FEOL-Strukturelement verbunden, wie etwa eine Durchkontaktierung, die physisch mit einem Widerstand des integrierten Schaltkreiselements 10 verbunden ist, wobei festgestellt worden ist, dass eine Grenzfläche zwischen der Durchkontaktierung und dem FEOL-Strukturelement einen verringerten Widerstand zeigt. Unterschiedliche Ausführungsformen können unterschiedliche Vorzüge haben, und für keine Ausführungsform ist ein spezieller Vorzug erforderlich.
  • 2A ist eine vergrößerte schematische Teilansicht eines Teils A des integrierten Schaltkreiselements 10 beim Implementieren einer teilweisen oder vollständigen Zwischenverbindungsstruktur 100A gemäß verschiedenen Aspekten der vorliegenden Erfindung. Die Zwischenverbindungsstruktur 100A weist einen Bauelement-Ebene-Kontakt 62, eine Durchkontaktierung 72 und eine leitfähige Verbindung 82 auf, wobei die Durchkontaktierung 72 durch die ILD-Schicht 46 und die CESL 54 verläuft, um den Bauelement-Ebene-Kontakt 62 mit der leitfähigen Verbindung 82 zu verbinden. 2A ist der Klarheit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. Es können weitere Strukturelemente zu der Zwischenverbindungsstruktur 100A hinzugefügt werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen der Zwischenverbindungsstruktur 100A ersetzt, modifiziert oder weggelassen werden.
  • In 2A weist der Bauelement-Ebene-Kontakt 62 Cobalt auf (und er wird daher als ein cobalthaltiger Bauelement-Ebene-Kontakt bezeichnet). Bei einigen Ausführungsformen enthält das Volumen des Bauelement-Ebene-Kontakts 62 mindestens 65 % Cobalt. Zum Beispiel umfasst der Bauelement-Ebene-Kontakt 62 eine cobalthaltige massive Schicht 102, die Cobalt oder eine Cobaltlegierung aufweist (zum Beispiel mit Titan, Wolfram, Nickel, Phosphor, Bor, Aluminium, Tantal, einem anderen geeigneten Cobaltlegierungsmaterial oder Kombinationen davon). Bei einigen Ausführungsformen weist die cobalthaltige massive Schicht 102 mindestens 50 % Cobalt auf. Der Bauelement-Ebene-Kontakt 62 weist weiterhin eine Verkappungsschicht 104 auf, die auf der cobalthaltigen massiven Schicht 102 angeordnet ist. Bei der dargestellten Ausführungsform weist die Verkappungsschicht 104 Cobalt und Silizium in Form von Cobaltsilizid auf. Obwohl es nicht dargestellt ist, weist bei einigen Ausführungsformen der Bauelement-Ebene-Kontakt 62 eine Sperrschicht und/oder eine Haftschicht auf, wobei die cobalthaltige massive Schicht 102 über der Sperrschicht und/oder der Haftschicht angeordnet ist. Bei einigen Ausführungsformen verläuft der Bauelement-Ebene-Kontakt 62 durch eine Öffnung in einer oder mehreren ILD-Schichten und/oder CESL-Schichten. Zum Beispiel füllt die cobalthaltige massive Schicht 102 eine Öffnung, die Seitenwände, die von der ILD-Schicht 44 und/oder der CESL 52 definiert werden, und eine Unterseite hat, die von dem Metall-Gate-Stapel 22B definiert wird. Bei Ausführungsformen, bei denen der Bauelement-Ebene-Kontakt 62 eine Sperrschicht und/oder eine andere geeignete Bauelement-Ebene-Kontaktschicht aufweist, entsprechen die Sperrschicht und/oder die andere geeignete Bauelement-Ebene-Kontaktschicht der Öffnung, sodass die Sperrschicht und/oder die andere geeignete Bauelement-Ebene-Kontaktschicht auf der dielektrischen Schicht und dem Metall-Gate-Stapel 22B angeordnet sind und die cobalthaltige massive Schicht 102 auf der Sperrschicht und/oder der anderen geeigneten Bauelement-Ebene-Kontaktschicht angeordnet ist.
  • Die Durchkontaktierung 72 füllt eine Durchkontaktierungsöffnung, die Seitenwände, die von der ILD-Schicht 46, der CESL 54 und der Verkappungsschicht 104 definiert werden, und eine Unterseite hat, die von der cobalthaltigen massiven Schicht 102 definiert wird. Die Durchkontaktierung 72 weist eine mehrschichtige Durchkontaktierungssperre 110 auf, wie etwa eine erste Durchkontaktierungssperrschicht 112 und eine zweite Durchkontaktierungssperrschicht 114. Der erste Durchkontaktierungssperrschicht 112 und die zweite Durchkontaktierungssperrschicht 114 sind so konfiguriert, dass sie eine Haftung der Durchkontaktierung 72 an den Flächen ermöglichen, die die Durchkontaktierungsöffnung definieren, wie etwa an den Seitenwandflächen, die von der ILD-Schicht 46, der CESL 54 und der Verkappungsschicht 104 definiert werden, und an der Unterseite, die von der cobalthaltigen massiven Schicht 102 definiert wird. Die erste Durchkontaktierungssperrschicht 112 ist auf den Seitenwänden und der Unterseite der Durchkontaktierungsöffnung angeordnet, sodass die erste Durchkontaktierungssperrschicht 112 auf der ILD-Schicht 46, der CESL 54, der Verkappungsschicht 104 und der cobalthaltigen massiven Schicht 102 angeordnet ist. Die zweite Durchkontaktierungssperrschicht 114 ist auf der ersten Durchkontaktierungssperrschicht 112 angeordnet, sodass die zweite Durchkontaktierungssperrschicht 114 entlang den Seitenwänden und der Unterseite der Durchkontaktierungsöffnung verläuft, die von der ILD-Schicht 46, der CESL 54, der Verkappungsschicht 104 und der cobalthaltigen massiven Schicht 102 definiert wird. Bei der dargestellten Ausführungsform haben die erste Durchkontaktierungssperrschicht 112 und die zweite Durchkontaktierungssperrschicht 114 im Wesentlichen einheitliche Dicken. Bei einigen Ausführungsformen hat die erste Durchkontaktierungssperrschicht 112 eine Dicke von etwa 20 Å bis etwa 90 Å, und die zweite Durchkontaktierungssperrschicht 114 hat eine Dicke von etwa 1 Å bis etwa 40 Å. Bei einigen Ausführungsformen ist die Dicke der ersten Durchkontaktierungssperrschicht 112 größer als die Dicke der zweiten Durchkontaktierungssperrschicht 114.
  • Die erste Durchkontaktierungssperrschicht 112 weist Titan auf (und wird alternativ als eine titanhaltige Sperrschicht oder als eine Titan-Sperrschicht bezeichnet), und die zweite Durchkontaktierungssperrschicht 114 weist Titan und Stickstoff auf (und wird alternativ als titan- und stickstoffhaltige Sperrschicht oder als eine Titannidrid-haltige Sperrschicht bezeichnet). Titan zeigt einen niedrigen Widerstand und haftet gut an dielektrischen Materialien an, wie etwa der ILD-Schicht 46 und/oder der CESL 54, sodass Spalte, die zwischen der Durchkontaktierung 72 und der ILD-Schicht 46 und/oder der CESL 54 entstehen, eliminiert (oder minimiert) werden. Die erste Durchkontaktierungssperrschicht 112 und die zweite Durchkontaktierungssperrschicht 114 verhindern somit, dass Chemikalien den Bauelement-Ebene-Kontakt 62 während der nachfolgenden Bearbeitung angreifen und/oder aufzehren, wie hier dargelegt wird. Bei einigen Ausführungsformen weist die erste Durchkontaktierungssperrschicht 112 mindestens 90 % Titan auf, und die zweite Durchkontaktierungssperrschicht 114 weist mindestens 90 % Titan und Stickstoff in Kombination auf. Bei einigen Ausführungsformen weist die zweite Durchkontaktierungssperrschicht 114 mindestens 35 % Titan und mindestens 35 % Stickstoff auf. Bei einigen Ausführungsformen werden die erste Durchkontaktierungssperrschicht 112 und die zweite Durchkontaktierungssperrschicht 114 mit Abscheidungsverfahren hergestellt, die so konfiguriert sind, dass sie einen hohen Bedeckungsgrad der Unterseite (high bottom coverage; HBC) ermöglichen, was die Haftung der mehrschichtigen Durchkontaktierungssperre 110 weiter verbessert. Zum Beispiel wird die erste Durchkontaktierungssperrschicht 112 mit einem PVD-Prozess oder einem ALD-Prozess hergestellt, und die zweite Durchkontaktierungssperrschicht 114 wird mit einem CVD-Prozess oder einem Behandlungsprozess auf Plasma- oder Gasbasis hergestellt.
  • Die Durchkontaktierung 72 weist weiterhin eine massive Durchkontaktierungs(füll)schicht 120 auf, die über der mehrschichtigen Durchkontaktierungssperre 110 angeordnet ist. Die massive Durchkontaktierungsschicht 120 weist Wolfram oder eine Wolframlegierung auf (und wird alternativ als eine massive wolframhaltige Durchkontaktierungsschicht oder eine massive Wolfram-Durchkontaktierungsschicht bezeichnet). Bei der dargestellten Ausführungsform weist die massive Durchkontaktierungsschicht 120 eine wolframhaltige Seed-Schicht (oder Deckschicht) 122 und eine massive wolframhaltige Schicht 124 auf. Die wolframhaltige Seed-Schicht 122 ist auf der zweiten Durchkontaktierungssperrschicht 114 angeordnet, sodass die wolframhaltige Seed-Schicht 122 entlang den Seitenwänden und der Unterseite der Durchkontaktierungsöffnung verläuft, die von der ILD-Schicht 46, der CESL 54, der Verkappungsschicht 104 und der cobalthaltigen massiven Schicht 102 definiert wird. Die wolframhaltige massive Schicht 124 ist auf der wolframhaltigen Seed-Schicht 122 angeordnet. Bei einigen Ausführungsformen hat die wolframhaltige Seed-Schicht 122 eine Dicke von etwa 1 Å bis etwa 40 Å, und die wolframhaltige massive Schicht 124 hat eine Dicke von 100 nm bis etwa 200 nm. Bei einigen Ausführungsformen wird die wolframhaltige Seed-Schicht 122 mit einem ALD-Prozess hergestellt, und die wolframhaltige massive Schicht 124 wird mit einem CVD-Prozess hergestellt.
  • Die leitfähige Verbindung 82 ist über der Durchkontaktierung 72 angeordnet, zum Beispiel auf der mehrschichtigen Durchkontaktierungssperre 110 und der massiven Durchkontaktierungsschicht 120. Die leitfähige Verbindung 82 weist Kupfer oder eine Kupferlegierung auf (und wird alternativ als eine kupferhaltige Leitung oder eine Kupferleitung bezeichnet). Bei der dargestellten Ausführungsform weist die leitfähige Verbindung 82 eine Sperrschicht 132 und eine kupferhaltige massive Schicht 134 auf. Die Sperrschicht 132 ist auf der mehrschichtigen Durchkontaktierungssperre 110 und der massiven Durchkontaktierungsschicht 120 angeordnet, und die kupferhaltige massive Schicht 134 ist auf der Sperrschicht 132 angeordnet. Die Sperrschicht 132 weist Titan, Tantal, ein anderes geeignetes Material oder Kombinationen davon auf. Die Sperrschicht 132 weist zum Beispiel TiN oder TaN auf. Bei einigen Ausführungsformen wird die Sperrschicht 132 aus der leitfähigen Verbindung 82 ausgelassen. Alternativ oder zusätzlich kann die leitfähige Verbindung 82 andere leitende Materialien aufweisen, wie etwa Tantal, Tantalnitrid, Titan, Titannidrid, Aluminium, Wolfram, Polysilizium, Cobalt, andere geeignete leitende Materialien oder Kombinationen davon.
  • 2B ist eine vergrößerte schematische Teilansicht des Teils A des integrierten Schaltkreiselements 10 beim Implementieren einer teilweisen oder vollständigen Zwischenverbindungsstruktur 100B gemäß verschiedenen Aspekten der vorliegenden Erfindung. Die Zwischenverbindungsstruktur 100B ist der Zwischenverbindungsstruktur 100A ähnlich, mit der Ausnahme, dass bei der Zwischenverbindungsstruktur 100B die Verkappungsschicht 104 aus dem Bauelement-Ebene-Kontakt 62 ausgelassen wurde. Die Durchkontaktierung 72 füllt somit eine Durchkontaktierungsöffnung, die Seitenwände, die von der ILD-Schicht 46 und der CESL 54 definiert werden, und eine Unterseite hat, die von der cobalthaltigen massiven Schicht 102 definiert wird, wobei die mehrschichtige Durchkontaktierungssperre 110 die Haftung der Durchkontaktierung 72 an der ILD-Schicht 46 und/oder der CESL 54 verbessert und dabei einen niedrigen Widerstand zeigt. 2B ist der Klarheit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. Es können weitere Strukturelemente zu der Zwischenverbindungsstruktur 100B hinzugefügt werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen der Zwischenverbindungsstruktur 100B ersetzt, modifiziert oder weggelassen werden.
  • 2C ist eine vergrößerte schematische Teilansicht des Teils A des integrierten Schaltkreiselements 10 beim Implementieren einer teilweisen oder vollständigen Zwischenverbindungsstruktur 100C gemäß verschiedenen Aspekten der vorliegenden Erfindung. Die Zwischenverbindungsstruktur 100C ist der Zwischenverbindungsstruktur 100A ähnlich, mit der Ausnahme, dass bei der Zwischenverbindungsstruktur 100C die Verkappungsschicht 104 aus dem Bauelement-Ebene-Kontakt 62 ausgelassen wurde. Die Durchkontaktierung 72 füllt somit eine Durchkontaktierungsöffnung, die Seitenwände, die von der ILD-Schicht 46 und der CESL 54 definiert werden, und eine Unterseite hat, die von der cobalthaltigen massiven Schicht 102 definiert wird, wobei die mehrschichtige Durchkontaktierungssperre 110 die Haftung der Durchkontaktierung 72 an der ILD-Schicht 46 und/oder der CESL 54 verbessert und dabei einen niedrigen Widerstand zeigt. Darüber hinaus weist im Gegensatz zu der Zwischenverbindungsstruktur 100A die massive Durchkontaktierungsschicht 120 in der Zwischenverbindungsstruktur 100C eine cobalthaltige massive Schicht 126 auf. Die cobalthaltige massive Schicht 26 weist Cobalt oder eine Cobaltlegierung auf. Bei einigen Ausführungsformen weist die cobalthaltige massive Schicht 126 mindestens 50 % Cobalt auf. Bei einigen Ausführungsformen weist die massive Durchkontaktierungsschicht 120 weiterhin eine cobalthaltige Seed-Schicht auf, die ähnlich wie die wolframhaltige Seed-Schicht 122 der Zwischenverbindungsstruktur 100A konfiguriert ist. Bei einigen Ausführungsformen weist die leitfähige Verbindung 82 Cobalt oder eine Cobaltlegierung statt Kupfer oder eine Kupferlegierung auf. 2C ist der Klarheit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. Es können weitere Strukturelemente zu der Zwischenverbindungsstruktur 100C hinzugefügt werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen der Zwischenverbindungsstruktur 100C ersetzt, modifiziert oder weggelassen werden.
  • 3 ist ein Ablaufdiagramm eines Verfahrens 200 zur Herstellung einer Zwischenverbindungsstruktur, wie etwa der in den 2A bis 2C gezeigten Zwischenverbindungsstrukturen 100A bis 100C, gemäß verschiedenen Aspekten der vorliegenden Erfindung. Im Block 210 umfasst das Verfahren 200 das Herstellen eines Bauelement-Ebene-Kontakts über einem Substrat. Im Block 220 wird eine Durchkontaktierung auf dem Bauelement-Ebene-Kontakt hergestellt. Im Block 230 wird eine leitfähige Verbindung auf der Durchkontaktierung hergestellt. Im Block 240 kann das Verfahren 200 fortgesetzt werden, um die Herstellung der Zwischenverbindungsstruktur zu beenden. Es können weitere Schritte vor, während und nach dem Verfahren 200 vorgesehen werden, und einige der beschriebenen Schritte können bei anderen Ausführungsformen des Verfahrens 200 verschoben, ersetzt oder weggelassen werden.
  • 4 ist ein Ablaufdiagramm eines Verfahrens 250 zur Herstellung einer Durchkontaktierung einer Zwischenverbindungsstruktur, wie etwa der Durchkontaktierung 72 der in den 2A bis 2C gezeigten Zwischenverbindungsstrukturen 100A bis 100C, gemäß verschiedenen Aspekten der vorliegenden Erfindung. Bei einigen Ausführungsformen kann das Verfahren 250 in dem Block 220 bei dem Verfahren 200 implementiert werden. Im Block 252 umfasst das Verfahren 250 das Herstellen einer Öffnung in einer dielektrischen Schicht über einer leitfähigen Struktur, die Cobalt aufweist. Im Block 254 wird eine erste Durchkontaktierungssperrschicht, die Titan aufweist, auf Seitenwandflächen und einer Unterseite der Öffnung hergestellt. Im Block 256 wird eine zweite Durchkontaktierungssperrschicht, die Titan und Stickstoff aufweist, auf der ersten Durchkontaktierungssperrschicht hergestellt. Im Block 258 wird eine massive Durchkontaktierungsschicht auf der zweiten Durchkontaktierungssperrschicht hergestellt, sodass die erste Durchkontaktierungsschicht, die zweite Durchkontaktierungsschicht und die Durchkontaktierungsfüllschicht die Öffnung füllen. Es können weitere Schritte vor, während und nach dem Verfahren 250 vorgesehen werden, und einige der beschriebenen Schritte können bei anderen Ausführungsformen des Verfahrens 250 verschoben, ersetzt oder weggelassen werden.
  • Die 5A bis 5F sind schematische Teilansichten einer teilweisen oder vollständigen Zwischenverbindungsstruktur 300 auf verschiedenen Herstellungsstufen (wie etwa denen, die mit dem Verfahren 200 von 3 und/oder dem Verfahren 250 von 4 verbunden sind) gemäß verschiedenen Aspekten der vorliegenden Erfindung. Die Zwischenverbindungsstruktur 300 weist eine Durchkontaktierung auf, die darunter befindliche leitende Strukturelemente, wie etwa darunter befindliche MEOL-Strukturelemente und/oder BEOL-Strukturelemente, vor einer Beschädigung bei der nachfolgenden Bearbeitung schützt, wie hier dargelegt ist. Die 5A bis 5F sind der Klarheit halber vereinfacht worden, um die Erfindungsgedanken der vorliegenden Erfindung besser verständlich zu machen. Es können weitere Strukturelemente zu der Zwischenverbindungsstruktur 300 hinzugefügt werden, und einige der nachstehend beschriebenen Strukturelemente können bei anderen Ausführungsformen der Zwischenverbindungsstruktur 300 ersetzt, modifiziert oder weggelassen werden.
  • In 5A wird ein Substrat 310 mit einem darüber angeordneten leitfähigen Strukturelement 320 bereitgestellt. Das Substrat 310 ist dem Substrat 12 ähnlich, das in 1 dargestellt und beschrieben ist. Bei der dargestellten Ausführungsform ist das leitende Strukturelement 320 ein MEOL-Strukturelement, wie etwa ein cobalthaltiger Bauelement-Ebene-Kontakt, der dem Bauelement-Ebene-Kontakt 62 ähnlich ist, der in 1 und den 2A bis 2C dargestellt und beschrieben ist. Das leitende Strukturelement 320 weist zum Beispiel eine cobalthaltige Kontaktschicht 322, die der cobalthaltigen massiven Schicht 102 ähnlich ist, und eine Verkappungsschicht 324 auf, die der Verkappungsschicht 104 ähnlich ist. Alternativ ist bei einigen Ausführungsformen das leitende Strukturelement 320 ein cobalthaltiges BEOL-Strukturelement, wie etwa eine cobalthaltige Leitung des MLI-Elements 40. Bei einigen Ausführungsformen wird die cobalthaltige Kontaktschicht 322 mit einem geeigneten Abscheidungsverfahren (zum Beispiel PVD, CVD, ALD oder einem anderen geeigneten Abscheidungsverfahren) und/oder einem Glühverfahren hergestellt. Bei einigen Ausführungsformen wird für das Abscheidungsverfahren ein Cobalt-Vorläufer verwendet, wie etwa Cyclopentadienyl-Cobaltdicarbonyl [CpCo(Co)2], Dicobalt-hexacarbonyl-tert-butylacetylen (CCBTA), Cobalttricarbonylnitrosyl [Co(Co)3NO], Bis(cyclopentadienyl)cobalt [Co(C5H5)2,CpCo(Co)2], Bis(ethylcyclopentadienyl)cobalt (C14H18Co), Bis(pentamethylcyclopentadienyl)cobalt (C20H30Co), Cobalt-tris(2,2,6,6-tetramethyl-3,5-heptandionat) [Co(OCC(CH3)3CHCOC(CH3)3)3], andere geeignete Cobalt-Vorläufer oder Kombinationen davon.
  • Über dem leitfähigen Strukturelement 320 wird eine dielektrische Schicht 330 hergestellt, die den ILD-Schichten 42 bis 48 ähnlich ist, die in den 1 und 2A bis 2C dargestellt und beschrieben sind. Zum Beispiel wird ein CVD-Prozess durchgeführt, um ein dielektrisches Low-k-Material über dem leitfähigen Strukturelement 320 abzuscheiden, sodass die dielektrische Schicht 330 entsteht. Vor der Herstellung der dielektrischen Schicht 330 kann eine CESL 332 hergestellt werden, die den CESLs 52 bis 56 ähnlich ist, die in den 1 und 2A bis 2C dargestellt und beschrieben sind, aber in der vorliegenden Erfindung werden Ausführungsformen in Betracht gezogen, bei denen die CESL 332 aus der Zwischenverbindungsstruktur 300 ausgelassen wird. Die CESL 332 weist ein Material auf, das andere Ätz-Eigenschaften als das Material der dielektrischen Schicht 330, wie etwa Siliziumnitrid, hat.
  • In 5B wird eine Durchkontaktierungsöffnung 340 in der dielektrischen Schicht 330 (und bei einigen Ausführungsformen in der CESL 332) mit einem Strukturierungsverfahren hergestellt. Bei der dargestellten Ausführungsform verläuft die Durchkontaktierungsöffnung 340 vertikal durch die dielektrische Schicht 330, die CESL 332 und die Verkappungsschicht 324. Die Durchkontaktierungsöffnung 340 weist eine Seitenwand 334 (die von der dielektrischen Schicht 330, der CESL 332 und der Verkappungsschicht 324 definiert wird), eine Seitenwand 336 (die von der dielektrischen Schicht 330, der CESL 332 und der Verkappungsschicht 324 definiert wird) und eine Unterseite 338 (die von der cobalthaltigen Kontaktschicht 322 definiert wird) auf, die zwischen der Seitenwand 334 und der Seitenwand 336 verläuft. Das Strukturierungsverfahren umfasst lithografische Verfahren und/oder Ätzverfahren. Zum Beispiel umfasst die Herstellung der Durchkontaktierungsöffnung 340 das Durchführen eines lithografischen Prozesses, um eine strukturierte Resistschicht über der dielektrischen Schicht 330 herzustellen, und das Durchführen eines Ätzprozesses, um eine in der strukturierten Resistschicht definierte Struktur auf die dielektrische Schicht 330 zu übertragen. Der lithografische Prozess kann das Herstellen einer Resistschicht auf der dielektrischen Schicht 330 (zum Beispiel durch Schleuderbeschichtung), das Durchführen einer Vorhärtung, das Durchführen einer Belichtung unter Verwendung einer Maske, das Durchführen einer Nachhärtung und das Durchführen einer Entwicklung umfassen. Bei der Belichtung wird die Resistschicht einer Strahlungsenergie (wie etwa UV-Licht, tiefem UV-Licht oder extremem UV-Licht) ausgesetzt, wobei die Maske in Abhängigkeit von der Maskenstruktur der Maske und/oder dem Maskentyp (zum Beispiel binäre Maske, Phasenverschiebungsmaske oder EUV-Maske) die Strahlung von der Resistschicht abschirmt, sie auf die Resistschicht überträgt und/oder sie in die Resistschicht reflektiert, sodass ein Bild auf die Resistschicht projiziert wird, das der Maskenstruktur entspricht. Da die Resistschicht empfindlich für die Strahlungsenergie ist, verändern sich die belichteten Teile der Resistschicht chemisch, und die belichteten (oder nicht-belichteten) Teile der Resistschicht werden in Abhängigkeit von den Eigenschaften der Resistschicht und den Eigenschaften einer Entwicklerlösung, die bei der Entwicklung verwendet wird, bei der Entwicklung aufgelöst. Nach der Entwicklung weist die strukturierte Resistschicht eine Resiststruktur auf, die der Maske entspricht. Bei dem Ätzprozess wird die strukturierte Resistschicht als eine Ätzmaske zum Entfernen von Teilen der dielektrischen Schicht 330 verwendet. Der Ätzprozess kann eine Trockenätzung, zum Beispiel eine reaktive Ionenätzung (RIE), eine Nassätzung, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Nach dem Ätzprozess wird die strukturierte Resistschicht von der dielektrischen Schicht 330 zum Beispiel durch Resistablösung entfernt. Bei einigen Ausführungsformen wird die strukturierte Resistschicht als eine Ätzmaske zum Entfernen von Teilen der CESL 332 und/oder der Verkappungsschicht 324 verwendet, um die Durchkontaktierungsöffnung 340 zu vergrößern, sodass das leitende Strukturelement 320 freigelegt wird. Bei einigen Ausführungsformen werden die dielektrische Schicht 330 und/oder die CESL 332 als Ätzmasken zum Entfernen jeweils von Teilen der CESL 332 und/oder der Verkappungsschicht 324 verwendet. Es können verschiedene selektive Ätzprozesse durchgeführt werden. Alternativ kann der Belichtungsprozess mit anderen Verfahren implementiert oder durch andere Verfahren ersetzt werden, wie etwa maskenlose Lithografie, Elektronenstrahl-Schreiben, Ionenstrahl-Schreiben und/oder Nanoimprint-Technologie.
  • In 5C wird eine Durchkontaktierungssperrschicht 350 in der Durchkontaktierungsöffnung 340 hergestellt. Die Durchkontaktierungssperrschicht 350 ist der ersten Durchkontaktierungssperrschicht 112 ähnlich, die in den 2A bis 2C dargestellt und beschrieben ist. Zum Beispiel ist die Durchkontaktierungssperrschicht 350 eine titanhaltige Schicht. Die Durchkontaktierungssperrschicht 350 ist entlang der Seitenwand 334, der Seitenwand 336 und der Unterseite 338 angeordnet, die die Durchkontaktierungsöffnung 340 definieren, sodass die Durchkontaktierungssperrschicht 350 die Durchkontaktierungsöffnung 340 teilweise füllt. Bei der dargestellten Ausführungsform ist die Durchkontaktierungssperrschicht 350 direkt auf Teilen der dielektrischen Schicht 330, der CESL 332 und der cobalthaltigen Kontaktschicht 322 angeordnet, die die Durchkontaktierungsöffnung 340 definieren. Weiterhin wird bei der dargestellten Ausführungsform die Durchkontaktierungssperrschicht 350 mit einem PVD-Prozess konform abgeschieden, sodass die Durchkontaktierungssperrschicht 350 eine Dicke hat, die über den freiliegenden Oberflächen der Zwischenverbindungsstruktur 300 im Wesentlichen gleichbleibend ist. Alternativ wird die Durchkontaktierungssperrschicht 350 durch CVD, ALD, Elektroplattierung, stromlose Plattierung, mit einem anderen geeigneten Abscheidungsverfahren oder Kombinationen davon hergestellt.
  • In 5D wird eine Durchkontaktierungssperrschicht 352 in der Durchkontaktierungsöffnung 340 hergestellt. Die Durchkontaktierungssperrschicht 352 ist der zweiten Durchkontaktierungssperrschicht 114 ähnlich, die in den 2A bis 2C dargestellt und beschrieben ist. Zum Beispiel ist die Durchkontaktierungssperrschicht 352 eine Schicht, die Titan und Stickstoff enthält. Die Durchkontaktierungssperrschicht 352 wird über der Durchkontaktierungssperrschicht 350 hergestellt, sodass die Durchkontaktierungssperrschicht 352 die Durchkontaktierungsöffnung 340 teilweise füllt. Bei der dargestellten Ausführungsform ist die Durchkontaktierungssperrschicht 352 direkt auf Teilen der Durchkontaktierungssperrschicht 350 angeordnet. Weiterhin wird bei der dargestellten Ausführungsform die Durchkontaktierungssperrschicht 352 mit einem PVD-Prozess konform abgeschieden, sodass sie eine Dicke hat, die über den freiliegenden Oberflächen der Zwischenverbindungsstruktur 300 im Wesentlichen gleichbleibend ist. Alternativ wird die Durchkontaktierungssperrschicht 352 durch CVD, ALD, Elektroplattierung, stromlose Plattierung, mit einem anderen geeigneten Abscheidungsverfahren oder Kombinationen davon hergestellt.
  • In 5E wird eine massive Durchkontaktierungsschicht 354 in der Durchkontaktierungsöffnung 340 hergestellt. Die massive Durchkontaktierungsschicht 354 ist der massiven Durchkontaktierungsschicht 120 ähnlich, die in den 2A bis 2C dargestellt und beschrieben ist. Bei der dargestellten Ausführungsform ist die massive Durchkontaktierungsschicht 354 eine wolframhaltige Schicht. Zum Beispiel weist die massive Durchkontaktierungsschicht 354 eine Seed-Schicht 356, wie etwa die wolframhaltige Seed-Schicht 122, die in den 2A und 2B dargestellt und beschrieben ist, und eine Füllschicht 358 auf, wie etwa die wolframhaltige massive Schicht 124, die in den 2A und 2B dargestellt und beschrieben ist. Alternativ ist die massive Durchkontaktierungsschicht 354 eine cobalthaltige Schicht, wie etwa die cobalthaltige massive Schicht 126, die in 2C dargestellt und beschrieben ist. Die massive Durchkontaktierungsschicht 354 wird über der Durchkontaktierungssperrschicht 352 hergestellt, sodass die massive Durchkontaktierungsschicht 354 die verbliebene Durchkontaktierungsöffnung 340 füllt. Bei der dargestellten Ausführungsform ist die Seed-Schicht 356 direkt auf der Durchkontaktierungssperrschicht 352 angeordnet, und die Füllschicht 358 ist direkt auf der Seed-Schicht 356 angeordnet. Weiterhin wird bei der dargestellten Ausführungsform die Seed-Schicht 356 konform mit einem ALD-Prozess abgeschieden, sodass die Seed-Schicht 356 eine Dicke hat, die über den freiliegenden Oberflächen der Zwischenverbindungsstruktur 300 im Wesentlichen gleichbleibend ist, und die Füllschicht 358 wird mit einem CVD-Prozess über den freiliegenden Oberflächen der Zwischenverbindungsstruktur 300 abgeschieden. Alternativ werden die massive Durchkontaktierungsschicht 354, die Seed-Schicht 356 und/oder die Füllschicht 358 mittels PVD, CVD, ALD, Elektroplattierung, stromloser Plattierung, eines anderen geeigneten Abscheidungsverfahrens oder Kombinationen davon hergestellt.
  • In 5F werden ein CMP-Prozess und/oder ein anderer Planarisierungsprozess an der Zwischenverbindungsstruktur 300 durchgeführt. Durch den CMP-Prozess werden die überschüssige Durchkontaktierungssperrschicht 350, Durchkontaktierungssperrschicht 352 und massive Durchkontaktierungsschicht 354 entfernt, sodass eine Durchkontaktierung 360 entsteht, die die Durchkontaktierungssperrschicht 350, die Durchkontaktierungssperrschicht 352 und die massive Durchkontaktierungsschicht 354 (die die Durchkontaktierungsöffnung 340 füllen) aufweist. Durch den CMP-Prozess wird eine Oberfläche der Zwischenverbindungsstruktur 300 planarisiert, sodass bei einigen Ausführungsformen eine Oberseite der dielektrischen Schicht 330 und eine Oberseite der Durchkontaktierung 360 eine im Wesentlichen planare Oberfläche bilden. Anschließend kann die Herstellung der Zwischenverbindungsstruktur 300 mit der Herstellung eines leitfähigen Strukturelements über der Durchkontaktierung 360 fortgesetzt werden, wobei die Durchkontaktierung 360 das leitende Strukturelement physisch und/oder elektrisch mit dem leitfähigen Strukturelement 320 verbindet. Das leitende Strukturelement ist zum Beispiel ein BEOL-Strukturelement, wie etwa die leitfähige Verbindung 82, die in den 2A bis 2C dargestellt und beschrieben ist. Das leitende Strukturelement kann unter Verwendung der hier beschriebenen Abscheidungs-, lithografischen und/oder Ätzprozesse in einer dielektrischen Schicht hergestellt werden, die sich über der Durchkontaktierung 360 befindet.
  • Die vorliegende Erfindung stellt viele verschieden Ausführungsformen bereit. Hier werden Zwischenverbindungsstrukturen und entsprechende Verfahren zu deren Herstellung offenbart. Eine beispielhafte Zwischenverbindungsstruktur weist ein leitendes Strukturelement, das Cobalt aufweist, und eine Durchkontaktierung auf, die über dem leitfähigen Strukturelement angeordnet ist. Die Durchkontaktierung weist eine erste Durchkontaktierungssperrschicht, die über dem leitfähigen Strukturelement angeordnet ist; eine zweite Durchkontaktierungssperrschicht, die über der ersten Durchkontaktierungssperrschicht angeordnet ist; und eine massive Durchkontaktierungsschicht auf, die über der zweiten Durchkontaktierungssperrschicht angeordnet ist. Die erste Durchkontaktierungssperrschicht weist Titan auf, und die zweite Durchkontaktierungssperrschicht weist Titan und Stickstoff auf. Die massive Durchkontaktierungsschicht kann Wolfram und/oder Cobalt aufweisen. Über dem leitfähigen Strukturelement kann eine Verkappungsschicht angeordnet sein, wobei die Durchkontaktierung durch die Verkappungsschicht verläuft, um das leitende Strukturelement zu kontaktieren. Bei einigen Ausführungsformen weist die Verkappungsschicht Cobalt und Silizium auf. Bei einigen Ausführungsformen sind das leitende Strukturelement und die Durchkontaktierung in einer dielektrischen Schicht angeordnet. Bei einigen Ausführungsformen ist das leitende Strukturelement ein leitendes MEOL-Strukturelement (MEOL: Middle End of Line). Bei einigen Ausführungsformen ist das leitende Strukturelement ein leitendes BEOL-Strukturelement (BEOL: Back End of Line).
  • Eine weitere beispielhafte Zwischenverbindungsstruktur weist einen cobalthaltigen Bauelement-Kontakt, der über einem Substrat angeordnet ist, und eine dielektrische Schicht auf, die über dem cobalthaltigen Bauelement-Kontakt angeordnet ist. In der dielektrischen Schicht ist eine Durchkontaktierung angeordnet. Die Durchkontaktierung weist Folgendes auf: eine titanhaltige Sperrschicht, die über von der dielektrischen Schicht definierten Seitenwandflächen und über einer von dem cobalthaltigen Bauelement-Kontakt definierten Unterseite angeordnet ist; eine titan- und stickstoffhaltige Sperrschicht, die über der titanhaltigen Sperrschicht angeordnet ist; und eine massive Schicht, die über der titan- und stickstoffhaltigen Sperrschicht angeordnet ist. Bei einigen Ausführungsformen weist der cobalthaltige Bauelement-Kontakt eine cobalthaltige Kontaktschicht und eine cobalt- und siliziumhaltige Verkappungsschicht auf, die auf der cobalthaltigen Kontaktschicht angeordnet ist. Bei diesen Ausführungsformen verläuft die Durchkontaktierung durch die cobalt- und siliziumhaltige Verkappungsschicht bis zu der cobalthaltigen Kontaktschicht. Bei einigen Ausführungsformen weist die Zwischenverbindungsstruktur weiterhin eine kupferhaltige leitfähige Verbindung auf, wobei die Durchkontaktierung den cobalthaltigen Bauelement-Kontakt mit der kupferhaltigen leitfähigen Verbindung verbindet.
  • Ein beispielhaftes Verfahren weist das Herstellen einer Öffnung in einer dielektrischen Schicht auf, wobei sich die Öffnung über einem leitfähigen Strukturelement befindet, das Cobalt aufweist. Das Verfahren umfasst weiterhin Folgendes: Herstellen einer ersten Durchkontaktierungssperrschicht über Seitenwänden und einer Unterseite, die die Öffnung definieren; Herstellen einer zweiten Durchkontaktierungssperrschicht über der ersten Durchkontaktierungssperrschicht; und Herstellen einer massiven Durchkontaktierungsschicht über der zweiten Durchkontaktierungssperrschicht. Die erste Durchkontaktierungssperrschicht weist Titan auf, und die zweite Durchkontaktierungssperrschicht weist Titan und Stickstoff auf. Die erste Durchkontaktierungssperrschicht, die zweite Durchkontaktierungssperrschicht und die massive Durchkontaktierungsschicht füllen die Öffnung. Bei einigen Ausführungsformen wird die erste Durchkontaktierungssperrschicht durch Durchführen eines physikalischen Aufdampfprozesses hergestellt. Bei einigen Ausführungsformen wird die zweite Durchkontaktierungssperrschicht durch Durchführen eines chemischen Aufdampfprozesses hergestellt. Bei einigen Ausführungsformen umfasst das Verfahren weiterhin das Herstellen einer Verkappungsschicht über dem leitfähigen Strukturelement. Erfindungsgemäß weist die Verkappungsschicht Cobaltsilizid auf.
  • Ein weiteres beispielhaftes Verfahren weist die folgenden Schritte auf: Herstellen eines MEOL-Strukturelements (MEOL: Middle End of Line) über einem Substrat, wobei das MEOL-Strukturelement Cobalt aufweist; und Herstellen eines BEOL-Strukturelements (BEOL: Back End of Line) auf dem MEOL-Strukturelement auf. Die Herstellung des BEOL-Strukturelements umfasst die folgenden Schritte: Herstellen einer dielektrischen Schicht über dem MEOL-Strukturelement; Strukturieren der dielektrischen Schicht, um eine Öffnung in der dielektrischen Schicht herzustellen, wobei die Öffnung Seitenwände, die von der dielektrischen Schicht definiert werden, und eine Unterseite hat, die von einem freiliegenden Teil des MEOL-Strukturelements definiert wird; Abscheiden einer titanhaltigen Sperrschicht auf den Seitenwänden und der Unterseite der Öffnung; Abscheiden einer titan- und stickstoffhaltigen Sperrschicht auf der titanhaltigen Sperrschicht; Abscheiden einer massiven Schicht auf der titan- und stickstoffhaltigen Sperrschicht, wobei die titanhaltige Sperrschicht, die titan- und stickstoffhaltige Sperrschicht und die massive Schicht die Öffnung füllen; und Durchführen eines Planarisierungsprozesses an der titanhaltigen Sperrschicht, der titan- und stickstoffhaltigen Sperrschicht und der massiven Schicht, sodass eine Oberfläche des BEOL-Strukturelements planarisiert wird. Bei einigen Ausführungsformen umfasst die Abscheidung der titanhaltigen Sperrschicht das Durchführen eines physikalischen Aufdampfprozesses. Bei einigen Ausführungsformen umfasst die Abscheidung der titan- und stickstoffhaltigen Sperrschicht das Durchführen eines chemischen Aufdampfprozesses. Bei einigen Ausführungsformen umfasst die Herstellung des MEOL-Strukturelements das Herstellen einer cobalthaltigen Kontaktschicht und das Herstellen einer cobalt- und siliziumhaltigen Kontaktschicht über der cobalthaltigen Kontaktschicht. Die cobalthaltige Kontaktschicht und die cobalt- und siliziumhaltige Kontaktschicht werden in einer weiteren dielektrischen Schicht angeordnet.
  • Ein beispielhaftes integriertes Schaltkreiselement weist ein BEOL-Strukturelement (BEOL: Back End of Line) auf, das so konfiguriert ist, dass es ein Cobalt-Kontaktelement mit einem leitfähigen Strukturelement elektrisch verbindet. Das BEOL-Strukturelement weist Folgendes auf: eine titanhaltige Sperrschicht, die auf dem Cobalt-Kontaktelement angeordnet ist; eine titan- und stickstoffhaltige Sperrschicht, die auf der titanhaltigen Sperrschicht angeordnet ist; und eine massive Schicht, die auf der titan- und stickstoffhaltigen Sperrschicht angeordnet ist. Bei einigen Ausführungsformen ist das BEOL-Strukturelement ein erstes BEOL-Strukturelement, das Cobalt-Kontaktelement ist ein MEOL-Strukturelement (MEOL: Middle End of Line), und das leitende Strukturelement ist ein zweites BEOL-Strukturelement, sodass das erste BEOL-Strukturelement das MEOL-Strukturelement elektrisch mit dem zweiten BEOL-Strukturelement verbindet. Bei einigen Ausführungsformen ist das BEOL-Strukturelement ein erstes BEOL-Strukturelement, das Cobalt-Kontaktelement ist ein zweites BEOL-Strukturelement, und das leitende Strukturelement ist ein drittes BEOL-Strukturelement, sodass das erste BEOL-Strukturelement das zweite BEOL-Strukturelement elektrisch mit dem dritten BEOL-Strukturelement verbindet.
  • Ein weiteres beispielhaftes integriertes Schaltkreiselement weist ein MLI-Strukturelement (MLI: Mehrschicht-Zwischenverbindung) auf, das einen Bauelement-Kontakt, eine Durchkontaktierung und eine leitfähige Verbindung umfasst. Der Bauelement-Kontakt ist so konfiguriert, dass er ein Strukturelement des integrierten Schaltkreiselements mit der Durchkontaktierung elektrisch verbindet. Die Durchkontaktierung ist so konfiguriert, dass sie den Bauelement-Kontakt elektrisch mit der leitfähigen Verbindung verbindet. Die Durchkontaktierung weist Folgendes auf: eine erste Durchkontaktierungssperrschicht, die auf dem Bauelement-Kontakt angeordnet ist, wobei der Bauelement-Kontakt Cobalt aufweist und die erste Durchkontaktierungssperrschicht Titan aufweist; eine zweite Durchkontaktierungssperrschicht, die auf der ersten Durchkontaktierungssperrschicht angeordnet ist, wobei die zweite Durchkontaktierungssperrschicht Titan und Stickstoff aufweist; und eine massive Durchkontaktierungsschicht, die auf der zweiten Durchkontaktierungssperrschicht angeordnet ist. Bei einigen Ausführungsformen umfasst der Bauelement-Kontakt eine Kontaktschicht, die Cobalt aufweist, und eine Verkappungsschicht, die auf der Kontaktschicht angeordnet ist, wobei die Verkappungsschicht Cobaltsilizid aufweist. Bei diesen Ausführungsformen verläuft die Durchkontaktierung durch die Verkappungsschicht bis zu der Kontaktschicht. Bei einigen Ausführungsformen ist das integrierte Schaltkreiselement eine Gate-Struktur oder eine Source-/Drain-Struktur.

Claims (16)

  1. Zwischenverbindungsstruktur (100A, 100B, 100C) mit: einem leitfähigen Strukturelement (60, 62, 64), das Cobalt aufweist; und einer Durchkontaktierung (70, 72, 74), die auf dem leitfähigen Strukturelement (60, 62, 64) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) Folgendes umfasst: eine erste Durchkontaktierungssperrschicht (112), die über dem leitfähigen Strukturelement angeordnet ist und Titan enthält; eine zweite Durchkontaktierungssperrschicht (114), die über der ersten Durchkontaktierungssperrschicht (112) angeordnet ist, wobei die zweite Durchkontaktierungssperrschicht (114) Titan und Stickstoff enthält, und eine massive Durchkontaktierungsschicht (120), die über der zweiten Durchkontaktierungssperrschicht (114) angeordnet ist, eine Verkappungsschicht (104), die über dem leitfähigen Strukturelement (60, 62, 64) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) durch die Verkappungsschicht (104) bis zu dem leitfähigen Strukturelement (60, 62, 64) verläuft, und wobei die Verkappungsschicht (104) Cobaltsilizid aufweist.
  2. Zwischenverbindungsstruktur (100A, 100B, 100C) nach Anspruch 1, wobei die massive Durchkontaktierungsschicht (120) Wolfram aufweist.
  3. Zwischenverbindungsstruktur (100A, 100B, 100C) nach Anspruch 1 oder 2, wobei die massive Durchkontaktierungsschicht (120) Cobalt aufweist.
  4. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der vorhergehenden Ansprüche, wobei das leitende Strukturelement (60, 62, 64) und die Durchkontaktierung (70, 72, 74) in einer dielektrischen Schicht (42, 44, 46) angeordnet sind.
  5. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der vorhergehenden Ansprüche, wobei das leitende Strukturelement (60, 62, 64) ein leitendes MEOL-Strukturelement (MEOL: Middle End of Line) ist.
  6. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der Ansprüche 1 bis 4, wobei das leitende Strukturelement (60, 62, 64) ein leitendes BEOL-Strukturelement (BEOL: Back End of Line) ist.
  7. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der vorhergehenden Ansprüche, die weiterhin eine leitfähige Verbindung aufweist, die über der Durchkontaktierung (70, 72, 74) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) die leitfähige Verbindung (80, 82, 84) mit dem leitfähigen Strukturelement (60, 62, 64) verbindet.
  8. Zwischenverbindungsstruktur (100A, 100B, 100C) mit: einem cobalthaltigen Vorrichtungskontakt (60, 62, 64), der auf einem integrierten Schaltkreiselement (10) angeordnet ist; einer dielektrischen Schicht (46), die über dem cobalthaltigen Vorrichtungskontakt (60, 62, 64) angeordnet ist; und einer Durchkontaktierung (70, 72, 74), die in der dielektrischen Schicht (46) angeordnet ist, wobei die Durchkontaktierung (70, 72, 74) Folgendes aufweist: eine titanhaltige Sperrschicht (112), die über von der dielektrischen Schicht (46) definierten Seitenwandflächen und über einer von dem cobalthaltigen Vorrichtungskontakt (60, 62, 64) definierten Unterseite angeordnet ist, eine titan- und stickstoffhaltige Sperrschicht (114), die über der titanhaltigen Sperrschicht (112) angeordnet ist, und eine massive Schicht (120), die über der titan- und stickstoffhaltigen Sperrschicht angeordnet ist, wobei der cobalthaltige Vorrichtungskontakt (60, 62, 64) Folgendes aufweist: eine cobalthaltige Kontaktschicht (102); und eine Verkappungsschicht (104), die auf der cobalthaltigen Kontaktschicht (102) angeordnet ist, wobei die Verkappungsschicht (104) Cobaltsilizid aufweist und die Durchkontaktierung (70, 72, 74) durch die Verkappungsschicht (104) bis zu der cobalthaltigen Kontaktschicht (102) verläuft.
  9. Zwischenverbindungsstruktur (100A, 100B, 100C) nach Anspruch 8, die weiterhin eine kupferhaltige leitfähige Verbindung (80, 82, 84) aufweist, wobei die Durchkontaktierung (70, 72, 74) den cobalthaltigen Vorrichtungskontakt (60, 62, 64) mit der kupferhaltigen leitfähigen Verbindung (80, 82, 84) verbindet.
  10. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der Ansprüche 8 oder 9, wobei die titanhaltige Sperrschicht (112) mittels eines physikalischen Aufdampfprozesses hergestellt ist.
  11. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der Ansprüche 8 bis 10, wobei die titan- und stickstoffhaltige Sperrschicht (114) mittels eines chemischen Aufdampfprozesses hergestellt ist.
  12. Zwischenverbindungsstruktur (100A, 100B, 100C) nach einem der Ansprüche 8 bis 11, wobei die massive Schicht (120) Folgendes aufweist: eine wolframhaltige Seed-Schicht (122); und eine wolframhaltige massive Schicht (124), die auf der wolframhaltigen Seed-Schicht (122) angeordnet ist.
  13. Zwischenverbindungsstruktur (100A, 100B, 100C) nach Anspruch 12, wobei die wolframhaltige Seed-Schicht (122) mittels eines Atomlagenabscheidungsprozesses hergestellt ist und die wolframhaltige massive Schicht (122) mittels eines chemischen Aufdampfprozesses hergestellt ist.
  14. Verfahren (250) mit den folgenden Schritten: Herstellen (252) einer Durchkontaktierungsöffnung (340) in einer dielektrischen Schicht (330), wobei die Durchkontaktierungsöffnung (340) Seitenwände (334, 336), die von der dielektrischen Schicht (330) definiert werden, und eine Unterseite (338) hat, die von einem Cobalt-Kontaktelement definiert wird; Herstellen (254) einer ersten Durchkontaktierungssperrschicht (350) über den Seitenwänden (334, 336) und der Unterseite (338), wobei die erste Durchkontaktierungssperrschicht (350) Titan aufweist; Herstellen (256) einer zweiten Durchkontaktierungssperrschicht (352) über der ersten Durchkontaktierungssperrschicht (350), wobei die zweite Durchkontaktierungssperrschicht (352) Titan und Stickstoff aufweist; und Herstellen einer Verkappungsschicht (324) über dem Cobalt-Kontaktelement, wobei die Verkappungsschicht Cobaltsilizid aufweist und die Durchkontaktierungsöffnung (340) durch die Verkappungsschicht (324) verläuft.
  15. Verfahren (250) nach Anspruch 14, wobei das Herstellen (254) der ersten Durchkontaktierungssperrschicht (350) das Durchführen eines physikalischen Aufdampfprozesses umfasst.
  16. Verfahren (250) nach Anspruch 14 oder 15, wobei das Herstellen (256) der zweiten Durchkontaktierungssperrschicht (352) das Durchführen eines chemischen Aufdampfprozesses umfasst.
DE102017120565.9A 2017-08-31 2017-09-07 Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung Active DE102017120565B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/692,212 2017-08-31
US15/692,212 US10553481B2 (en) 2017-08-31 2017-08-31 Vias for cobalt-based interconnects and methods of fabrication thereof

Publications (2)

Publication Number Publication Date
DE102017120565A1 DE102017120565A1 (de) 2019-02-28
DE102017120565B4 true DE102017120565B4 (de) 2020-08-13

Family

ID=65321206

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102017120565.9A Active DE102017120565B4 (de) 2017-08-31 2017-09-07 Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung

Country Status (5)

Country Link
US (4) US10553481B2 (de)
KR (1) KR102096972B1 (de)
CN (1) CN109427734B (de)
DE (1) DE102017120565B4 (de)
TW (1) TWI650842B (de)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10553481B2 (en) * 2017-08-31 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
US10796995B2 (en) * 2017-11-29 2020-10-06 Tohoku University Semiconductor devices including a first cobalt alloy in a first barrier layer and a second cobalt alloy in a second barrier layer
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US20220285276A1 (en) * 2019-08-02 2022-09-08 The Research Foundation For Suny Semiconducting devices, back end of line portions for semiconducting devices, and dielectric materials incorporating deuterium
US11315829B2 (en) 2019-08-26 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphous layers for reducing copper diffusion and method forming same
US11424185B2 (en) * 2019-12-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11791204B2 (en) * 2020-04-21 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with connecting structure having a doped layer and method for forming the same
TWI784450B (zh) 2020-04-28 2022-11-21 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US11581259B2 (en) 2020-06-25 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid conductive structures
US20220115509A1 (en) * 2020-10-14 2022-04-14 Changxin Memory Technologies, Inc. Semiconductor device and forming method thereof
US20240194605A1 (en) * 2022-12-12 2024-06-13 Applied Materials, Inc. Post-treatment for removing residues from dielectric surface

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140327140A1 (en) * 2013-05-03 2014-11-06 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
US9721889B1 (en) * 2016-07-26 2017-08-01 Globalfoundries Inc. Middle of the line (MOL) metal contacts

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020033533A1 (en) * 1994-11-14 2002-03-21 Marvin Liao Interconnect structure for use in an integrated circuit
US6333255B1 (en) * 1997-08-21 2001-12-25 Matsushita Electronics Corporation Method for making semiconductor device containing low carbon film for interconnect structures
KR20010048188A (ko) 1999-11-25 2001-06-15 윤종용 텅스텐 플러그 형성방법
KR100400248B1 (ko) * 2001-04-06 2003-10-01 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US6605874B2 (en) * 2001-12-19 2003-08-12 Intel Corporation Method of making semiconductor device using an interconnect
JP2004031586A (ja) * 2002-06-25 2004-01-29 Sony Corp 半導体装置の製造方法
US7233073B2 (en) * 2003-07-31 2007-06-19 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7319065B1 (en) * 2003-08-08 2008-01-15 Advanced Micro Devices, Inc. Semiconductor component and method of manufacture
JP2006190839A (ja) * 2005-01-06 2006-07-20 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7329599B1 (en) * 2005-03-16 2008-02-12 Advanced Micro Devices, Inc. Method for fabricating a semiconductor device
US20070066060A1 (en) 2005-09-19 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication methods thereof
US20090045515A1 (en) * 2007-08-16 2009-02-19 Texas Instruments Incorporated Monitoring the magnetic properties of a metal layer during the manufacture of semiconductor devices
US8551874B2 (en) * 2010-05-08 2013-10-08 International Business Machines Corporation MOSFET gate and source/drain contact metallization
US8765600B2 (en) * 2010-10-28 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure for reducing gate resistance and method of making the same
US20130193489A1 (en) * 2012-01-30 2013-08-01 Globalfoundries Inc. Integrated circuits including copper local interconnects and methods for the manufacture thereof
US8785285B2 (en) * 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9514986B2 (en) * 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US9287170B2 (en) 2013-11-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Contact structure and formation thereof
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9472502B1 (en) 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
KR102366295B1 (ko) * 2015-09-15 2022-02-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9553265B1 (en) 2016-01-14 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device with data storage layer having increased height
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US10043708B2 (en) * 2016-11-09 2018-08-07 Globalfoundries Inc. Structure and method for capping cobalt contacts
KR102292645B1 (ko) * 2017-03-09 2021-08-24 삼성전자주식회사 집적회로 소자
US10553481B2 (en) * 2017-08-31 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
KR102376508B1 (ko) * 2017-11-16 2022-03-18 삼성전자주식회사 집적회로 장치 및 그 제조 방법
US11127684B2 (en) * 2019-10-18 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Low-resistance interconnect structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140327140A1 (en) * 2013-05-03 2014-11-06 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
US9721889B1 (en) * 2016-07-26 2017-08-01 Globalfoundries Inc. Middle of the line (MOL) metal contacts

Also Published As

Publication number Publication date
US20220375790A1 (en) 2022-11-24
DE102017120565A1 (de) 2019-02-28
TWI650842B (zh) 2019-02-11
US20240194525A1 (en) 2024-06-13
US20190067093A1 (en) 2019-02-28
US10553481B2 (en) 2020-02-04
CN109427734B (zh) 2021-07-23
KR20190024532A (ko) 2019-03-08
US11404309B2 (en) 2022-08-02
US20200126855A1 (en) 2020-04-23
TW201913935A (zh) 2019-04-01
CN109427734A (zh) 2019-03-05
US11908735B2 (en) 2024-02-20
KR102096972B1 (ko) 2020-04-06

Similar Documents

Publication Publication Date Title
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102017120846B4 (de) Interconnect-Struktur für Rippen-Feldeffekttransistor und Verfahren zur Herstellung
DE102018107927B4 (de) Verbindungsstruktur für Logikschaltkreis
DE102019116996A1 (de) Halbleiterstruktur mit luftspalt und verfahren zum abdichten des luftspalts
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102019129121B4 (de) Niederohmige Verbindungsstrukturen
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102017124072A1 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019116588A1 (de) Bulkhalbleitersubstrat, das zum darlegen von halbleiter-auf-isolator-verhalten konfiguriert ist
DE102021111813A1 (de) Multigate-vorrichtung mit luftspaltabstandhalter und rückseitigem schienenkontakt und deren herstellungsverfahren
DE102019130454A1 (de) Gate-strukturen mit neutralen zonen zur minimierung von metallischen gate-grenzeffekten und verfahren zu deren herstellung
DE102014119644B4 (de) Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht und Verfahren
DE102021116974A1 (de) Multi-gate-vorrichtungen mit variierenden kanalschichten
DE102021110539A1 (de) Selfausrichtendes metall-gate für eine multi-gate-vorrichtung und verfahren zu dessen herstellung
DE102013105974A1 (de) Dielektrikum mit Mehrfachzusammensetzung für Halbleitereinrichtung
DE102023100726A1 (de) Isolation für multigate-vorrichtungen
DE102022100035A1 (de) Silizium-durchkontaktierungen und verfahren zu deren herstellung
DE102018124812B4 (de) Gate-dielektrium wahrender gate-schneidprozess
DE102021119378A1 (de) Epitaktische source/drain-strukturen fürmultigate-vorrichtungen und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R081 Change of applicant/patentee

Owner name: PARABELLUM STRATEGIC OPPORTUNITIES FUND LLC, W, US

Free format text: FORMER OWNER: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., HSINCHU, TW