DE102014119648B4 - METALL-GATE-STAPEL MIT TaAlCN-SCHICHT - Google Patents

METALL-GATE-STAPEL MIT TaAlCN-SCHICHT Download PDF

Info

Publication number
DE102014119648B4
DE102014119648B4 DE102014119648.1A DE102014119648A DE102014119648B4 DE 102014119648 B4 DE102014119648 B4 DE 102014119648B4 DE 102014119648 A DE102014119648 A DE 102014119648A DE 102014119648 B4 DE102014119648 B4 DE 102014119648B4
Authority
DE
Germany
Prior art keywords
layer
blocking
multifunction
work function
taalcn
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102014119648.1A
Other languages
English (en)
Other versions
DE102014119648A1 (de
Inventor
Shiu-Ko Jangjian
Ting-Chun Wang
Chi-Cherng Jeng
Chi-Wen Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/328,299 external-priority patent/US9337303B2/en
Priority claimed from US14/532,228 external-priority patent/US9337192B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102014119648A1 publication Critical patent/DE102014119648A1/de
Application granted granted Critical
Publication of DE102014119648B4 publication Critical patent/DE102014119648B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Integrierte Schaltungsvorrichtung (200), umfassend:eine Halbleiterträgerschicht (210); undeinen Gate-Stapel (220), der über der Halbleiterträgerschicht (210) angeordnet ist,wobei der Gate-Stapel (220) enthält:eine dielektrische Gate-Schicht (222, 224), die über der Halbleiterträgerschicht (210) angeordnet ist;eine Multifunktions-Blockierungs-/Benetzungsschicht (242), die über der dielektrischen Gate-Schicht angeordnet ist, wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) Tantalaluminiumcarbonnitrid (TaAlCN) umfasst;eine Austrittsarbeitsschicht (244), die über der Multifunktions-Blockierungs-/Benetzungsschicht (242) angeordnet ist; undeine leitende Schicht (246), die über der Austrittsarbeitsschicht (244) angeordnet ist;wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) eine atomare Stickstoffkonzentration und eine atomare Kohlenstoffkonzentration hat, die verhindern,dass Metallunreinheiten in die dielektrische Gate-Schicht eindringen;wobei die atomare Stickstoffkonzentration 5% bis 15% ist und die atomare Kohlenstoffkonzentration 5% bis 20% ist; undwobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) ein Ta:Al Verhältnis von 1:1 bis 1:3 hat.

Description

  • HINTERGRUND
  • Die Industrie der integrierten Halbleiterschaltung (IC) hat ein schnelles Wachstum erfahren. Technologische Fortschritte in IC-Materialien und Gestaltung haben Generationen von ICs produziert, wobei jede Generation kleinere und komplexere Schaltungen als die vorangehende Generation hat. Diese Vorteile haben die Komplexität einer Bearbeitung und Herstellung von ICs erhöht und damit diese Fortschritte umgesetzt werden können, sind ähnliche Entwicklungen in der IC-Bearbeitung und Herstellung notwendig. Im Verlauf der IC-Entwicklung hat die Funktionsdichte (d.h., die Anzahl miteinander verbundener Vorrichtungen pro Chipfläche) allgemein zugenommen, während die Geometriegröße (d.h., die kleinste Komponente (oder Leitung) die mit einem Herstellungsprozess erzeugt werden kann) abgenommen hat. Dieser Herunterskalierungsprozess bietet im Allgemeinen Vorteile durch Erhöhung einer Produktionseffizienz und Senkung damit verbundener Kosten. Ein solches Herunterskalieren erhöht auch die Komplexität der Bearbeitung und Herstellung von ICs und damit diese Fortschritte umgesetzt werden können, sind ähnliche Entwicklungen in der IC-Bearbeitung und -Herstellung erforderlich.
  • Aus der US 2010/0 048 009 A1 ist eine Schaltungsvorrichtung bekannt, die ein Substrat, eine dielektrische Schicht, und eine Al-dotierte Elektrodenschicht umfasst. Die Elektrodenschicht umfasst eine Metalcarbonitridschicht und eine aluminiumhaltige Atomschicht. Die Metalcarbonitridschicht kann Tantaliumcarbonnitrid (AlTaCN) enthalten.
  • Die US 2011/0101470 A1 offenbart ein Verfahren zur Ausbildung einer Halbleitervorrichtung, die ein Substrat und eine Gatestruktur umfasst. Die Gatestruktur umfassst eine Materialschicht und eine Ätzstopschicht, die in einer Öffnung ausgebildet werden.
  • Figurenliste
  • Die vorliegende Offenbarung wird am besten aus der folgenden ausführlichen Beschreibung verständlich, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass gemäß der Standardpraxis in der Industrie verschiedene Merkmale nicht im Maßstab gezeichnet sind und nur Veranschaulichungszwecken dienen. Tatsächlich können die Abmessungen verschiedener Merkmale für eine klare Besprechung willkürlich vergrößert oder verkleinert werden.
    • 1 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen einer integrierten Schaltungsvorrichtung gemäß unterschiedlichen Ausführungsformen der Erfindung.
    • 2-7 sind schematische Querschnittsansichten einer integrierten Schaltungsvorrichtung während unterschiedlicher Stufen des Verfahrens von 1 gemäß unterschiedlichen Ausführungsformen der Erfindung.
    • 8-11 sind schematische Querschnittsansichten einer integrierten Schaltungsvorrichtung, die gemäß unterschiedlichen Ausführungsformen konstruiert ist.
    • 12 ist eine schematische Draufsicht auf eine integrierte Schaltungsvorrichtung gemäß einigen Ausführungsformen.
    • 13-14 sind schematische Querschnittsansichten der integrierten Schaltungsvorrichtung von 12 gemäß einigen Ausführungsformen.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • Ein herkömmlicher Gate-Stapel enthält eine dielektrische Gate-Schicht, eine Austrittsarbeitsschicht, die über der dielektrischen Gate-Schicht angeordnet ist; eine Blockierungsschicht, wie eine Tantalnitrid- (TaN) Blockierungsschicht, die über der Austrittsarbeitsschicht angeordnet ist; eine Benetzungsschicht, wie eine Titan- (Ti) Benetzungsschicht, die über der Blockierungsschicht angeordnet ist; und eine leitende Schicht, wie eine leitende Aluminium- (Al) Schicht, die über der Benetzungsschicht angeordnet ist. Die TaN-Blockierungsschicht sieht weniger als die wünschenswerte Blockierungskapazität vor und es wurde beobachtet, dass Aluminiumunreinheiten von der leitenden Aluminiumschicht während der Bearbeitung in die dielektrische Gate-Schicht eindringen können. Obwohl die Ti-Benetzungsschicht eine ausreichende Benetzbarkeit für die leitende Al-Schicht hat, wurde ferner beobachtet, dass Phasentransformationen zwischen der Ti-Benetzungsschicht und der leitenden Al-Schicht während der Bearbeitung auftreten, was dazu führt, dass Teile der TaN-Blockierungsschicht während der Bearbeitung mit Ti interagieren, was schließlich zu fehlenden Teilen der TaN-Blockierungsschicht führt (mit anderen Worten, Teile der TaN-Blockierungsschicht werden während der Bearbeitung verbraucht). Die fehlenden Teile der TaN-Blockierungsschicht minimieren ferner die Fähigkeit der TaN-Blockierungsschicht zu verhindern, dass Aluminiumunreinheiten in die dielektrische Gate-Schicht eindringen. Solche Phasentransformationen und fehlenden Teile der TaN-Blockierungsschicht wurden auch beobachtet, wenn der Gate-Stapel eine Tantalaluminium- (TaAl) Benetzungsschicht enthält.
  • Zur Behandlung solcher Themen ersetzt die vorliegenden Offenbarung die separate TaN-Blockierungsschicht und Ti-Benetzungsschicht herkömmlicher Gate-Stapel durch die TaAlCN-Multifunktions-Blockierungs-/Benetzungsschicht 242. Die Blockierungsfähigkeit von TaAlCN überschreitet die Blockierungsfähigkeit von TiN und TaN (insbesondere, Blockierungsfähigkeit von TaAlCN > TaAlC > > TaN). Ferner sieht TaAlCN eine ausreichende Benetzbarkeit für eine leitende Al-Schicht vor. Daher sieht die TaAlCN-Multifunktions-Blockierungs-/Benetzungsschicht eine verbesserte Blockierungsfähigkeit und Benetzbarkeit vor, die zu einem verringerten Leckstrom und einer verbesserten Vorrichtungsleistung im Vergleich zu Gate-Stapeln führt, die eine herkömmliche TaN-Blockierungsschicht/Ti-Benetzungsschicht enthalten. Insbesondere ist TaAlCN im Sinne einer Bildung, von Partikel/Rückstandsfragen, die in der integrierten Schaltungsvorrichtung 200 und dem Verfahren 100 zu deren Herstellung gemäß unterschiedlichen Ausführungsformen behandelt wird, eine größere Herausforderung als andere Materialien, wie jene, die Titanaluminiumcarbonnitrid (TiAlCN) enthalten. Unterschiedliche Ausführungsformen können verschiedene Vorteile bieten und es ist kein besonderer Vorteil unbedingt für eine Ausführungsform erforderlich.
  • Ein Aspekt der Erfindung betrifft eine integrierte Schaltungsvorrichtung, die Folgendes enthält: eine Halbleiterträgerschicht; und einen Gate-Stapel, der über der Halbleiterträgerschicht angeordnet ist. Der Gate-Stapel enthält ferner eine dielektrische Gate-Schicht, die über der Halbleiterträgerschicht angeordnet ist; eine Multifunktions-Blockierungs-/Benetzungsschicht, die über der dielektrischen Gate-Schicht angeordnet ist; wobei die Multifunktions-Blockierungs-/Benetzungsschicht Tantalaluminiumcarbonnitrid (TaAlCN) umfasst; eine Austrittsarbeitsschicht, die über der Multifunktions-Blockierungs-/Benetzungsschicht angeordnet ist; und eine leitende Schicht, die über der Austrittsarbeitsschicht angeordnet ist. Die Multifunktions-Blockierungs-/Benetzungsschicht hat eine atomare Stickstoffkonzentration und atomare Kohlenstoffkonzentration, die verhindern, dass Metallunreinheiten in die dielektrische Gate-Schicht eindringen. Die atomare Stickstoffkonzentration ist 5% bis etwa 15% ist, und die atomare Kohlenstoffkonzentration ist 5% bis 20%. Das Ta:Al-Verhältnis ist von 1:1 bis 1:3.
  • Ein weiterer Aspekt der Erfindung betrifft eine integrierte Schaltungsvorrichtung, die Folgendes entält: eine Halbleiterträgerschicht mit einer ersten Region für einen n-Kanal-Feldeffekttransistor und einer zweiten Region für einen p-Kanal-Feldeffekttransistor; einen ersten Gate-Stapel, der über einer Halbleiterträgerschicht in der ersten Region angeordnet ist; und einen zweiten Gate-Stapel, der über der Halbleiterträgerschicht in der zweiten Region angeordnet ist. Der erste Gate-Stapel enthält eine Schicht mit hoher Dielektrizitätskonstante, die über der Trägerschicht angeordnet ist, eine erste Tantalaluminiumcarbonnitrid- (TaAlCN) Schicht, die über der Schicht mit hoher Dielektrizitätskonstante angeordnet ist, und eine n-Austrittsarbeits- (nWF) Metallschicht mit einer ersten Austrittsarbeit, die direkt auf der TaAlCN-Schicht angeordnet ist. Der zweite Gate-Stapel enthält die Schicht mit hoher Dielektrizitätskonstante, die über der Halbleiterträgerschicht angeordnet ist, die erste TaAlCN-Schicht, die über der Schicht mit hoher Dielektrizitätskonstante angeordnet ist, und eine p-Austrittsarbeits- (pWF) Metallschicht mit einer zweiten Austrittsarbeit, die direkt auf der TaAlCN-Schicht angeordnet ist, wobei die zweite Austrittsarbeit größer als die erste Austrittsarbeit ist.
  • Ein weiterer Aspekt der Erfindung betrifft ein Verfahren, das Folgendes enthält: Bilden eines Gate-Stapels über einer Halbleiterträgerschicht; Bilden einer dielektrischen Zwischenschicht (ILD), die den Gate-Stapel umgibt; zumindest teilweise Entfernen des Gate-Stapels, wodurch eine Öffnung in der ILD-Schicht gebildet wird; und Bilden einer Multifunktions-Blockierungs-/Benetzungsschicht, einer Austrittsarbeitsschicht über der Multifunktions-Blockierungs-/Benetzungsschicht und einer leitenden Schicht über der Austrittsarbeitsschicht. Die Multifunktions-Blockierungs-/Benetzungsschicht, die Austrittsarbeitsschicht und die leitende Schicht füllen die Öffnung. Die Multifunktions-Blockierungs-/Benetzungsschicht enthält eine erste Tantalaluminiumcarbonnitrid- (TaAlCN) Schicht. Das Bilden der Multifunktions-Blockierungs-/Benetzungsschicht entält ein Bilden der ersten TaAlCN-Schicht mit einer atomaren Stickstoffkonzentration von 5% bis 15% und einer atomaren Kohlenstoffkonzentration von 5% bis 20% und einem Ta:Al-Verhältnis von 1:1 bis 1:3.
  • AUSFÜHRLICHE BESCHREIBUNG
  • 1 ist ein Ablaufdiagramm eines Verfahrens 100 zur Herstellung einer integrierten Schaltungsvorrichtung, teilweise oder zur Gänze, gemäß einer Ausführungsform der Erfindung. Das Verfahren 100 beginnt bei Block 110, wo eine Gate-Struktur über einer Trägerschicht gebildet wird. Die Gate-Struktur hat einen Gate-Stapel, der eine Schicht mit hoher Dielektrizitätskonstante enthält, die über der Trägerschicht angeordnet ist, und ein Dummy-Gate, das über der Schicht mit hoher Dielektrizitätskonstante angeordnet ist. Bei Block 120 wird das Dummy-Gate von der Gate-Struktur entfernt, um eine Öffnung darin zu bilden. Bei Block 130 werden eine Multifunktions-Blockierungs-/Benetzungsschicht, eine Austrittsarbeitsschicht und eine leitende Schicht gebildet, um die Öffnung zu füllen. Die Multifunktions-Blockierungs-/Benetzungsschicht wird über der Schicht mit hoher Dielektrizitätskonstante gebildet, die Austrittsarbeitsschicht wird über der Multifunktions-Blockierungs-/Benetzungsschicht gebildet und die leitende Schicht wird über der Austrittsarbeitsschicht gebildet. Die Multifunktions-Blockierungs-/Benetzungsschicht enthält ein Material, das ausreichend verhindert, dass Metallunreinheiten in die Schicht mit hoher Dielektrizitätskonstante (zum Beispiel von der leitenden Schicht) während der Bearbeitung eindringen (oder dies verringert), während sie eine ausreichende Benetzbarkeit (mit anderen Worten, eine gewünschte Grenzflächenqualität) mit der Austrittsarbeitsschicht vorsieht. Das Verfahren 100 kann bei Block 140 mit der Fertigstellung der integrierten Schaltungsvorrichtung fortfahren. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 vorgesehen sein und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens 100 ersetzt oder eliminiert werden.
  • In einigen Ausführungsform können zwischen dem Vorgang 110 und dem Vorgang 120 die Source- und Drain-Merkmale in den aktiven Regionen beider Seiten des Dummy-Gates gebildet werden. In einem Beispiel wird ein Ionenimplantationsprozess zum Einführen eines Dotierungsmittels (wie Phosphor) zur Bildung der Source- und Drain-Merkmale durchgeführt und es kann ein Ausheilungsprozess folgen, um das Dotierungsmittel zu aktivieren. In einem anderen Beispiel wird eine Prozedur implementiert, um Source und Drains zu bilden. Die Prozedur kann ein Durchführen eines ersten Ionenimplantationsprozesses zur Bildung leicht dotierter Drain- (LDD) Merkmale; Bildung von Gate-Abstandhaltern durch Abscheidung und anisotropes Ätzen; Durchführen eines zweiten Ionenimplantationsprozesses zur Bildung stark dotierter Source und Drains, die mit den Gate-Abstandhaltern ausgerichtet sind, enthalten; und es folgt ein Ausheilungsprozess, um das Dotierungsmittel zu aktivieren. In einem anderen Beispiel werden Source und Drain durch eine passende Prozedur mit Verformungswirkung gebildet. Die Prozedur kann enthalten: die Trägerschicht in den Source- und Drainregionen wird so geätzt, dass sie vertieft ist; und ein Halbleitermaterial, das sich von jenem der Trägerschicht unterscheidet, wird in den Vertiefungen durch selektives Epitaxiewachstum mit Dotierung vor Ort epitaxial wachsen gelassen. Das Halbleitermaterial wird so gewählt, dass es eine passende Verformung beim Kanal vorsieht, um eine Mobilität zu verbessern, wie eine Zugverformung beim n-Kanal-Feldeffekttransistor unter Verwendung von Siliziumcarbid und eine Druckverformung zum p-Kanal-Feldeffekttransistor unter Verwendung von Siliziumgermanium.
  • Das Verfahren 100 kann unterschiedliche Ausführungsformen haben. In einigen Ausführungsformen kann das Verfahren 100 alternativ einen High-k-Last-Prozess implementieren, in dem die Schicht mit hoher Dielektrizitätskonstante nach der Entfernung des Dummy-Gates gebildet wird. In weiteren Ausführungsformen wird bei Block 110 ein Gate-Stapel, der ein Gate-Dielektrikum (wie Siliziumoxid) und eine Gate-Elektrode (wie Polysilizium) enthält, abgeschieden und strukturiert. Bei Block 120 werden sowohl das Gate-Dielektrikum wie auch die Gate-Elektrode entfernt, wodurch ein Gate-Graben entsteht. Bei Block 130 werden eine Schicht mit hoher Dielektrizitätskonstante und eine Gate-Elektrode in dem Gate-Graben durch Abscheiden und Polieren, wie chemisch-mechanisches Polieren (CMP) gebildet. Die Gate-Elektrode enthält eine Multifunktions-Blockierungs-/Benetzungsschicht, eine Austrittsarbeitsschicht und eine leitende Schicht.
  • 2-7 sind schematische Querschnittsansichten einer integrierten Schaltungsvorrichtung 200, teilweise oder zur Gänze, in unterschiedlichen Herstellungsstufen gemäß dem Verfahren 100 von 1. 2-7 wurden der Deutlichkeit wegen vereinfacht, um die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser zu verstehen. Die integrierte Schaltungsvorrichtung 200 ist unter Bezugnahme auf 2-7 beschrieben und das Verfahren 100 ist ausführlich wie auch gemäß einigen Ausführungsformen ausführlicher beschrieben.
  • In der dargestellten Ausführungsform enthält die integrierte Schaltungsvorrichtung 200 eine Feldeffekttransistorvorrichtung, wie einen n-Kanal-Feldeffekttransistor (NFET) oder einen p-Kanal-Feldeffekttransistor (PFET). Die integrierte Schaltungsvorrichtung 200 kann in Speicherzellen und/oder logischen Schaltungen enthalten sein, die passive Komponenten wie Widerstandselemente, Kondensatoren, Induktoren und/oder Sicherungen; aktive Komponenten, wie Metalloxide-Halbleiter-Feldeffekttransistoren(MOSFETs), komplementäre Metalloxide-Halbleitertransistoren (CMOSs), Hochspannungstransistoren und/oder Hochfrequenztransistoren; andere geeignete Komponenten; oder Kombinationen davon enthalten. Zusätzliche Merkmale können in der integrierten Schaltungsvorrichtung 200 hinzugefügt werden und einige der in der Folge beschriebenen Merkmale können in anderen Ausführungsformen der integrierten Schaltungsvorrichtung 200 ersetzt oder eliminiert sein.
  • In 2 enthält die integrierte Schaltungsvorrichtung 200 eine Trägerschicht 210. In der dargestellten Ausführungsform ist die Trägerschicht 210 eine Halbleiterträgerschicht, die Silizium enthält. Alternativ oder zusätzlich enthält die Trägerschicht 210 einen anderen elementaren Halbleiter, wie Germanium; einen Verbindungshalbleiter, einschließlich Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. In einer weiteren Alternative ist die Trägerschicht 210 ein Halbleiter auf Isolator (SOI). In anderen Alternativen kann die Halbleiterträgerschicht 210 eine dotierte Epi-Schicht, eine Gradientenhalbleiterschicht und/oder eine Halbleiterschicht, die über einer anderen Halbleiterschicht einer anderen Art liegt, wie eine Siliziumschicht auf einer Siliziumgermaniumschicht, enthalten. Die Trägerschicht 210 enthält unterschiedliche Dotierungsausgestaltungen, abhängig von Gestaltungsanforderungen der integrierten Schaltungsvorrichtung 200. Zum Beispiel kann die Trägerschicht 210 unterschiedliche dotierte Regionen enthalten, die mit Dotierungsmitteln vom p-Typ, wie Bor oder BF2; Dotierungsmitteln vom n-Typ, wie Phosphor oder Arsen; oder Kombinationen davon dotiert sind. Die dotierten Regionen können auf der Halbleiterträgerschicht, in einer P-Wannenstruktur, in einer N-Wannenstruktur, in einer Dual-Wannenstruktur oder unter Verwendung einer erhabenen Struktur gebildet sein.
  • Ein Isolationsmerkmal 212 ist in der Trägerschicht 210 angeordnet, um unterschiedliche Regionen und/oder Vorrichtungen der Trägerschicht 210 zu isolieren. Das Isolationsmerkmal 212 verwendet eine Isolationstechnologie, wie lokale Oxidation von Silizium (LOCOS) und/oder Isolation flacher Gräben (STI), um die unterschiedlichen Regionen zu definieren und elektrisch zu isolieren. Das Isolationsmerkmal 212 enthält Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, andere geeignete dielektrische Materialien oder Kombinationen davon. Das Isolationsmerkmal 212 wird durch jeden geeigneten Prozess gebildet. Als ein Beispiel enthält eine Bildung von STI-Merkmalen die Verwendung eines Lithographieprozesses zum Freilegen eines Teils der Trägerschicht, Ätzen eines Grabens in den freigelegten Teil der Trägerschicht (zum Beispiel mittels Trockenätzens und/oder Nassätzens), Auffüllen des Grabens (zum Beispiel mittels eines chemischen Dampfphasenabscheidungsprozesses) mit einem oder mehreren dielektrischen Materialien, und Ebnen der Trägerschicht und Entfernen überschüssiger Teile des dielektrischen Materials oder der dielektrischen Materialien durch einen Polierprozess wie CMP. In einigen Beispielen kann der gefüllte Graben eine mehrschichtige Struktur haben, wie eine thermische Oxidauskleidungsschicht, die mit Siliziumnitrid oder Siliziumoxid gefüllt ist.
  • In einigen Ausführungsformen hat die Halbleiterträgerschicht eine nicht ebene aktive Region, wie finnenartige aktive Regionen (oder eine Finnenstruktur). Eine finnenartige aktive Region ist ein Merkmal eines Halbleitermaterials, das sich über der ebenen Oberfläche der Halbleiterträgerschicht erstreckt und eine Mehrfach-Oberflächenkopplung zwischen einem Gate und einem entsprechenden Kanal vorsieht. Das Halbleitermaterial der finnenartigen aktiven Regionen kann dasselbe Halbleitermaterial wie jenes der Halbleiterträgerschicht oder aber ein Halbleitermaterial sein, das sich von jener der Trägerschicht unterscheidet. In einigen Beispielen können die finnenartigen aktiven Regionen durch Ätzen, um die STI-Merkmale zu vertiefen, oder durch expitaxiales Wachstum eines Halbleitermaterials auf der Halbleiterträgerschicht mittels einer passenden Technik, wie eines selektiven Epitaxiewachstums, gebildet werden.
  • Eine Gate-Struktur 220 wird über der Trägerschicht 210 angeordnet. In der dargestellten Ausführungsform enthält die Gate-Struktur 220 einen Gate-Stapel mit einer dielektrischen Grenzflächenschicht 222, einer Schicht mit hoher Dielektrizitätskonstante 224 und einer Dummy-Gate-Schicht 226. Die dielektrische Grenzflächenschicht 222 und die Schicht mit hoher Dielektrizitätskonstante 224 können gemeinsam als eine dielektrische Gate-Schicht der Gate-Struktur 220 bezeichnet werden. Der Gate-Stapel kann zusätzliche Schichten enthalten, wie eine Schutzschicht, eine Diffusions-/Sperrschicht, eine dielektrische Schicht, eine Metallschicht, andere geeignete Schichten oder Kombinationen davon. Die Gate-Struktur 220 wird durch einen Prozess gebildet, der Abscheidungsprozesse, Lithographiestrukturierungsprozesse, Ätzprozesse, andere geeignete Prozesse oder Kombinationen davon enthält. Die Abscheidungsprozesse enthalten physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaverstärkte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekularorganische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon. Die Lithographiestrukturierungsprozesse enthalten eine Fotolackbeschichtung (zum Beispiel Rotationsbeschichtung), ein Weichbacken, eines Maskenausrichtung, Belichtung, ein Backen nach Belichtung, ein Entwickeln des Fotolackes, Spülen, Trocknen (zum Beispiel Hartbacken), andere geeignete Prozesse oder Kombinationen davon. Der Lithographiebelichtungsprozess kann durch andere passende Verfahren wie maskenlose Lithographie Elektronenstrahlschreiben, Ionenstrahlschreiben und Molekularprägung implementiert oder ersetzt werden. Die Ätzprozesse enthalten Trockenätzen, Nassätzen oder Kombinationen davon. In einigen Ausführungsformen wird die Gate-Struktur 220 durch eine Prozedur gebildet, die ein Abscheiden unterschiedlicher Gate-Materialschichten; Bilden einer strukturierten Fotolackschicht durch einen Lithografiestrukturierungssprozess; Ätzen der Gate-Materialschichten zur Bildung der Gate-Struktur 220 unter Verwendung der strukturieren Fotolackschicht als Ätzmaske; und Entfernen der strukturierten Fotolackschicht durch Nassabstreifen oder Plasmaveraschen enthält. In einigen anderen Ausführungsformen kann eine Hartmaskenschicht, wie Siliziumnitrid, als Ätzmaske während des Ätzprozesses zur Strukturierung der Gate-Materialschichten verwendet werden. In diesem Fall enthält die Prozedur zur Bildung der Gate-Struktur 220 ein Abscheiden verschiedener Gate-Materialschichten; Abscheiden einer Hartmaskenschicht auf den Gate-Materialschichten; Bilden einer strukturierten Fotolackschicht durch einen Lithografiestrukturierungsprozess; Ätzen zum Strukturieren der Hartmaskenschicht unter Verwendung der strukturierten Fotolackschicht als Ätzmaske; und Ätzen der Gate-Materialschichten zur Bildung der Gate-Struktur 220 unter Verwendung der strukturierten Hartmaskenschicht als Ätzmaske.
  • Die dielektrische Grenzflächenschicht 222 wird über der Trägerschicht 210 angeordnet. In einem Beispiel hat die dielektrische Grenzflächenschicht 222 eine Dicke von etwa 50 nm bis etwa 200 nm. In der dargestellten Ausführungsform ist die dielektrische Grenzflächenschicht 222 eine oxidhältige Schicht, wie eine Siliziumoxid- (SiO2) Schicht oder eine Siliziumoxynitrid- (SiON) Schicht. Die Grenzflächenschicht 222 kann andere geeignete Materialien enthalten. Die dielektrische Grenzflächenschicht 222 wird durch eine chemische Oxidtechnik, thermische Oxidtechnik, Atomlagenabscheidung (ALD), chemische Dampfphasenabscheidung (CVD) oder eine andere geeignete Technik gebildet. Ein Reinigungsprozess, wie ein HF-Last Prä-Gate Reinigungsprozess (zum Beispiel mittels einer Fluorwasserstoff- (FW) Säurelösung) kann durchgeführt werden, bevor die dielektrische Grenzflächenschicht 222 über der Trägerschicht 210 gebildet wird.
  • Die Schicht mit hoher Dielektrizitätskonstante 224 wird über der dielektrischen Grenzflächenschicht 222 angeordnet und die Dummy-Gate-Schicht 226 wird über der Schicht mit hoher Dielektrizitätskonstante 224 angeordnet. Eine Dicke der Schicht mit hoher Dielektrizitätskonstante 224 und der Dummy-Gate-Schicht 226 hängt von Gestaltungsanforderungen der integrierten Schaltungsvorrichtung 200 ab. In einem Beispiel hat die Schicht mit hoher Dielektrizitätskonstante 224 eine Dicke von etwa 50 nm bis etwa 300 nm und die Dummy-Gate-Schicht hat eine Dicke von etwa 3500 nm bis etwa 7000 nm. Die Schicht mit hoher Dielektrizitätskonstante 224 enthält ein dielektrisches Material mit hoher Dielektrizitätskonstante, wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkonoxid, Aluminumoxid, Hafniumdioxid-Aluminiumoxid- (HfO2—Al2O3) Legierung, andere geeignete dielektrische Materialien mit hoher Dielektrizitätskonstante oder Kombinationen davon. Die Dummy-Gate-Schicht 226 enthält ein Material, das für einen Gate-Austauschprozess geeignet ist. Zum Beispiel enthält in der dargestellten Ausführungsform die Dummy-Gate-Schicht 226 Polysilizium.
  • Die Gate-Struktur 220 enthält ferner Abstandshalter 228, die durch einen geeigneten Prozess gebildet werden. Zum Beispiel wird eine dielektrische Schicht, wie eine Siliziumnitridschicht, ganzflächig über der integrierten Schaltungsvorrichtung 200 aufgebracht; und dann wird die Siliziumnitridschicht anisotropisch geätzt, um die Siliziumnitridschicht zu entfernen, um Abstandshalter 228 zu bilden, wie in 2 dargestellt ist. Die Abstandshalter 228 werden neben Seitenwänden des Gate-Stapels (dielektrische Grenzflächenschicht 222, Schicht mit hoher Dielektrizitätskonstante 224 und Dummy-Gate-Schicht 226) der Gate-Struktur 220 positioniert. Alternativ oder zusätzlich enthalten die Abstandshalters 228 ein anderes dielektrisches Material, wie Siliziumoxid, Siliziumcarbonnitrid oder Kombinationen davon.
  • Unterschiedliche Source/Drain-Merkmale 230 können in der Trägerschicht 210 angeordnet werden. Zwischen den Source/Drain-Merkmalen 230 liegt die Gate-Struktur 220. Die Source/Drain Merkmale 230 können leicht dotierte Source- und Drain- (LDD) Regionen und/oder stark dotierte Source- und Drain- (HDD) Regionen enthalten. Die LDD- und/oder HDD-Regionen können durch Ionenimplantation oder Diffusion von Dotierungsmitteln vom n-Typ, wie Phosphor oder Arsen, oder Dotierungsmitteln von p-Typ, wie Bor oder BF2 gebildet werden. Ein Ausheilungsprozess, wie eine schnelle thermische Ausheilung und/oder eine thermische Laserausheilung, kann zur Aktivierung von Dotierungsmittel der LDD- und/oder HDD-Regionen durchgeführt werden. Die LDD- und/oder HDD-Regionen können jederzeit in der dargestellten Ausführungsform ausgeführt werden. Die Source/Drain-Merkmale 230 können erhabene Source/Drain-Merkmale, wie epitaxiale Merkmale (zum Beispiel epitaxiale Siliziumgermaniummerkmale oder epitaxiale Siliziummerkmale) enthalten. Silicidmerkmale können zum Beispiel über den Source/Drain-Merkmalen 230 angeordnet werden, um einen Kontaktwiderstand zu verringern. Die Silicidmerkmale können über den Source- und Drain-Merkmalen durch einen selbstausgerichteten Salizidprozess durchgeführt werden, der ein Abscheiden einer Metallschicht, eine Ausheilung der Metallschicht, so dass die Metallschicht mit Silizium zur Bildung von Silicid reagieren kann, und dann Entfernen der nicht reagierten Metallschicht enthalten kann.
  • Eine dielektrische Schicht 232 wird über der Trägerschicht 210 angeordnet, wie eine dielektrische Zwischenschicht (oder Zwischenebene) (ILD). Die dielektrische Schicht 232 enthält ein dielektrisches Material, wie Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Tetraethylorthosilicat (TEOS) gebildetes Oxid, Phosphosilicatglas (PSG), Borphosphosilicatglas (BPSG), dielektrisches Material mit niedriger Dielektrizitätskonstante, ein anderes geeignetes dielektrisches Material oder Kombinationen davon. Beispielhafte dielektrische Materialien mit niedriger Dielektrizitätskonstante enthalten fluoriniertes Quarzglas (FSG), kohlenstoffdotiertes Siliziumoxid, Black Diamond® (Applied Materials of Santa Clara, Kalif.), Xerogel, Aerogel, amorphen fluorinierten Kohlenstoff, Parylene, BCB (bis-Benzocyclobutene), SiLK (Dow Chemical, Midland, Mich.), Polyimid, andere passende Materialien und/oder Kombinationen davon. Die dielektrische Schicht 232 kann eine mehrschichtige Struktur enthalten, die mehrere dielektrische Materialien enthält. Die dielektrische Schicht 232 wird durch einen geeigneten Prozess zu einer geeigneten Dicke gebildet, einschließlich durch CVD, hochdichte Plasma-CVD, Rotationsbeschichtung und/oder andere geeignete Verfahren. Nach der Abscheidung der dielektrischen Schicht 232 wird ein chemisch-mechanischer Polier- (CMP) Prozess durchgeführt, bis ein oberer Abschnitt der Gate-Struktur 220 erreicht/freigelegt ist. Insbesondere wird ein oberer Abschnitt des Gate-Stapels der Gate-Struktur 220 (hier die Dummy-Gate-Schicht 226) freigelegt, wie in 2 dargestellt. Zusätzliche Schichten können gebildet werden, die über und/oder unter der dielektrischen Schicht 232 liegen.
  • In 3-7 wird ein Gate-Austauschprozess durchgeführt, wobei das Dummy-Gate-Schicht 226 durch ein Metall-Gate ersetzt wird. In 3 wird die Dummy-Gate-Schicht 226 vom Gate-Stapel der Gate-Struktur 220 entfernt, wodurch eine Öffnung (oder ein Gate-Graben) 240 gebildet wird. Die Öffnung 240 legt die dielektrische Gate-Schicht mit hoher Dielektrizitätskonstante 224 frei. Die Dummy-Gate-Schicht 226 kann durch einen Ätzprozess, einen anderen geeigneten Prozess oder Kombinationen davon entfernt werden. In einem Beispiel ätzt ein Ätzprozess selektiv die Dummy-Gate-Schicht 226.
  • In 4 wird eine Multifunktions-Blockierungs-/Benetzungsschicht 242 über der Trägerschicht 210 gebildet, so dass die Multifunktions-Blockierungs-/Benetzungsschicht 242 teilweise die Öffnung 240 füllt. Die Multifunktions-Blockierungs-/Benetzungsschicht 242 wird entlang den Seitenwänden der Gate-Struktur 220 angeordnet, die die Öffnung 240 definieren. In der dargestellten Ausführungsform, wird die Multifunktions-Blockierungs-/Benetzungsschicht 242 über der Schicht mit hoher Dielektrizitätskonstante 224 angeordnet. In einem Beispiel hat die Multifunktions-Blockierungs-/Benetzungsschicht 242 eine Dicke von etwa 300 nm bis etwa 1000 nm. Die Multifunktions-Blockierungs-/Benetzungsschicht 242 dient während der Bearbeitung sowohl als Blockierungs- (oder Sperr-) Schicht wie auch als Benetzungsschicht. Zum Beispiel verhindert oder verringert die Multifunktions-Blockierungs-/Benetzungsschicht 242, dass Metallunreinheiten in dielektrische Schichten eindringen, die unter der Multifunktions-Blockierungs-/Benetzungsschicht 242 angeordnet sind (wie das Gate-Dielektrikum des Gate-Stapels der Gate-Struktur 220), während eine Adhäsion zwischen der darunter liegenden Schicht und der darüber liegenden Schicht verbessert wird. Sie sieht auch eine wünschenswerte Grenzflächenqualität zwischen der Multifunktions-Blockierungs-/Benetzungsschicht 242 und jeder Materialschicht vor, die über der Multifunktions-Blockierungs-/Benetzungsschicht 242 gebildet ist. Daher verhindert oder verringert in der dargestellten Ausführungsform, die Multifunktions-Blockierungs-/Benetzungsschicht 242, dass Metallunreinheiten in die Schicht mit hoher Dielektrizitätskonstante 224 und die dielektrische Grenzflächenschicht 222 eindringen, während eine Adhäsion zwischen darunter und darüber liegenden Schichten verbessert wird, wie der Schicht mit hoher Dielektrizitätskonstante 224 und einer Schicht des Gate-Stapels der Gate-Struktur 220, die über der Multifunktions-Blockierungs-/Benetzungsschicht 242 gebildet ist (wie die Austrittsarbeitsschicht 244). Eine solche Funktionalität ist in der Folge ausführlicher beschrieben.
  • In der dargestellten Ausführungsform enthält die Multifunktions-Blockierungs-/Benetzungsschicht 242 Tantalaluminiumnitrid (TaAlN) und in beispielhaften Ausführungsformen ist das TaAlN als Tantalaluminiumcarbonnitrid (TaAlCN) vorhanden. Eine atomare Konzentration von Stickstoff und Kohlenstoff der TaAlCN-Schicht ist optimiert, so dass die Multifunktions-Blockierungs-/Benetzungsschicht 242 angemessen verhindert oder verringert, dass Metallunreinheiten in darunter liegende dielektrische Schichten eindringen (zum Beispiel die Schicht mit hoher Dielektrizitätskonstante 224 und Grenzflächenschicht 222), während eine Austrittsarbeit der integrierten Schaltungsvorrichtung 200 minimal beeinträchtigt wird. Somit werden die atomare Stickstoffkonzentration und atomare Kohlenstoffkonzentration so gewählt, dass die Blockierungskapazität und die gewünschte Austrittsarbeit ausgeglichen sind. In der dargestellten Ausführungsform enthält die TaAlCN-Schicht eine atomare Stickstoffkonzentration von etwa 5% bis etwa 15% und eine atomare Kohlenstoffkonzentration von etwa 5% bis etwa 20%. Bei geringeren atomaren Stickstoff- und Kohlenstoffkonzentrationen (zum Beispiel weniger als etwa 5%) kann sich die Blockierungskapazität in eine unerwünschte Richtung bewegen, während sie sich näher zu der gewünschten Austrittsarbeit verschiebt. Andererseits verschiebt sich bei höheren atomaren Stickstoff- und Kohlenstoffkonzentrationen (zum Beispiel bei atomaren Stickstoffkonzentrationen von mehr als 15% und atomaren Kohlenstoffkonzentrationen von mehr als 20%) das Gleichgewicht weg von der gewünschten Austrittsarbeit und bewegt sich zur gewünschten Blockierungskapazität. In der dargestellten Ausführungsform enthält das TaAlCN-Verhältnis ein Ta:Al-Verhältnis, das die Grenzflächenqualität (die als Benetzbarkeit bezeichnet werden kann) zwischen der Multifunktions-Blockierungs-/Benetzungsschicht 242 und einer darüber liegenden Schicht, die Aluminium enthält, verbessert. Zum Beispiel enthält die TaAlCN-Schicht 242 ein Ta:Al-Verhältnis von etwa 1:1 bis etwa 1:3.
  • Der Prozess, der zur Bildung der Multifunktions-Blockierungs-/Benetzungsschicht 242, hier der TaAlCN-Schicht, verwendet wird, ist so abgestimmt, dass eine optimale Blockierungs- und Benetzbarkeitsfunktionalität der Multifunktions-Blockierungs-/Benetzungsschicht 242 erreicht wird. In der dargestellten Ausführungsform wird eine physikalische Dampfphasenabscheidung (PVD) zur Bildung der Multifunktions-Blockierungs-/Benetzungsschicht 242 verwendet. Unterschiedliche Prozessparameter des PVD-Prozesses, wie Trägerschichttemperatur, Art des Gases, Gasströmungsrate, Kammerdruck, Gleichstromversorgung, Vorspannungsversorgung, Prozessdauer, andere geeignete Parameter oder Kombinationen davon, werden zum Erreichen der gewünschten Blockierungs- und Benetzbarkeitsfunktionalität abgestimmt. Alternativ wird eine Atomlagenabscheidung zur Bildung der Multifunktions-Blockierungs-/Benetzungsschicht 242 verwendet. Unterschiedliche Prozessparameter des ALD-Prozesses, wie Trägerschichttemperatur, Art des Gases, Gasströmungsrate, Kammerdruck, Prozessdauer, andere geeignete Parameter oder Kombinationen davon, werden zum Erreichen der gewünschten Blockierungs- und Benetzbarkeitsfunktionalität abgestimmt. Alternativ wird die Multifunktions-Blockierungs-/Benetzungsschicht 242 durch andere Prozesse, wie chemische Dampfphasenabscheidung (CVD), plasmaverstärkte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekularorganische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon gebildet. Unterschiedliche Prozessparameter solcher alternativen Prozesse können abgestimmt werden, um die gewünschte Blockierungs- und Benetzbarkeitsfunktionalität der Multifunktions-Blockierungs-/Benetzungsschicht 242 zu erreichen.
  • In der dargestellten Ausführungsform scheidet eine Hochdruck-PVD-Prozess, der den Kammerdruck von etwa 0,1 Torr bis etwa 5 Torr aufrechterhält, die Multifunktions-Blockierungs-/Benetzungsschicht 242 bei einer Temperatur von etwa 250°C bis etwa 450°C ab. Der Hochdruck-PVD-Prozess kann sicherstellen, dass die Multifunktions-Blockierungs-/Benetzungsschicht 242 die Öffnung 240 angemessen teilweise füllt. Der Hochdruck-PVD-Prozess sieht eine angemessene Abdeckung vor, zum Beispiel für Öffnungen mit hohem Aspektverhältnis, wie für die Öffnung 240. Zum Beispiel bezieht sich in der dargestellten Ausführungsform Öffnungen mit hohem Aspektverhältnis auf Öffnungen mit einem Höhe/Breite-Verhältnis größer oder gleich 2,2 (Höhe/Breite ≧ 2,2). Alternativ können Öffnungen mit hohem Aspektverhältnis durch andere Höhe/Breite-Verhältnisse definiert sein.
  • In einer anderen Ausführungsform enthält die Multifunktions-Blockierungs-/Benetzungsschicht 242 mehrere TaAlCN-Schichten mit verschiedenen N%. Zum Beispiel hat eine untere TaAlCN-Schicht höhere N%, wie von etwa 5% bis etwa 15%, und eine obere TaAlCN-Schicht hat geringere N%, wie von etwa 2% bis etwa 5%. In diesem Fall dient die untere TaAlCN-Schicht vorwiegend als Blockierungsschicht, während die obere TaAlCN-Schicht vorwiegend als Austrittsarbeitsschicht dient. Durch Auswahl passender C% und N% wird eine optimierte Blockierungskapazität erreicht. In einem Beispiel liegen die C% in einem Bereich von etwa 5% bis etwa 20%, während die N% in einem Bereich von etwa 5% bis 15% liegen.
  • In 5 wird eine Austrittsarbeitsschicht 244 über der Trägerschicht 210 gebildet, so dass die Austrittsarbeitsschicht 244 die Öffnung 240 teilweise füllt. In der dargestellten Ausführungsform wird die Austrittsarbeitsschicht 244 über der Multifunktions-Blockierungs-/Benetzungsschicht 242 angeordnet. In einem Beispiel hat die Austrittsarbeitsschicht 244 eine Dicke von etwa 300 nm bis etwa 1000 nm. In einem anderen Beispiel hat die Austrittsarbeitsschicht 244, die auf der Multifunktions-Blockierungs-/Benetzungsschicht 242 angeordnet ist, eine Dicke von etwa 300 nm bis etwa 1000 nm, und die Austrittsarbeitsschicht 244, die entlang den Seitenwänden der Öffnung 240 angeordnet ist, kann eine Dicke von weniger als 300 nm, oder eine Dicke von etwa 300 nm bis etwa 1000 nm haben. Die Austrittsarbeitsschicht 244 enthält ein Material, das so abgestimmt werden kann, dass es eine passende Austrittsarbeit für eine verbesserte Leistung der zugehörigen Vorrichtung hat. Zum Beispiel enthält bei einer Feldeffekttransistorvorrichtung vom p-Typ (PFET) die Austrittsarbeitsschicht 244 ein Austrittsarbeitsmaterial vom p-Typ, das so gestaltet werden kann, dass es einen gewünschten Austrittsarbeitswert (wie annähernd 5,2 eV oder in einem Bereich von 4,7 eV bis 5 eV) für die Gate-Elektrode des PFET hat. Andererseits enthält bei einer Feldeffekttransistorvorrichtung vom n-Typ (NFET) die Austrittsarbeitsschicht 244 ein Austrittsarbeitsmaterial vom n-Typ (wie TaAlCN), das so gestaltet werden kann, dass es einen gewünschten Austrittsarbeitswert (wie annähernd 4,2 eV oder in einem Bereich von 4,1 eV bis 4,5 eV) für die Gate-Elektrode des NFET hat. Die Austrittsarbeitsschicht 244 wird durch eine physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaverstärkte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekularorganische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon gebildet.
  • In einigen Ausführungsformen wird die TaAlCN-Schicht unter Verwendung eines Vorläufers gebildet, der eine Chemikalie auf Tantalbasis, eine Chemikalie auf Aluminiumbasis, ein Gas auf Kohlenstoffbasis und ein Gas auf Stickstoffbasis enthält. In einigen Beispielen enthält die Chemikalie auf Tantalbasis Pentakis-(dimethylamino)tantal (PDMAT), Triethylaluminium, Tantalchlorid (TaCl5), eine andere geeignete Ta-hältige Chemikalie oder eine Kombination davon. In einigen Beispielen enthält die Chemikalie auf Aluminiumbasis Triethylaluminium (TEAl), Trimethylaluminium (TMA), Aluminiumborhydridtrimethylamin (AlBT), eine andere geeignete Al-hältige Chemikalie oder eine Kombination davon. In einigen Beispielen enthält die Chemikalie auf Stickstoffbasis NH3, N2, eine andere geeignete N-hältige Chemikalie oder eine Kombination davon. In einigen Beispielen enthält das Gas auf Kohlenstoffbasis CHx, wie CH3.
  • In beispielhaften Ausführungsformen ist die Austrittsarbeitsschicht 244 eine n-Austrittsarbeitsschicht, die TaAlCN enthält. In der dargestellten Ausführungsform enthält die Austrittsarbeitsschicht 244 TaAlCN, das eine andere Zusammensetzung als das TaAlCN in der Multifunktions-Blockierungs-/Benetzungsschicht 242 hat. Zum Beispiel ist die atomare Stickstoffkonzentration in der Austrittsarbeitsschicht 244 geringer als die atomare Stickstoffkonzentration in der Multifunktions-Blockierungs-/Benetzungsschicht 242. Die atomare Stickstoffkonzentration wird so gewählt, dass die Blockierungskapazität und die gewünschte Austrittsarbeit ausgeglichen sind. In einer Ausführungsform ist die atomare Stickstoffkonzentration in der Austrittsarbeitsschicht 244 etwa 2% bis etwa 5%. Aluminium in der Austrittsarbeitsschicht 244 hat eine hohe Mobilität und kann leicht in eine untere Schicht eindringen, wenn die atomare Stickstoffkonzentration geringer ist (z.B. kleiner als etwa 2%). Bei höheren atomaren Stickstoffkonzentrationen (z.B. höher als etwa 2%) kann der Stickstoff in der Austrittsarbeitsschicht 244 an das Aluminium bilden, eine stabile Phase bilden und das Eindringen von Aluminium in eine untere Schicht verringern. Selbst höhere atomare Stickstoffkonzentrationen (z.B. höher als etwa 5%) können jedoch eine Verschiebung weg von einer Soll- oder gewünschten Austrittsarbeit bewirken.
  • In einigen Ausführungsformen ist die Austrittsarbeitsschicht 244 eine n-Austrittsarbeitsschicht für einen NFET und hat eine Austrittsarbeit von etwa 4,1eV bis etwa 4,5eV. In weiteren Ausführungsformen enthält die n-Austrittsarbeitsschicht Titan (Ti), Aluminium (AI), Titanaluminium (TiAl), Tantal (Ta) oder Zirkonsilizium (ZrSi2).
  • In 6 wird eine leitende Schicht 246 über der Trägerschicht 210 gebildet, so dass die leitende Schicht 246 teilweise die Öffnung 240 füllt. Die leitende Schicht 246 wird über der Austrittsarbeitsschicht 244 angeordnet. In einem Beispiel hat die leitende Schicht 246 eine Dicke von etwa 3000 nm bis etwa 15.000 nm. In der dargestellten Ausführungsform enthält die leitende Schicht 246 Aluminium. Alternativ oder zusätzlich enthält die leitende Schicht 246 Kupfer, Wolfram, eine Metalllegierung, ein Metallsilicid, ein anderes leitendes Material oder Kombinationen davon. Die leitende Schicht 246 wird durch eine physikalische Dampfphasenabscheidung (PVD), chemische Dampfphasenabscheidung (CVD), Atomlagenabscheidung (ALD), plasmaverstärkte CVD (PECVD), Remote-Plasma-CVD (RPCVD), molekularorganische CVD (MOCVD), Sputtern, Plattieren, andere geeignete Verfahren oder Kombinationen davon gebildet.
  • In 7 wird ein chemisch-mechanischer Polier- (CMP) Prozess durchgeführt, bis die dielektrische Schicht 232 erreicht ist oder freiliegt. Der CMP-Prozess entfernt somit Teile der Multifunktions-Blockierungs-/Benetzungsschicht 242, Austrittsarbeitsschicht 244 und der leitenden Schicht 246, die über der dielektrischen Schicht 232 angeordnet sind. Die verbleibenden Teile der Multifunktions-Blockierungs-/Benetzungsschicht 242, Austrittsarbeitsschicht 244 und der leitenden Schicht 246 werden vereint, um die Öffnung 240 zu füllen, so dass der Gate-Stapel der Gate-Struktur 220 die dielektrische Grenzflächenschicht 222, die Schicht mit hoher Dielektrizitätskonstante 224, die Multifunktions-Blockierungs-/Benetzungsschicht 242, die Austrittsarbeitsschicht 244 und die leitende Schicht 246 enthält. Die Multifunktions-Blockierungs-/Benetzungsschicht 242, die Austrittsarbeitsschicht 244 und die leitende Schicht 246 können gemeinsam als Gate-Elektrode der Gate-Struktur 220 bezeichnet werden.
  • Die integrierte Schaltungsvorrichtung 200 kann andere Merkmale enthalten. Zum Beispiel kann eine mehrschichtige Zwischenverbindung (MLI), die Metallschichten und Zwischenlagendielektrikum- (IMD) Schichten enthält, über der Trägerschicht 210 gebildet werden, wie über der dielektrischen Schicht 232, um die unterschiedlichen Merkmale oder Strukturen der integrierten Schaltungsvorrichtung 200 elektrisch anzuschließen. Die mehrschichtige Zwischenverbindung enthält vertikale Zwischenverbindungen, wie Durchkontaktierungen oder Kontakte, und horizontale Zwischenverbindungen, wie Metallleitungen. In einem Beispiel enthält die MLI Zwischenverbindungsmerkmale zu den Source/Drain Merkmalen 230 und/oder den Gate-Stapel der Gate-Struktur 220. Die unterschiedlichen Zwischenverbindungsmerkmale enthalten unterschiedliche leitende Materialien einschließlich Aluminium, Kupfer, Titan, Wolfram, Legierungen davon, Silicidmaterialien, andere geeignete Materialien oder Kombinationen davon. In einem Beispiel wird ein Damascene-Prozess oder Doppel-Damascene-Prozess zur Bildung einer mehrschichtigen Kupfer- oder Aluminiumzwischenverbindungsstruktur verwendet.
  • Die integrierte Schaltungsvorrichtung 200 weist einen verringerten Leckstrom auf, was zu einer verbesserten Vorrichtungsleistung führt. Ein solcher verringerter Leckstrom und eine verbesserte Vorrichtungsleistung können durch die Multifunktions-Blockierungs-/Benetzungsschicht 242 im Gate-Stapel der Gate-Struktur 220 erreicht werden. Die Multifunktions-Blockierungs-/Benetzungsschicht 242 kann Metallunreinheiten ausreichend vor einem Eindringen in die darunter liegenden dielektrischen Schichten blockieren, während eine ausreichende Benetzbarkeit (Grenzflächenqualität) für darüber liegende Schichten vorgesehen wird.
  • Die integrierte Schaltungsvorrichtung 200 und das Verfahren 100 zu deren Herstellung können andere Ausführungsformen aufweisen. Einige der Ausführungsformen sind in der Folge angeführt. Die gleichen Merkmale und gleichen Operationen werden im Interesse der Überschaubarkeit nicht wiederholt.
  • 8 zeigt eine integrierte Schaltungsvorrichtung 200 gemäß einiger Ausführungsformen. Die integrierte Schaltungsvorrichtung 200 enthält ferner eine Schutzschicht 250, die zwischen der Schicht mit hoher Dielektrizitätskonstante 224 und der Multifunktionsblockierungs-/Benetzungsschicht 242 angeordnet ist. Die Schutzschicht 250 schützt ferner die Schicht mit hoher Dielektrizitätskonstante und/oder verstärkt die Funktionen der Multifunktionsblockierungs-/Benetzungsschicht 242. Die Schutzschicht 250 enthält Titannitrid (TiN), Tantalnitrid (TaN) oder eine Kombination davon. Die Schutzschicht kann durch eine geeignete Technik wie PVD gebildet werden. Die Schutzschicht kann im Vorgang 110 oder aber im Vorgang 130 des Verfahrens 100 gebildet werden. In einigen Ausführungsformen, wenn die Schicht mit hoher Dielektrizitätskonstante 224 in Vorgang 110 gebildet wird, kann die Schutzschicht 250 ebenso in Vorgang 110 gebildet werden, wodurch die Schicht mit hoher Dielektrizitätskonstante während der Herstellung geschützt wird. In diesem Fall werden sowohl die Schicht mit hoher Dielektrizitätskonstante 224 wie auch die Schutzschicht 250 nur an der Unterseite der Öffnung 240 gebildet, während die Multifunktions-Blockierungs-/Benetzungsschicht 242 und die Austrittsarbeitsschicht 244 an der Unterseite und den Seitenwänden der Öffnung 240 positioniert werden, oder, mit anderen Worten, U-förmig sind, wie in 8 dargestellt. Wenn das Verfahren 100 einen High-k-Last Prozess verwendet, wird die Schicht mit hoher Dielektrizitätskonstante auch in Vorgang 130 gebildet. In diesem Fall wird die Schutzschicht 250 auch in Vorgang 130 gebildet. Daher werden sowohl die Schicht mit hoher Dielektrizitätskonstante 224 wie auch die Schutzschicht250 an den Seitenwänden gebildet und sind U-förmig.
  • 9 zeigt eine integrierte Schaltungsvorrichtung 200 gemäß einigen Ausführungsformen. Das Verfahren 100 verwendet einen High-k-Last Prozess, die Schicht mit hoher Dielektrizitätskonstante wird in Vorgang 130 nach der Entfernung des Dummy-Gate-Stapels durch den Vorgang 120 gebildet. In diesem Fall kann das Dummy-Gate, das durch den Vorgang 110 gebildet wird, eine Siliziumoxidschicht und eine Polysiliziumschicht über der Siliziumoxidschicht enthalten. In Vorgang 120 wird der Gate-Stapel durch Ätzen entfernt. Dann wird die dielektrische Gate-Schicht in der Öffnung 240 in Vorgang 130 gebildet. Andere Gate-Materialschichten (wie 242, 246, 248) werden auf gleiche Weisen, wie durch die oben beschriebenen Schritte zur Bildung der Materialschicht 242, 255 und 248, in der Öffnung 240 gebildet. Daher ist auch die Schicht mit hoher Dielektrizitätskonstante U-förmig. Auch hier werden von der Schutzschicht 250, die zwischen der Schicht mit hoher Dielektrizitätskonstante 224 und der Multifunktions-Blockierungs-/Benetzungsschicht 242 vorhanden ist, sowohl die Schicht mit hoher Dielektrizitätskonstante 224 wie auch die Schutzschicht 250 an den Seitenwänden gebildet und sind U-förmig. Die Grenzflächenschicht 222 kann in Vorgang 120 entfernt und erneut in Vorgang 130 abgeschieden werden, wie durch thermische Oxidation (nicht U-förmig) oder ALD (U-förmig).
  • 10 zeigt eine integrierte Schaltungsvorrichtung 200 gemäß einigen Ausführungsformen. Die Source- und Drain- (S/D) Merkmale 230 sind mit unterschiedlichem Halbleitermaterial für eine Verformungswirkung, Verbesserung der Kanalmobilität und Vorrichtungsleistung gebildet. In Vorgang 120 werden die Source- und Drain-Merkmale 230 durch eine passende Prozedur gebildet. Die Prozedur kann zum Beispiel enthalten: die Trägerschicht in den Source- und Drain-Regionen wird geätzt, so dass sie vertieft ist; und ein Halbleitermaterial, das sich von jenem der Trägerschicht unterscheidet, wird epitaxial in den Vertiefungen durch selektives epitaxiales Wachstum mit In-situ-Dotierung gezüchtet. Das Halbleitermaterial wird so gewählt, dass es eine angemessene Verformung beim Kanal zur Verbesserung der Mobilität vorsieht, wie eine Zugverformung am n-Kanal-Feldeffekttransistor durch Verwendung von Siliziumcarbid und Druckverformung am p-Kanal-Feldeffekttransistor unter Verwendung von Siliziumgermanium. In einem Beispiel ist die Vorrichtung 200 ein nFET, das Halbleitermaterial ist Siliziumcarbid, dotiert mit Phosphor für S/D-Merkmale 230, während die Trägerschicht 210 eine Siliziumträgerschicht ist. In einem Beispiel ist die Vorrichtung 200 ein pFET, das Halbleitermaterial ist Siliziumgermanium, dotiert mit Bor für S/D-Merkmale 230, während die Trägerschicht 210 eine Siliziumträgerschicht ist. In einem anderen Beispiel enthält die integrierte Schaltungsvorrichtung 200 einen nFET und einen pFET, Siliziumcarbid mit Phosphordotierungsmittel wird zur Bildung von S/D-Merkmalen 230 für den nFET epitaxial wachsen gelassen, Siliziumgermanium wird zur Bildung von S/D-Merkmalen 230 für den pFET epitaxial wachsen gelassen, während die Trägerschicht 210 eine Siliziumträgerschicht ist. Die S/D-Merkmal 230 können epitaxial so wachsen, dass die obere Oberfläche der S/D-Merkmale 230 im Wesentlichen auf einer Ebene mit der oberen Oberfläche der Halbleiterträgerschicht 210 liegt. Alternativ können die S/D-Merkmale 230 epitaxial über die obere Oberfläche der Halbleiterträgerschicht 210 hinaus wachsen gelassen werden, wie in 10 dargestellt ist.
  • 11 zeigt eine integrierte Schaltungsvorrichtung 200 gemäß einigen Ausführungsformen. In 11 enthält der Gate-Stapel 220 die Multifunktions-Blockierungs-/Benetzungsschicht 242 auf der Schicht mit hoher Dielektrizitätskonstante 224 und eine leitende Schicht 246 direkt auf der Multifunktions-Blockierungs-/Benetzungsschicht 242. Die leitende Schicht 246 kann zum Beispiel Aluminium sein. Die Multifunktions-Blockierungs-/Benetzungsschicht 242 enthält TaAlCN und ist so abgestimmt, dass die auch als n-Austrittsarbeitsmetallschicht dient. Eine atomare Konzentration von Stickstoff und Kohlenstoff der TaAlCN-Schicht ist optimiert, so dass die Multifunktions-Blockierungs-/Benetzungsschicht 242 angemessen verhindert oder verringert, dass Metallunreinheiten in die darunter liegenden dielektrischen Schichten eindringen (zum Beispiel in die Schicht mit hoher Dielektrizitätskonstante 224 und Grenzflächenschicht 222), während sie eine angemessene Austrittsarbeit hat, wie eine Austrittsarbeit, die von etwa 4,1 eV bis etwa 4,5 eV reicht. In der dargestellten Ausführungsform enthält die TaAlCN-Schicht eine atomare Stickstoffkonzentration von etwa 3% bis etwa 10%. Die eine TaAlCN-Schicht kann eine atomare Kohlenstoffkonzentration von etwa 5% bis etwa 20% enthalten. Das TaAlCN-Verhältnis kann ein TaAICN-Verhältnis enthalten, das die Grenzflächenqualität (die als Benetzbarkeit bezeichnet werden kann) zwischen der Multifunktions-Blockierungs-/Benetzungsschicht 242 und einer darüber liegenden Schicht verbessert, die Aluminium enthält. Zum Beispiel enthält die TaAlCN-Schicht 242 ein TaAlCN-Verhältnis von etwa 1:1 bis etwa 1:3.
  • 12-14 zeigen eine integrierte Schaltungs- (IC) Struktur 270 mit einer Finnenstruktur gemäß einigen Ausführungsformen. 12 ist eine Draufsicht auf die IC-Struktur 270 gemäß einigen Ausführungsformen. 13 ist eine Schnittansicht der IC-Struktur 270 entlang der gestrichelten Linie A-A' gemäß einigen Ausführungsformen. 14 ist eine Schnittansicht der IC-Struktur 270 entlang der gestrichelten Linie BB' gemäß einigen Ausführungsformen. Die IC-Struktur 270 enthält eine erste aktive Finnenregion 272 und eine zweite aktive Finnenregion 274, die auf der Halbleiterträgerschicht 210 gebildet sind. Die aktiven Finnenregionen 272 und 274 erstrecken sich vertikal über die obere Oberfläche der STI-Merkmale 212. Das Halbleitermaterial der aktiven Finnenregionen kann dasselbe oder aber eine anderes wie jenes der Halbleiterträgerschicht 210 sein. Die aktiven Finnenregionen können durch Ätzen der STI-Merkmale, bis eine Vertiefung erreicht ist, oder durch selektives epitaxiales Wachstum gebildet werden. In einer Ausführungsform ist die erste aktive Finnenregion 272 für einen nFET und die zweite aktive Finnenregion 274 ist für einen pFET. In einer weiteren Ausführungsform wird eine dotierte Wanne vom p-Typ 276 in der ersten aktiven Finnenregion 272 gebildet und eine dotierte Wanne vom n-Typ 278 wird in der zweiten aktiven Finnenregion 274 gebildet, wie beispielsweise durch Ionenimplantationen. Daher werden eine erste Kanalregion 280 und eine zweite Kanalregion 282 in der ersten bzw. zweiten aktiven Finnenregion definiert.
  • In einigen Ausführungsformen werden die S/D-Merkmale 230 durch Epitaxiewachstum für eine Verformungswirkung gebildet, wie jene, die in 10 dargestellt sind. Der Gate-Stapel 220 ist in unterschiedlichen Ausführungsformen ähnlich dem Gate-Stapel 220 von 7 oder einem von 8-11. In einer Ausführungsform, wenn die aktiven Regionen 272 und 274 unterschiedliche leitende Arten sind, enthält der Gate-Stapel 220 zwei Teile unterschiedlicher Materialstapel, wie einen ersten Teil über der ersten aktiven Finnenregion 272 und einen zweiten Teil über der zweiten aktiven Finnenregion 274. Die zwei Teile sind in der Zusammensetzung ähnlich, mit Ausnahme der Austrittsarbeitsmetallschicht 244. Im ersten Teil des Gate-Stapels enthält die Austrittsarbeitsmetallschicht eine nWF-Metallschicht. Im zweiten Teil des Gate-Stapels enthält die Austrittsarbeitsmetallschicht eine p WF -Metallschicht.
  • Obwohl unterschiedliche Ausführungsformen beschrieben sind, gibt es noch andere Ausführungsformen der integrierten Schaltungsvorrichtung, die zwei oder mehr oben stehende Ausführungsformen kombinieren. Zum Beispiel enthält eine integrierte Schaltungsvorrichtung sowohl expitaxial gewachsene S/D-Merkmale wie auch eine U-förmige Schicht mit hoher Dielektrizitätskonstante. In einem anderen Beispiel enthält eine integrierte Schaltungsvorrichtung sowohl eine aktive Finnenregion wie auch eine zusätzliche Schutzschicht 250.

Claims (16)

  1. Integrierte Schaltungsvorrichtung (200), umfassend: eine Halbleiterträgerschicht (210); und einen Gate-Stapel (220), der über der Halbleiterträgerschicht (210) angeordnet ist, wobei der Gate-Stapel (220) enthält: eine dielektrische Gate-Schicht (222, 224), die über der Halbleiterträgerschicht (210) angeordnet ist; eine Multifunktions-Blockierungs-/Benetzungsschicht (242), die über der dielektrischen Gate-Schicht angeordnet ist, wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) Tantalaluminiumcarbonnitrid (TaAlCN) umfasst; eine Austrittsarbeitsschicht (244), die über der Multifunktions-Blockierungs-/Benetzungsschicht (242) angeordnet ist; und eine leitende Schicht (246), die über der Austrittsarbeitsschicht (244) angeordnet ist; wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) eine atomare Stickstoffkonzentration und eine atomare Kohlenstoffkonzentration hat, die verhindern, dass Metallunreinheiten in die dielektrische Gate-Schicht eindringen; wobei die atomare Stickstoffkonzentration 5% bis 15% ist und die atomare Kohlenstoffkonzentration 5% bis 20% ist; und wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) ein Ta:Al Verhältnis von 1:1 bis 1:3 hat.
  2. Integrierte Schaltungsvorrichtung nach Anspruch 1, wobei die dielektrische Gate-Schicht eine Schicht mit hoher Dielektrizitätskonstante (224) enthält.
  3. Integrierte Schaltungsvorrichtung nach Anspruch 2, wobei die dielektrische Gate-Schicht eine dielektrische Grenzflächenschicht (222) enthält, die zwischen der Schicht mit hoher Dielektrizitätskonstante (224) und der Halbleiterträgerschicht (210) angeordnet ist.
  4. Integrierte Schaltungsvorrichtung nach Anspruch 1, wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) mehrere TaAlCN-Schichten mit verschiedenen atomaren Stickstoffkonzentrationen enthält.
  5. Integrierte Schaltungsvorrichtung nach Anspruch 4, wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242) eine untere TaAlCN-Schicht und eine obere TaAlCN-Schicht enthält, wobei die untere TaAlCN-Schicht eine höhere atomare Stickstoffkonzentration als die obere TaAlCN-Schicht aufweist.
  6. Integrierte Schaltungsvorrichtung nach Anspruch 5, wobei die untere TaAlCN-Schicht eine erste atomare Stickstoffkonzentration von 5% bis 15% hat und die obere TaAlCN-Schicht eine zweite atomare Stickstoffkonzentration von 2% bis 5% hat.
  7. Integrierte Schaltungsvorrichtung nach Anspruch 1, des Weiteren umfassend eine Schutzschicht (250), die zwischen der dielektrischen Gate-Schicht und der Multifunktions-Blockierungs-/Benetzungsschicht (242) angeordnet ist, wobei die Schutzschicht (250) eines von Titannitrid, Tantalnitrid und einer Kombination davon enthält.
  8. Integrierte Schaltungsvorrichtung nach Anspruch 1, wobei die Halbleiterträgerschicht (210) eine aktive Finnenregion enthält; und der Gate-Stapel (220) auf der aktiven Finnenregion gebildet ist.
  9. Integrierte Schaltungsvorrichtung nach Anspruch 8, des Weiteren umfassend Source- und Drain- Merkmale (230), wobei die Halbleiterträgerschicht (210) eine Siliziumträgerschicht ist und die Source- und Drain-Merkmale (230) ein Halbleitermaterial, das sich von der Halbleiterträgerschicht (210) unterscheidet, für eine Verformungswirkung enthalten.
  10. Integrierte Schaltungsvorrichtung (200), umfassend eine Halbleiterträgerschicht (210) mit einer ersten Region (272) für einen n-Kanal-Feldeffekttransistor und einer zweiten Region (274) für einen p-Kanal-Feldeffekttransistor; einen ersten Gate-Stapel (220), der über der Halbleiterträgerschicht (210) in der ersten Region (272) angeordnet ist, wobei der erste Gate-Stapel (220) eine Schicht mit hoher Dielektrizitätskonstante (224), die über der Halbleiterträgerschicht (210) angeordnet ist, eine erste Tantalaluminiumcarbonnitrid-Schicht (242), im Folgenden erste TaAlCN-Schicht genannt, die über der Schicht mit hoher Dielektrizitätskonstante (224) angeordnet ist, und eine n-Austrittsarbeits- Metallschicht mit einer ersten Austrittsarbeit, die direkt auf der ersten TaAlCN-Schicht (242) angeordnet ist, enthält; und einen zweiten Gate-Stapel, der über der Halbleiterträgerschicht (210) in der zweiten Region angeordnet ist, wobei der zweite Gate-Stapel (220) die Schicht mit hoher Dielektrizitätskonstante (224), die über der Halbleiterträgerschicht (210) angeordnet ist, die erste TaAlCN-Schicht (242), die über der Schicht mit hoher Dielektrizitätskonstante (224) angeordnet ist, und eine p-Austrittsarbeits-Metallschicht mit einer zweiten Austrittsarbeit, die direkt auf der ersten TaAlCN-Schicht (242) angeordnet ist, enthält, wobei die zweite Austrittsarbeit größer als die erste Austrittsarbeit ist.
  11. Integrierte Schaltungsvorrichtung nach Anspruch 10, wobei die n-Austrittsarbeits-Metallschicht eine Schicht aus einem ersten Metall ist, das ausgewählt ist aus der Gruppe bestehend aus Titan (Ti), Aluminium (AI), Titanaluminium (TiAl), Tantal (Ta) und Zirkonsilizium (ZrSi2); und die p-Austrittsarbeits-Metallschicht eine Schicht aus einem zweiten Metall ist, das ausgewählt ist aus der Gruppe bestehend aus Titannitrid (TiN), Ruthenium (Ru), Molybdän (Mo), Platin (Pt), Iridium (Ir), Platinsilizium (PtSi) und Molybdännitrid (MoN).
  12. Integrierte Schaltungsvorrichtung nach Anspruch 10, des Weiteren umfassend: eine Aluminiumschicht, die direkt auf der n-Austrittsarbeits-Metallschicht innerhalb der ersten Region und auf der p-Austrittsarbeits-Metallschicht innerhalb der zweiten Region angeordnet ist, und eine Schutzschicht (250), die zwischen der Schicht mit hoher Dielektrizitätskonstante (224) und der ersten TaAlCN-Schicht (242) angeordnet ist, wobei die Schutzschicht (250) eines von Titannitrid, Tantalnitrid oder einer Kombination davon enthält.
  13. Integrierte Schaltungsvorrichtung nach Anspruch 10, wobei die erste TaAlCN-Schicht (242) eine atomare Stickstoffkonzentration von etwa 5% bis etwa 15% und eine atomare Kohlenstoffkonzentration von etwa 5% bis etwa 20% und ein Ta:Al Verhältnis von etwa 1:1 bis etwa 1:3 hat.
  14. Verfahren, umfassend: Bilden eines Gate-Stapels (220) über einer Halbleiterträgerschicht (210); Bilden einer dielektrischen Zwischenschicht (232), die den Gate-Stapel (220) umgibt; zumindest teilweises Entfernen des Gate-Stapels (220), wodurch eine Öffnung (240) in der dielektrischen Zwischenschicht (232) gebildet wird; und Bilden einer Multifunktions-Blockierungs-/Benetzungsschicht (242), einer Austrittsarbeitsschicht (244) über der Multifunktions-Blockierungs-/Benetzungsschicht (242) und einer leitenden Schicht (246) über der Austrittsarbeitsschicht (244), wobei die Multifunktions-Blockierungs-/Benetzungsschicht (242), die Austrittsarbeitsschicht (244) und die leitende Schicht (246) die Öffnung (240) füllen und wobei ferner die Multifunktions-Blockierungs-/Benetzungsschicht (242) eine erste Tantalaluminiumcarbonnitrid-Schicht, im Folgenden erste TaAlCN-Schicht genannt, enthält; wobei das Bilden der Multifunktions-Blockierungs-/Benetzungsschicht (242) ein Bilden der ersten TaAlCN-Schicht mit einer atomaren Stickstoffkonzentration von 5% bis 15% und einer atomaren Kohlenstoffkonzentration von 5% bis 20% und einem Ta:Al-Verhältnis von 1:1 bis 1:3 enthält.
  15. Verfahren nach Anspruch 19, wobei das Bilden der Multifunktions-Blockierungs-/Benetzungsschicht (242) ein Durchführen einer Atomlagenabscheidung enthält.
  16. Verfahren nach Anspruch 19, wobei das Bilden der Austrittsarbeitsschicht (244) das Bilden einer zweiten TaAlCN-Schicht mit einer atomaren Stickstoffkonzentration von etwa 2% bis etwa 5% enthält.
DE102014119648.1A 2014-07-10 2014-12-28 METALL-GATE-STAPEL MIT TaAlCN-SCHICHT Active DE102014119648B4 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US14/328,299 2014-07-10
US14/328,299 US9337303B2 (en) 2011-09-24 2014-07-10 Metal gate stack having TiAICN as work function layer and/or blocking/wetting layer
US201462056278P 2014-09-26 2014-09-26
US62/056,278 2014-09-26
US14/532,228 2014-11-04
US14/532,228 US9337192B2 (en) 2011-09-24 2014-11-04 Metal gate stack having TaAlCN layer

Publications (2)

Publication Number Publication Date
DE102014119648A1 DE102014119648A1 (de) 2016-01-14
DE102014119648B4 true DE102014119648B4 (de) 2021-09-02

Family

ID=54866823

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102014119648.1A Active DE102014119648B4 (de) 2014-07-10 2014-12-28 METALL-GATE-STAPEL MIT TaAlCN-SCHICHT

Country Status (3)

Country Link
KR (1) KR101843227B1 (de)
DE (1) DE102014119648B4 (de)
TW (1) TWI556429B (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10340383B2 (en) * 2016-03-25 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stressor layer
KR102633489B1 (ko) * 2017-07-13 2024-02-06 어플라이드 머티어리얼스, 인코포레이티드 금속 게이트에 대한 낮은 두께 의존성 일 함수 nMOS 집적
US10541333B2 (en) * 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102295721B1 (ko) * 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN111989762A (zh) 2018-04-19 2020-11-24 应用材料公司 经由气相沉积调谐p金属功函数膜的功函数
KR102615708B1 (ko) * 2018-07-06 2023-12-21 삼성전자주식회사 반도체 소자
US10985275B2 (en) * 2018-07-06 2021-04-20 Samsung Electronics Co., Ltd. Semiconductor device
US11855163B2 (en) * 2020-06-23 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100048009A1 (en) 2008-08-25 2010-02-25 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US20110101470A1 (en) 2009-10-30 2011-05-05 Klaus Hempel High-k metal gate electrode structures formed by separate removal of placeholder materials in transistors of different conductivity type

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7871915B2 (en) * 2008-09-26 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming metal gates in a gate last process
US8597995B2 (en) * 2011-09-24 2013-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate device with low temperature oxygen scavenging
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
US8927377B2 (en) * 2012-12-27 2015-01-06 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming FinFETs with self-aligned source/drain

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100048009A1 (en) 2008-08-25 2010-02-25 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US20110101470A1 (en) 2009-10-30 2011-05-05 Klaus Hempel High-k metal gate electrode structures formed by separate removal of placeholder materials in transistors of different conductivity type

Also Published As

Publication number Publication date
KR20160007338A (ko) 2016-01-20
KR101843227B1 (ko) 2018-03-28
TWI556429B (zh) 2016-11-01
TW201603269A (zh) 2016-01-16
DE102014119648A1 (de) 2016-01-14

Similar Documents

Publication Publication Date Title
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
DE102017119616B4 (de) Abstandshalter für Nanodraht-basierte integrierte Schaltungsvorrichtung und Herstellungsverfahren
DE102017127206B4 (de) Verfahren zur herstellung einer kontaktstruktur für ein halbleiter-bauelement
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102019117656A1 (de) Gate structures having interfacial layers
DE102017127708A1 (de) Schwellenspannungsabstimmung für finnenbasierte integrierte Schaltungsvorrichtung
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102015106574A1 (de) Halbleiterstruktur und fertigungsverfahren dafür
DE102012204455A1 (de) (110)-Oberflächenorientierung zum Reduzieren eines Fermi-Level-Pinnings zwischen einem HIGH-K Dielektrikum und einer Gruppe III-V Verbindungshalbleitervorrichtung
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102021107624A1 (de) Gate-isolation für mehr-gate-vorrichtung
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102019113425B4 (de) Finfet-aufbau und verfahren mit reduzierter finnenknickung
DE102014119644B4 (de) Metalgatestapel mit TiAICN als Arbeitsfunktionsschicht und/oder Sperr/Benetzungsschicht und Verfahren
DE102019129121A1 (de) Niederohmige verbindungsstrukturen
DE102019128758A1 (de) Verfahren zur herstellung von halbleitervorrichtungen undhalbleitervorrichtungen
DE102021103217B4 (de) Ätzprofilsteuerung der Durchkontaktierungsöffnung und entsprechende Halbleitervorrichtung
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102013105974B4 (de) Verfahren zum Herstellen einer Halbleitereinrichtung mit einem Dielektrikum mit Mehrfachzusammensetzung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R082 Change of representative

Representative=s name: BOEHMERT & BOEHMERT ANWALTSPARTNERSCHAFT MBB -, DE

R016 Response to examination communication
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027088000

Ipc: H01L0027092000

R018 Grant decision by examination section/examining division
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0027092000

Ipc: H01L0029490000

R020 Patent grant now final