DE102018104654B4 - Doppelte metalldurchkontaktierung für übergangswiderstand - Google Patents

Doppelte metalldurchkontaktierung für übergangswiderstand Download PDF

Info

Publication number
DE102018104654B4
DE102018104654B4 DE102018104654.5A DE102018104654A DE102018104654B4 DE 102018104654 B4 DE102018104654 B4 DE 102018104654B4 DE 102018104654 A DE102018104654 A DE 102018104654A DE 102018104654 B4 DE102018104654 B4 DE 102018104654B4
Authority
DE
Germany
Prior art keywords
cobalt
barrier layer
feature
over
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102018104654.5A
Other languages
English (en)
Other versions
DE102018104654A1 (de
Inventor
Chung-Liang Cheng
Yen-Yu Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102018104654A1 publication Critical patent/DE102018104654A1/de
Application granted granted Critical
Publication of DE102018104654B4 publication Critical patent/DE102018104654B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Abstract

Halbleiterbauteil (100), umfassend:einen aktiven Bereich (104) über einem Substrat (102);ein erstes Cobalt enthaltendes Merkmal (124a, 124b), das über dem aktiven Bereich angeordnet ist;eine leitfähige Kappe (136a, 136b), die über und in physischem Kontakt mit dem ersten Cobalt enthaltenden Merkmal (124a, 124b) angeordnet ist; undein zweites Cobalt enthaltendes Merkmal (138a, 138b), das über und in physischem Kontakt mit der leitfähigen Kappe angeordnet ist;eine erste Sperrschicht (132), die Titannitrid hat, die über Seitenwänden des zweiten Cobalt enthaltenden Merkmals (138a, 138b) und der leitfähigen Kappe (136a, 136b) angeordnet ist; undeine zweite Sperrschicht (134), die Siliziumnitrid hat, die über der ersten Sperrschicht (132) angeordnet ist.

Description

  • STAND DER TECHNIK
  • Die Industrie der integrierten Halbleiterschaltungen (IC) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte des IC-Materials und - Designs haben Generationen integrierter Schaltungen erzeugt, die kleinere und komplexere Schaltungen haben als die vorhergehende Generation. Im Laufe der Entwicklung der integrierten Schaltungen ist die funktionale Dichte (das heißt die Anzahl von verschalteten Bauteilen pro Chipfläche) im Allgemeinen gestiegen, während die geometrische Größe (das heißt das kleinste Bauteil (oder die kleinste Leitung), das unter Verwenden eines Herstellungsprozesses geschaffen werden kann) zurückgegangen ist. Dieser Verkleinerungsprozess stellt im Allgemeinen Vorteile durch Erhöhen der Produktionseffizienz und Verringern der damit verbundenen Kosten bereit. Eine solche Verkleinerung hat auch die Komplexheit der Verarbeitung und Herstellung integrierter Halbleiterschaltungen erhöht.
  • Wolfram (W)-Pfropfen mit Titan (Ti) und Titannitrid (TiN)-Sperrschichten wurden herkömmlich als Durchkontaktierungspropfen bei Metall-Interconnect verwendet. Mit fortgesetzter Verkleinerung werden Durchkontaktierungspropfen auch immer kleiner und solche W-Pfropfen zeigen erhöhten Widerstand und werden in einigen Fällen ungeeignet. Verbesserungen in diesen Bereichen sind wünschenswert. Die US 2014 / 0 327 140 A1 betrifft ein Verfahren zur Herstellung von integrierten Schaltungen, wobei das Verfahren ein Füllen einer Durchgangsöffnung mit einem leitenden Material umfasst, um eine Verbindung zu einer Kontaktstruktur herzustellen, welche in elektrischem Kontakt mit einer Vorrichtung eines Halbleitersubstrats ist. Die US 2014/0183738 A1 betrifft eine Keimschicht, die über einem Substrat und auf den Seitenwänden einer Öffnung angeordnet ist, wobei die Keimschicht Kobalt enthält.
    Die DE 102014115955 A1 betrifft eine Halbleitervorrichtung, die ein Halbleitersubstrat umfasst und eine erste leitende Einrichtung über dem Halbleitersubstrat und eine erste dielektrische Schicht über dem Halbleitersubstrat, die die erste leitende Einrichtung umgibt.
  • Figurenliste
  • Die vorliegende Offenbarung versteht man am besten bei der Lektüre der folgenden ausführlichen Beschreibung unter Heranziehung der begleitenden Figuren. Es wird betont, dass in Übereinstimmung mit der Standardpraxis der Industrie diverse Elemente nicht maßstabgerecht gezeichnet sind und allein zu Veranschaulichungszwecken verwendet werden. Die Maße der diversen Merkmale können nämlich zur Klarheit der Besprechung willkürlich vergrößert oder verkleinert werden.
    • 1 zeigt eine Querschnittansicht einer Halbleiterstruktur, die gemäß Aspekten der vorliegenden Offenbarung aufgebaut ist.
    • Die 2A und 2B zeigen ein Ablaufdiagramm eines Verfahrens zum Bilden der Halbleiterstruktur, die in 1 gezeigt ist, gemäß Aspekten der vorliegenden Offenbarung.
    • Die 3, 4, 5, 6, 7, 8, 9, 10, 11 und 12 veranschaulichen Querschnittansichten einer Halbleiterstruktur während diverser Fertigungsschritte gemäß dem Verfahren der 2A-2B in Übereinstimmung mit einigen Ausführungsformen.
    • 13 zeigt eine teilweise Elementanalyse der Halbleiterstruktur, die gemäß Aspekten der vorliegenden Offenbarung aufgebaut ist.
    • 14 zeigt ein In-situ-Cluster-Tool, das zum Ausführen einiger der Herstellungsschritte gemäß dem Verfahren der 2A-2B in Übereinstimmung mit einigen Ausführungsformen verwendet werden kann.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die Erfindung ergibt sich aus den unabhängigen Ansprüchen. Die abhängigen Ansprüche betreffen entsprechende Weiterbildungen. Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Umsetzen unterschiedlicher Elemente des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen sind unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Es sind dies natürlich nur Beispiele und sie bezwecken nicht, einschränkend zu sein. Das Ausbilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen aufweisen, bei welchen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, und kann auch Ausführungsformen aufweisen, bei welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet werden können, so dass das erste und das zweite Merkmal eventuell nicht in direktem Kontakt sind. Außerdem kann die vorliegende Offenbarung Bezugszeichen und/oder Bezugsbuchstaben in den diversen Beispielen wiederholen. Diese Wiederholung soll der Einfachheit und der Klarheit dienen und schreibt selbst keine Beziehung zwischen den diversen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumliche Bezugsbegriffe, wie „unterhalb“, „unter“, „niedriger“, „oberhalb“, „ober“ und dergleichen hier zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Merkmals oder Merkmals zu einem oder mehreren anderen Merkmalen oder Merkmalen, wie sie in den Fig. veranschaulicht sind, zu beschreiben. Die räumlichen Bezugsbegriffe können bezwecken, unterschiedliche Ausrichtungen des Bauteils beim Gebrauch oder Betrieb zusätzlich zu der Ausrichtung, die in den Fig. abgebildet ist, einzuschließen. Das Gerät kann anders ausgerichtet sein (um 90 Grad gedreht oder an andere Ausrichtungen), und die räumlichen Bezugsdeskriptoren, die hier verwendet werden, werden entsprechend ausgelegt. Wenn darüber hinaus eine Zahl oder ein Bereich von Zahlen mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, soll der Begriff, falls nichts anderes spezifiziert ist, Zahlen einschließen, die innerhalb von ± 10 % der beschriebenen Zahl liegen. Der Begriff „etwa 5 nm“ schließt den Maßbereich von 4,5 nm bis 5,5 nm ein.
  • Die vorliegende Offenbarung betrifft im Allgemeinen Halbleiterbauteile und Herstellungsverfahren und insbesondere Pfropfen zum Verschalten leitfähiger Merkmale zwischen unterschiedlichen Schichten einer integrierten Schaltung (IC). Ein Pfropfen wird gelegentlich ein Durchkontaktierungspropfen oder ein Kontaktpfropfen genannt. Eine Aufgabe der vorliegenden Offenbarung ist es, Pfropfen bereitzustellen, die niedrigeren elektrischen Widerstand als herkömmliche W-Pfropfen haben. Bei einigen Ausführungsformen der vorliegenden Offenbarung, weisen einige neue Pfropfen Cobalt (Co) als das Hauptpfropfenmerkmal auf und weisen ferner doppelte Sperrschichten auf, die Titannitrid (TiN) und Siliziumnitrid (Si3N4) haben, die das Co-Pfropfenmerkmal von nahe liegenden dielektrischen Schichten isolieren (zum Beispiel Siliziumoxidschicht(en)). Zusätzlich oder alternativ, weisen einige neue Durchkontaktierungspropfen Ruthenium (Ru) als das Hauptpfropfenmerkmal auf und weisen ferner TiN oder Tantalnitrid (TaN) als eine Sperrschicht auf. Sowohl der Co-Pfropfen als auch der Ru-Pfropfen stellen niedrigeren elektrischen Widerstand bereit als herkömmliche W-Pfropfen. Unten verweisen der Begriff „Co-Pfropfen“, „Co enthaltender Pfropfen“, „Cobalt enthaltender Pfropfen“ oder dergleichen auf einen Pfropfen, der Cobalt (Co) aufweist oder umfasst, und der Begriff „Ru-Pfropfen“, „Ru enthaltender Pfropfen“, „Ruthenium enthaltender Pfropfen“ oder dergleichen verweisen auf einen Pfropfen, der Ruthenium (Ru) aufweist oder umfasst.
  • 1 veranschaulicht eine Querschnittansicht eines Halbleiterbauteils (oder einer Halbleiterstruktur) 100, die gemäß Aspekten der vorliegenden Offenbarung aufgebaut ist. Unter Bezugnahme auf 1, weist das Bauteil 100 ein Substrat 102, aktive Bereiche 104 (einer ist gezeigt) und eine Isolationsstruktur 106 auf, die die aktiven Bereiche 104 voneinander isoliert. Diverse aktive und passive Bauteile sind in oder auf den aktiven Bereichen 104 eingebaut, wie p-Typ-Feldeffekttransistoren (PFETs), n-type-FETs (NFETs), Multi-Gate-FETs wie FinFETs, Metalloxidhalbleiter-Feldeffekttransistoren (MOSFETs), komplementäre Metalloxidhalbleiter (CMOS)-Transistoren, bipolare Transistoren, Hochspannungstransistoren, Hochfrequenztransistoren, statische Direktzugriffsspeicher (SRAM)-Zellen, andere Speicherzellen, Widerstände, Kondensatoren und Induktoren.
  • Das Bauteil 100 weist ferner Transistor-Source-/Drain (S/D) Merkmale 108 (eines ist gezeigt); Transistor-Gate-Merkmale (oder Gate-Strukturen oder Gate-Stapel) 116, darunter 116a und 116b; Gate-Abstandhalter 112 und 114; dielektrische Schichten (oder dielektrische Zwischenschichten) 110, 120 und 130; Co enthaltende Pfropfen 124 (darunter 124a und 124b) und 138 (darunter 138a und 138b); Ru enthaltende Pfropfen 142 (einer ist gezeigt); Durchkontaktierungssperrschichten 122, 132, 134 und 140; Silizidmerkmale 118 (eines ist gezeigt), leitfähige Kappen 136 (darunter 136a und 136b); eine Kontaktätzstoppschicht (Contact Etch Stop Layer - CESL) 128 und ein leitfähiges Merkmal 126 auf. Das Bauteil 100 kann diverse andere Merkmale, die in 1 nicht gezeigt sind, aufweisen. Die Komponenten des Bauteils 100 sind unten weiter beschrieben.
  • Das Substrat 102 ist bei der vorliegenden Ausführungsform ein Siliziumsubstrat (zum Beispiel ein Siliziumwafer). Alternativ kann das Substrat 102 andere elementare Halbleiter umfassen, wie Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumnitrid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und Indiumantimonid aufweist; einen Legierungshalbleiter, der Silizium-Germanium, Galliumarsenidphosphid, Aluminium-Indiumphosphid, Aluminium-Gallium-Arsenid, Gallium-Indium-Arsenid, Gallium-Indium-Phosphid und Gallium-Indium-Arsenid-Phosphid oder Kombinationen davon aufweist. Bei Ausführungsformen kann das Substrat 102 Indium-Zinn-Oxid (ITO)-Glas aufweisen, Silizium auf Isolator (SOI)-Substrat aufweisen, zur Leistungsverbesserung beansprucht und/oder belastet sein, epitaktische Bereiche, dotierte Bereiche aufweisen, und/oder andere geeignete Merkmale und Schichten aufweisen.
  • Die aktiven Bereiche 104 können eine oder mehr Schichten aus Halbleitermaterialien wie Silizium oder Silizium-Germanium aufweisen und können mit geeigneten Dotierstoffen zum Bilden aktiver oder passiver Bauteile dotiert sein. Bei einer Ausführungsform weisen die aktiven Bereiche 104 mehrere Schichten aus Halbleitermaterialien auf, die abwechselnd eines über dem anderen gestapelt sind, die zum Beispiel mehrere Schichten aus Silizium und mehrere Schichten aus Silizium-Germanium alternativ gestapelt haben. Die aktiven Bereiche 104 können eine planare Struktur haben, zum Beispiel zum Bilden planarer Transistoren (oder 2D-Transistoren). Alternativ oder zusätzlich können die aktiven Bereiche 104 dreidimensionale (3D)-Strukturen wie Finnen aufweisen, zum Beispiel zum Bilden von Multi-Gate-Transistoren (oder 3D-Transistoren) wie FinFETs. Die aktiven Bereiche 104 können durch ein beliebiges zweckdienliches Verfahren strukturiert werden. Die aktiven Bereiche 104 können zum Beispiel unter Verwenden eines oder mehrerer photolitografischer Prozesse, darunter Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse, strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Fotolithografie und selbsttätig ausgerichtete Prozesse, die es erlauben, Strukturen zu schaffen, die zum Beispiel Abstände kleiner als die haben, die man anderenfalls unter Verwenden eines einzigen direkten Fotolithografieprozesses erhalten kann. Bei einer Ausführungsform wird zum Beispiel eine Opferschicht über einem Substrat gebildet und unter Verwenden eines Fotolithografieprozesses strukturiert. Abstandhalter werden entlang der strukturierten Opferschicht unter Verwenden eines sich selbsttätig ausrichtenden Prozesses gebildet. Die Opferschicht wird dann entfernt, und die restlichen Abstandhalter oder Dorne können dann als ein Maskierungselement zum Strukturieren der aktiven Bereiche 104 verwendet werden. Das Maskierungselement kann zum Beispiel zum Ätzen von Vertiefungen in Halbleiterschichten über oder in dem Substrat 102 verwendet werden, was die aktiven Bereiche 104 auf dem Substrat 102 hinterlässt. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (Reactive Ion Etching, RIE) und/oder andere geeignete Prozesse aufweisen. Ein Trockenätzprozess kann zum Beispiel ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (zum Beispiel HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon umsetzen. Ein Nassätzprozess kann zum Beispiel Ätzen in verdünnter Flusssäure (DHF); Kaliumhydroxid (KOH)-Lösung; Ammoniak; einer Lösung, die Flusssäure (HF), Salpetersäure (HNO3) und/oder Essigsäure (CH3COOH) enthält, oder ein anderes geeignetes Nassätzmittel umfassen. Zahlreiche andere Ausführungsformen von Verfahren zum Bilden der aktiven Bereiche 104 können geeignet sein.
  • Die Isolationsstruktur 106 kann Siliziumoxid (Si02), Siliziumnitrid (Si3N4), Siliziumoxinitrid (SiON), Fluorid-dotiertes Silikatglas (FSG), ein dielektrisches Low-k-Material und/oder ein anderes geeignetes Isoliermaterial aufweisen. Bei einer Ausführungsform wird die Isolationsstruktur 106 durch Ätzen von Gräben in oder über dem Substrat 102 (zum Beispiel als Teil des Prozesses des Bildens der aktiven Bereiche 104), Füllen der Gräben mit einem isolierenden Material und Ausführen eines chemisch-mechanischen Planarisierungs- (CMP)-Prozesses und/oder einen Rückätzprozess zu dem isolierenden Material, was das restliche isolierende Material als die Isolationsstruktur 106 hinterlässt, ausgeführt. Andere Typen von Isolationsstruktur können auch geeignet sein, wie Feldoxid und lokale Oxidation von Silizium (LOCal Oxidation of Silicon - LOCOS). Die Isolationsstruktur 106 kann eine mehrschichtige Struktur aufweisen, die zum Beispiel eine oder mehr Linerschichten auf Oberflächen des Substrats 102 und den aktiven Bereichen 104 und eine Hauptisolationsschicht über der einen oder mehr Linerschichten haben.
  • Die S/D-Merkmale 108 können n-Typ-dotiertes Silizium für NFETs, p-Typ-dotiertes Silizium-Germanium für PFETs oder andere geeignete Materialien aufweisen. Die S/D-Merkmale 108 können durch Ätzen von Vertiefungen in die aktiven Bereiche 104 benachbart zu den Gate-Abstandhaltern 112 und 114 und durch epitaktisches Aufwachsen von Halbleitermaterialien in den Vertiefungen gebildet werden. Die epitaktisch aufgewachsenen Halbleitermaterialien können mit zweckdienlichen Dotierstoffen in-situ oder ex-situ dotiert werden. Die S/D-Merkmale 108 können jede beliebige zweckdienliche Form haben und können teilweise in die aktiven Bereiche 104, wie in 1 gezeigt, eingebettet sein.
  • Die Gate-Abstandhalter 112 können ein dielektrisches Material, wie Siliziumoxid oder Siliziumoxinitrid, aufweisen. Die Gate-Abstandhalter 114 können ein dielektrisches Material, wie Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Siliziumkarbid oder ein anderes dielektrisches Material oder Kombinationen davon aufweisen. Die Gate-Abstandhalter 112 und 114 können durch Abscheidung (zum Beispiel chemische Gasphasenabscheidung (Chemical Vapor Deposition - CVD) oder physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) und Ätzprozesse gebildet werden.
  • Der Gatestapel 116 (zum Beispiel 116a oder 116b) kann eine dielektrische Gate-Schicht und eine Gate-Elektrodenschicht aufweisen, und kann ferner eine Grenzflächenschicht unter der dielektrischen Gate-Schicht aufweisen. Die Grenzflächenschicht kann ein dielektrisches Material wie Si02 oder SiON aufweisen, und kann durch chemische Oxidation, Wärmeoxidation, Atomschichtabscheidung (Atomic Layer Deposition - ALD), CVD und/oder ein anderes zweckdienliches Verfahren gebildet werden. Die dielektrische Gate-Schicht kann Si02 oder ein dielektrisches High-k-Material wie Hafniumsiliziumoxid (HfSiO), Hafniumoxid (HfO2), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO2), Lanthanumoxid (La2O3), Titanoxid (TiO2), Yttriumoxid (Y2O3), Strontiumtitanat (SrTiO3) oder eine Kombination davon aufweisen. Die dielektrische Gate-Schicht kann unter Verwenden von CVD, PVD, ALD und/oder anderen zweckdienlichen Verfahren abgeschieden werden. Die Gate-Elektrodenschicht kann Polysilizium und/oder eine oder mehr Metall enthaltende Schichten aufweisen. Die Gate-Elektrodenschicht kann zum Beispiel Austrittsarbeitmetallschicht(en), leitfähige Sperrschicht(en) und Metallfüllschicht(en) aufweisen. Die Austrittsarbeitmetallschicht kann eine p-Typ- oder eine n-Typ-Austrittsarbeitsschicht in Abhängigkeit von dem Typ (PFET oder NFET) des Bauteils sein. Die p-Typ-Austrittsarbeitsschicht umfasst ein Metall, das aus der Gruppe von Titan-Aluminiumnitrid (TiAlN), Titannitrid (TiN), Tantalnitrid (TaN), Ruthenium (Ru), Molybdän (Mo), Wolfram (W), Platin (Pt) oder Kombinationen davon ausgewählt ist, ohne darauf beschränkt zu sein. Die n-Typ-Austrittsfunktionsschicht umfasst ein Metall, das aus der Gruppe von Titan (Ti, Aluminium (Al), Tantalkarbid (TaC), Tantalkarbidnitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Titan-Aluminiumnitrid (TiAlN), Titan-Siliziumnitrid (TiSiN) ausgewählt wird, ohne darauf beschränkt zu sein. Die Metallfüllschicht kann zum Beispiel Aluminium (Al), Wolfram (W), Cobalt, (Co) und/oder andere zweckdienliche Materialien aufweisen. Die Gate-Elektrodenschicht kann unter Verwenden von Verfahren wie CVD, PVD, galvanisches Beschichten und/oder anderer zweckdienlicher Prozesse gebildet werden. Die Gatestapel 116 können durch beliebige zweckdienliche Prozesse, darunter Gate-First-Prozesse und Gate-Last-Prozesse gebildet werden. Bei einem beispielhaften Gate-First-Prozess, werden diverse Materialschichten abgeschieden und strukturiert, um die Gate-Stapel 116 zu werden, bevor Transistor-Source-/Drain-Merkmale 108 gebildet werden. Bei einem beispielhaften Gate-Last-Prozess (auch Gate-Ersatzprozess genannt) werden zeitweilige Gate-Strukturen zuerst gebildet. Dann, nachdem die Transistor-Source-Drain-Merkmale 108 gebildet wurden, werden die zeitweiligen Strukturen entfernt und mit den Gate-Stapeln 116 ersetzt. Bei der vorliegenden Ausführungsform wird der Gate-Stapel 116a über einem Kanalbereich eines Transistors angeordnet und funktioniert als ein Gate-Anschluss. Das Bauteil 100 kann ferner einen Co enthaltenden Pfropfen aufweisen, der über dem Gate-Stapel 116a angeordnet ist, obwohl das in dieser Querschnittansicht nicht gezeigt ist.
  • Die dielektrischen Schichten 110, 120 und 130 werden auch dielektrische Zwischenschichten (Interlayer Dielectric Layer - ILD) genannt. Jede der ILD-Schichten 110, 120 und 130 kann Tetraethylorthosilikat (TEOS)-Oxid, undotiertes Silikatglas oder dotiertes Siliziumdioxid, wie Borphosphorsilikatglas (Borophosphosilicate Glass - BPSG) umfassen, synthetisches Quarzglas (Fused Silica Glass - FSG), Bor-dotiertes Quarzglas (Boron Doped Silicon Glass - BSG) und/oder andere zweckdienliche dielektrische Materialien umfassen. Jede ILD-Schicht kann durch Plasma-verstärkte CVD (PECVD), fließfähige CVD (Flowable CVD - FCVD) oder andere zweckdienliche Verfahren ausgeführt werden. Die ILD-Schichten 110, 120 und 130 können dieselben oder unterschiedliche Materialien haben.
  • Bei der vorliegenden Ausführungsform, weist die Sperrschicht 122 eine doppelte Sperrschicht auf, die eine erste Sperrschicht auf Seitenwänden der Co enthaltenden Pfropfen 124 (zum Beispiel 124a und 124b) und eine zweite Sperrschicht über Seitenwänden der ersten Sperrschicht, zum Beispiel zwischen der ersten Sperrschicht und der ILD-Schicht 120 aufweist. Bei einer Ausführungsform weist die erste Sperrschicht Titannitrid (TiN) oder Tantalnitrid (TaN) auf. Bei einer Ausführungsform weist die zweite Sperrschicht Siliziumnitrid (Si3N4) auf. Die Sperrschicht 122 kann durch CVD, ALD oder ein anderes zweckdienliches Verfahren gebildet werden.
  • Bei einer Ausführungsform ist eine Stärke der Sperrschicht 122 konzipiert, um groß genug zu sein, so dass Cobaltelemente von den Co enthaltenden Pfropfen 124 nicht in nahe liegende Sauerstoff enthaltende dielektrische Schichten, wie die ILD-Schicht 120, diffundieren. Gleichzeitig ist die Stärke der Sperrschicht 122 konzipiert, um möglichst klein zu sein, um Raum für die Pfropfen 124 zu lassen. Je größer die Größe der Pfropfen 124 ist, desto kleineren Widerstand können sie bereitstellen. Die Erfinder der vorliegenden Offenbarung haben entdeckt, dass Cobaltelemente etwa 1 nm bis etwa 1,5 nm innerhalb der Sperrschicht 122 diffundieren können. Bei einer beispielhaften Ausführungsform ist die Sperrschicht 122 konzipiert, um etwa 2 nm bis etwa 3 nm zu betragen. Bei einer weiteren Ausführungsform sind die zwei Schichten in der Sperrschicht 122 konzipiert, um in etwa dieselbe Stärke zu haben.
  • Der Co enthaltende Pfropfen 124a wird über dem S/D-Merkmal 108 angeordnet und ist mit ihm in elektrischem Kontakt. Bei der vorliegenden Ausführungsform ist der Pfropfen 124a mit dem S/D-Merkmal 108 durch das Silizidmerkmal 118 verbunden. Bei einer alternativen Ausführungsform ist der Pfropfen 124a mit dem S/D-Merkmal 108 ohne das Silizidmerkmal 118 verbunden. Das Silizidmerkmal 118 kann durch einen Prozess gebildet werden, der das Abscheiden einer Metallschicht, das Glühen der Metallschicht derart, dass die Metallschicht mit dem/den Halbleitermaterial(ien) in dem S/D-Merkmal 108 reagiert, um Silizid zu bilden, und dann Entfernen der Metallschicht, die nicht reagiert hat, gebildet werden. Das Silizidmerkmal 118 kann Nickelsilizid, Titansilizid, Cobaltsilizid oder andere zweckdienliche Silizidbildung oder Germanosilizidbildung aufweisen.
  • Der Co enthaltende Pfropfen 124b ist über dem Gate-Stapel 116b angeordnet und mit ihm (direkt oder indirekt) in elektrischem Kontakt. Die Co enthaltenden Pfropfen 124 (darunter 124a und 124b) können durch CVD, PVD, galvanisches Beschichten oder andere zweckdienliche Verfahren gebildet werden. Bei einer Ausführungsform werden die Pfropfen 124 und die Sperrschicht 122 durch eine Vorgehensweise gebildet, die das Ätzen von Kontaktlöchern in die ILD-Schicht 120, das Abscheiden der Sperrschicht 122 in den Kontaktlöchern, das teilweise Entfernen der Sperrschicht 122, um die Gate-Stapel 116a und 116b freizulegen, das optionale Bilden des Silizidmerkmals 118 und das Abscheiden der Co enthaltenden Pfropfen 124 in die Kontaktlöcher aufweist.
  • Die CESL 128 kann Siliziumnitrid, Siliziumoxinitrid, Siliziumnitrid mit Sauerstoff (O)- oder Carbon (C)-Elementen und/oder andere Materialien umfassen und kann durch CVD, PVD, ALD oder andere zweckdienliche Verfahren gebildet werden. Die CESL 128 kann mehrere Schichten aufweisen (zum Beispiel mehrere dielektrische Schichten, die in unterschiedlichen Zeitpunkten abgeschieden werden).
  • Das leitfähige Merkmal 126 kann (ein) beliebige(s) leitfähige(s) Material(ien) aufweisen. Bei einer Ausführungsform stellt das leitfähige Merkmal 126 hohen elektrischen Widerstand (oder niedrige elektrische Leitfähigkeit) bereit, indem es zum Beispiel Teil eines Widerstands ist. Um diese Ausführungsform zu fördern, kann das leitfähige Merkmal 126 Titannitrid oder (ein) andere(s) zweckdienliche Material(ien) aufweisen. Bei einer Ausführungsform wird das leitfähige Merkmal 126 durch eine Vorgehensweise gebildet, die das Abscheiden einer leitfähigen Schicht (zum Beispiel TiN) über einer oder mehr Schichten der CESL 128, das Bilden einer dielektrischen Hartmaskenschicht über der leitfähigen Schicht, das Strukturieren der dielektrischen Hartmaskenschicht und der leitfähigen Schicht und das Abscheiden einer anderen der Schichten der CESL 128 aufweist, wodurch das leitfähige Merkmal 126 (optional die strukturierte Hartmaskenschicht) innerhalb der CESL 128 eingebettet wird.
  • Bei der vorliegenden Ausführungsform weist die Sperrschicht 132 Siliziumnitrid (Si3N4) auf, die Sperrschicht 134 weist Titannitrid (TiN) oder Tantalnitrid (TaN) auf, und die leitfähige Kappe 136 weist Wolfram oder Cobaltsilizid (CoSix wie CoSi, CoSi2 und/oder Co2Si) auf. Die Merkmale 132, 134, 136 und 138 können durch ALD, CVD oder andere zweckdienliche Verfahren gebildet werden.
  • Bei einer Ausführungsform ist eine Gesamtstärke der Sperrschichten 132 und 134 konzipiert, um groß genug zu sein, so dass Cobalt-Elemente von den Co enthaltenden Pfropfen 138 nicht in nahe liegende Sauerstoff enthaltende dielektrische Schichten, wie die ILD-Schicht 130, die Si02 hat, diffundieren. Gleichzeitig ist die Gesamtstärke der Sperrschichten 132 und 134 konzipiert, um möglichst klein zu sein, um Raum für die Pfropfen 138 zu lassen. Je größer die Größe der Pfropfen 138 ist, desto kleineren Widerstand können sie bereitstellen. Die Erfinder der vorliegenden Offenbarung haben entdeckt, dass Cobaltelemente etwa 1 nm bis etwa 1,5 nm innerhalb der Sperrschichten 132 und 134 diffundieren können. Bei einer Ausführungsform sind die Sperrschichten 132 und 134 konzipiert, um in etwa dieselbe Stärke zu haben, und die Gesamtstärke der Sperrschichten 132 und 134 beträgt etwa 2 nm bis etwa 3 nm.
  • Bei der vorliegenden Ausführungsform sind die Cobaltkörner in den Co enthaltenden Pfropfen 124 und 138 entsprechend konzipiert, um in kleine Durchkontaktierungslöcher zu passen, um niedrigen Widerstand bereitzustellen. Bei einer Ausführungsform haben mehr als 60 % von Cobaltkörnern in jedem der Pfropfen 124 und 138 eine Korngröße von etwa 11 nm bis etwa 13 nm , und der Rest der Cobaltkörner darin kann eine Korngröße unter 10 nm haben. Solche Korngrößen stellen niedrigen Widerstand sogar in kleinen Durchkontaktierungslöchern bereit. Die Co enthaltenden Pfropfen 138 und 124 stellen niedrigeren Widerstand als herkömmliche W-Pfropfen bereit. Das ermöglicht es, kleinere Schaltungen zu schaffen und/oder niedrigeren Stromverbrauch mit dem Bauteil 100 zu verwirklichen.
  • Die Sperrschicht 140 kann Titannitrid oder Tantalnitrid aufweisen und kann durch ALD oder andere zweckdienliche Verfahren gebildet werden. Der Ru enthaltende Pfropfen 142 kann durch CVD oder andere zweckdienliche Verfahren gebildet werden. Bei der vorliegenden Ausführungsform wird der Ru enthaltende Pfropfen 142 über dem leitfähigen Merkmal 126 angeordnet und ist mit ihm in elektrischem Kontakt. Der Ru enthaltende Pfropfen 142 kann zum Beispiel als ein Anschluss des Widerstands, der das leitfähige Merkmal 126 aufweist, dienen. Der Ru enthaltende Pfropfen 142 stellt niedrigeren Widerstand bereit als herkömmliche W-Pfropfen. Das stellt für das Bauteil 100 einige Vorteile bereit. Der Pfropfen 142 trägt zum Beispiel nur einen vernachlässigbaren Widerstand zu dem Gesamtwiderstand des Schaltungswegs, der das leitfähige Merkmal 126 aufweist, bei. Der Schaltungsweg kann daher präziser konzipiert und hergestellt werden.
  • Bei den vorliegenden Ausführungsformen haben die Pfropfen 138 und 142 ein trapezförmiges Querschnittprofil, bei dem ihre Bodenbreite kleiner ist als ihre jeweilige Oberseitenbreite. Bei einigen Ausführungsformen beträgt die Bodenbreite mehr als 50 % aber nicht mehr als 90 % der jeweiligen Oberseitenbreite. Ein solches geometrisches Konzept erlaubt es, dass die Pfropfen 138 und 142 die jeweiligen Durchkontaktierungslöcher vollständig füllen.
  • Die 2A und 2B veranschaulichen ein Ablaufdiagramm eines Verfahrens 200 zum Bilden des Halbleiterbauteils 100 in Übereinstimmung mit einigen Ausführungsformen. Das Verfahren 200 ist nur ein Beispiel und soll die vorliegende Offenbarung nicht über das, was in den Ansprüchen explizit dargelegt ist, hinaus begrenzen. Zusätzliche Vorgänge können vor, während und nach dem Verfahren 200 vorgesehen sein, und einige Vorgänge, die beschrieben sind, können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder an eine andere Stelle verlegt werden. Das Verfahren 200 ist unten in Verbindung mit den 3 bis 12 beschrieben, die diverse Querschnittansichten des Halbleiterbauteils 100 während Herstellungsschritten gemäß dem Verfahren 200 veranschaulichen.
  • Bei Vorgang 202 stellt das Verfahren 200 (2A) eine Bauteilstruktur (Werkstück) 100, wie in 3 gezeigt, bereit oder ist damit versehen. Die Bauteilstruktur 100 weist das Substrat 102; die aktiven Bereiche 104; die Isolationsstruktur 106; das S/D-Merkmal 108; das Silizidmerkmal 118; die Gate-Stapel 116; die Gate-Abstandhalter 112 und 114; die ILD-Schichten 110, 120 und 130; die Co enthaltenden Pfropfen 124; die Sperrschicht 122; das leitfähige Merkmal 126 und die CESL 128 auf. Diese diversen Merkmale wurden oben unter Bezugnahme auf 1 besprochen.
  • Bei Vorgang 204 ätzt das Verfahren 200 (2A) die ILD-Schicht 130 und die CESL 128, um Durchkontaktierungslöcher 127 zu bilden, darunter die Durchkontaktierungslöcher 127a, 127b und 127c. Unter Bezugnahme auf 4, werden die Durchkontaktierungslöcher 127a und 127b jeweils über den Co enthaltenden Pfropfen 124a und 124b geätzt und legen die jeweilige obere Oberfläche der Co enthaltenden Pfropfen 124a und 124b mindestens teilweise frei. Das Durchkontaktierungsloch 127c wird über dem leitfähigen Merkmal 126 geätzt und legt einen Abschnitt der oberen Oberfläche des leitfähigen Merkmals 126 frei. Bei einer Ausführungsform weist der Vorgang 204 einen Fotolithografieprozess und einen oder mehr Ätzprozesse auf. Der Vorgang 204 kann zum Beispiel einen strukturierten Fotolack über dem Bauteil 100 durch Fotolackbeschichtung, freilegen, Einbrennen nach Exposition und Entwickeln bilden. Der Vorgang 204 ätzt dann Schichten 128 und 130 unter Verwenden des strukturierten Fotolacks oder einer Ableitung, wie einer Ätzmaske, um die Durchkontaktierungslöcher 127 zu bilden. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen und/oder andere geeignete Ätzverfahren aufweisen. Der strukturierte Fotolack wird anschließend zum Beispiel durch Fotolack-Stripping entfernt. Bei der vorliegenden Ausführungsform werden die Ätzprozesse gesteuert, um ein trapezförmiges Profil in den Durchkontaktierungslöchern 127 zu erzeugen. Insbesondere hat jedes Durchkontaktierungsloch 127 eine Bodenöffnungsbreite Wi, die mindestens 50 % aber nicht mehr als 90 % der jeweiligen Oberseitenöffnungsbreite W2 (entlang der X-Richtung) beträgt. Das erlaubt es den Materialschichten (zum Beispiel den Schichten 132, 134, 140, 138 und 142), die Durchkontaktierungspropfen 127 bei darauffolgenden Schritten korrekt zu füllen. Falls die Durchkontaktierungslöcher 127 zu aufrecht sind (wenn W1 zum Beispiel größer ist als 90 % von W2), werden eventuell die unteren Ecken der Durchkontaktierungslöcher 127 nicht korrekt gefüllt, was in ihnen Leerstellendefekte hinterlässt. Falls die Durchkontaktierungslöcher 127 zu schräg sind (zum Beispiel wenn W1 kleiner ist als 50 % von W2), kann der Widerstand in den Pfropfen 138 und 142 zu hoch sein.
  • Bei Vorgang 206 scheidet das Verfahren 200 (2A) die Sperrschicht 132 in die Durchkontaktierungslöcher 127 ab. Unter Bezugnahme auf 5, wird bei der vorliegenden Ausführungsform die Sperrschicht 132 als eine im Wesentlichen formangeglichene Schicht abgeschieden, die den Boden und die Seitenwandoberflächen der Durchkontaktierungslöcher 127 abdeckt. Bei einer Ausführungsform wird die Sperrschicht 132 abgeschieden, um eine Stärke von etwa 1 nm bis etwa 1,5 nm zu haben. Die Sperrschicht 132 weist bei der vorliegenden Ausführungsform Siliziumnitrid (Si3N4) auf. Der Vorgang 206 kann ALD- oder CVD-Verfahren zum Abscheiden der Sperrschicht 132 verwenden.
  • Bei Vorgang 208 scheidet das Verfahren 200 (2A) die Sperrschicht 134 in die Durchkontaktierungslöcher 127 ab. Unter Bezugnahme auf 6, wird bei der vorliegenden Ausführungsform die Sperrschicht 134 als eine im Wesentlichen formangeglichene Schicht über der Sperrschicht 132 abgeschieden. Bei einer Ausführungsform wird die Sperrschicht 134 abgeschieden, um eine Stärke von etwa 1 nm bis etwa 1,5 nm zu haben. Die Sperrschicht 134 weist bei der vorliegenden Ausführungsform Titannitrid auf. Bei einer alternativen Ausführungsform, weist die Sperrschicht 134 Tantalnitrid auf. Der Vorgang 208 kann ALD- oder CVD-Verfahren zum Abscheiden der Sperrschicht 134 verwenden. Bei einer Ausführungsform bricht das Verfahren 200 das Vakuum zwischen den Vorgängen 206 und 208.
  • Bei Vorgang 210 ätzt das Verfahren 200 (2A) die Sperrschichten 134 und 132, um ihre Bodenabschnitte in den Durchkontaktierungslöchern 127 zu entfernen. Unter Bezugnahme auf 7, bleiben die Sperrschichten 132 und 134 auf den Seitenwänden der Durchkontaktierungslöcher 127 und werden von dem Boden der Durchkontaktierungslöcher 127 entfernt, um die Co enthaltenden Pfropfen 124 und das leitfähige Element 126 freizulegen. Bei der vorliegenden Ausführungsform verwendet der Vorgang 210 ein oder mehr Trockenätzprozesse zum Ätzen der Sperrschichten 134 und 132. Ferner kann Vorgang 210 einen Nassätzprozess anwenden, wie einen SC1 (Standard Clean 1)- oder SC2 (Standard Clean 2)-Prozess, um beliebige Reste von den oberen Oberflächen der Pfropfen 124 und des leitfähigen Merkmals 126 zu entfernen. Aufgrund des schrägen Profils der Durchkontaktierungslöcher 127, können die Sperrschichten 134 auf den Seitenwänden der Durchkontaktierungslöcher 127 auch etwas Verlust durch die Ätz- und Reinigungsprozesse erfahren. Solcher Verlust wird bei dem Vorgang 208 berücksichtigt. Mit anderen Worten wird die Sperrschicht 134 (durch den Vorgang 208) auf eine ausreichende Stärke derart abgeschieden, dass die Sperrschicht 134 nach dem Vorgang 210 bei der vorliegenden Ausführungsform in etwa dieselbe Stärke hat wie die der Sperrschicht 132.
  • Bei einigen Ausführungsformen kann nach dem Vorgang 210 die obere Oberfläche der Co enthaltenden Pfropfen 124 leicht oxidiert sein (von der Umgebungsluft), um etwas Cobaltoxidverbindung (CoOx), wie CoO, Co3O4 und Co2O3, zu enthalten. Bei Vorgang 212 führt das Verfahren 200 (2A) einen Vorreinigungsprozess an dem Bauteil 100 aus. Insbesondere reinigt der Vorreinigungsprozess die oberen Oberflächen der Pfropfen 124 und entfernt jegliche Oxidation auf ihnen. Bei einer Ausführungsform bringt der Vorgang 212 Wasserstoff (H2)-Plasma an dem Bauteil 100 zum Beispiel in einer vor Reinigungskammer 304 in einem In-Situ-Cluster-Tool 300, das in 14 abgebildet ist, auf. Zum Beispiel kann das H2-Plasma mit einer Funkfrequenzquelle zu 2 Megahertz (RF2) mit einer Leistung von etwa 800 Watt bis etwa 900 Watt, mit einer Funkfrequenzquelle zu 13,56 MHz (RF1) als eine Vorspannung, deren Leistung von etwa 100 Watt bis 150 Watt reichen kann, mit reinem H2-Gas mit einer Flussrate von etwa 20 sccm (Standard-Kubikzentimeter pro Minute) bis etwa 100 sccm und einem Gesamtdruck von etwa 3 mTorr bis etwa 6 mTorr (1,00 Torr = 133 Pa) erzeugt werden. Bei einer Ausführungsform kann das H2-Gas mit etwas Edelgasen, wie Argon, gemischt werden. Das H2-Plasma hilft, jegliche Oxidation von den Oberflächen der Co enthaltenden Pfropfen 124 zu entfernen.
  • Bei Vorgang 214 führt das Verfahren 200 (2B) einen selektiven Abscheidungsprozess zum Abscheiden der leitfähigen Kappen 136 über den Co enthaltenden Pfropfen 124 aber nicht über dem leitfähigen Merkmal 126, das von Cobalt frei ist, ab. Unter Bezugnahme auf 8 werden die leitfähigen Kappen 136a und 136b über und in direktem Kontakt jeweils mit den Co enthaltenden Pfropfen 124a und 124b abgeschieden, während die obere Oberfläche des leitfähigen Merkmals 126 durch das Durchkontaktierungsloch 127c freigelegt bleibt. Bei einer Ausführungsform kann der Vorgang 214 in einer Abscheidungskammer 316 in dem In-Situ-Cluster-Tool 300 (14) ohne Brechen des Vakuums im Anschluss an den Vorgang 212 ausgeführt werden. Bei einer Ausführungsform scheidet der Vorgang 214 selektiv Wolfram (W) als die leitfähigen Kappen 136 ab. Die selektive W-Abscheidung kann zum Beispiel bei einer Temperatur von etwa 250 °C bis etwa 300 °C, bei einem Gesamtdruck von etwa 5 Torr bis etwa 15 Torr (1,00 Torr = 133 Pa) und unter Verwenden von WF6 und H2 als die Reaktionsgase ausgeführt werden. Eine so niedrige Temperatur und ein so niedriger Druck sind für den Vorgang 214 wünschenswert, weil hohe Temperatur und/oder hoher Druck in nicht selektiver W-Abscheidung resultieren können. Die leitfähigen Kappen 136 können bis etwa 30,0 nm bis etwa 50,0 nm stark abgeschieden werden. Ihre Stärke kann anhand einer Prozesszeitsteuerung gesteuert werden. Bei einer anderen Ausführungsform scheidet der Vorgang 214 selektiv Cobaltsilizid Wolfram (CoSix) als die leitfähigen Kappen 136 ab. Der Vorgang 214 kann zum Beispiel Cobaltsilizid bei einer Temperatur von etwa 250 °C bis etwa 500 °C, bei einem Gesamtdruck von etwa 5 Torr bis etwa 55 Torr (1,00 Torr = 133 Pa) und unter Verwenden von SiH4 als das Reaktionsgas abscheiden.
  • Bei Vorgang 216 wächst das Verfahren 200 (2B) Cobalt (Co) auf den leitfähigen Kappen 136 nicht aber auf dem leitfähigen Merkmal 126 auf. Unter Bezugnahme auf 9, werden die Co enthaltenden Pfropfen 138a und 138b jeweils über den leitfähigen Kappen 136a und 136b aufgewachsen und füllen die Durchkontaktierungslöcher 127a und 127b vollständig. Das Durchkontaktierungsloch 127c bleibt offen, wobei die obere Oberfläche des leitfähigen Merkmals 126 dahindurch freigelegt ist. Bei einer Ausführungsform kann der Vorgang 216 in einer Abscheidungskammer 308 in dem In-Situ-Cluster-Tool 300 (14) ohne Brechen des Vakuums im Anschluss an den Vorgang 214 ausgeführt werden. Die selektive Co-Abscheidung kann zum Beispiel bei einer Temperatur von etwa 150 °C bis etwa 300 °C, bei einem Gesamtdruck von etwa 5 Torr bis etwa 15 Torr (1,00 Torr = 133 Pa) und unter Verwenden von C5H5Co(CO)2 (Cyclopentadienylcobaltdicarbonyl) und H2 als die Reaktionsgase ausgeführt werden. Eine so niedrige Temperatur und ein so niedriger Druck sind für den Vorgang 216 wünschenswert, weil hohe Temperatur und/oder hoher Druck in nicht selektiver Co-Abscheidung resultieren können. Die Co enthaltenden Pfropfen 138 können bis zu etwa 30,0 nm bis etwa 150,0 nm stark abgeschieden werden. Ihre Stärke kann anhand einer Prozesszeitsteuerung gesteuert werden.
  • Bei Vorgang 218 scheidet das Verfahren 200 (2B) die Sperrschicht 140 über dem Bauteil 100 ab. Unter Bezugnahme auf 10, wird die Sperrschicht 140 abgeschieden, um im Wesentlichen gleichmäßige Stärke auf der Oberfläche des Bauteils 100 zu haben, insbesondere auf dem Boden und den Seitenwandoberflächen des Durchkontaktierungslochs 127c. Bei einer Ausführungsform weist die Sperrschicht 140 Titannitrid (TiN) oder Tantalnitrid (TaN), das unter Verwenden von ALD abgeschieden wird, auf. Bei einer Ausführungsform kann der Vorgang 218 in einer Abscheidungskammer 312 oder 314 in dem In-Situ-Cluster-Tool 300 (14) ohne Brechen des Vakuums im Anschluss an den Vorgang 216 ausgeführt werden. Das Abscheiden der Sperrschicht 140 kann bei einer Temperatur von etwa 250 °C bis etwa 400 °C, einem Gesamtdruck von 0,5 Torr bis etwa 5 Torr (1,00 Torr = 133 Pa) und unter Verwenden von TDMAT (Tetrakis(dimethylamido)titan) (für die TiN-Abscheidung) oder PDMAT (Pentakis(dimethylamino)tantal) (für die TaN-Abscheidung) als der Vorläufer ausgeführt werden. Die Sperrschicht 140 kann bis etwa 1,0 nm bis etwa 2,0 nm stark abgeschieden werden. Die Stärke der Sperrschicht 140 kann durch die Anzahl von ALD-Zyklen gesteuert werden.
  • Bei Vorgang 220 scheidet das Verfahren 200 (2B) eine Ru enthaltende Schicht 142 über dem Bauteil 100 ab. Unter Bezugnahme auf 11, wird die Ru enthaltende Schicht 142 abgeschieden, um die Oberfläche des Bauteils 100 zu bedecken und das Durchkontaktierungsloch 127c zu füllen. Bei einer Ausführungsform führt der Vorgang 220 einen CVD-Prozess, um die Schicht 142 abzuscheiden, zum Beispiel in einer Abscheidungskammer 310 in dem In-Situ-Cluster-Tool 300 (14) ohne Brechen des Vakuums im Anschluss an den Vorgang 218 aus. Die Abscheidung der Schicht 142 kann zum Beispiel bei einer Temperatur von etwa 150 °C bis etwa 300 °C, bei einem Gesamtdruck von etwa 5 Torr bis etwa 15 Torr (1,00 Torr = 133 Pa) und unter Verwenden von Ru3(CO)12 (Triruthenidodecacarbonyl) und H2 als die Reaktionsgase ausgeführt werden. Die Ru enthaltenden Pfropfen 142 können bis zu etwa 30,0 nm bis etwa 150,0 nm stark abgeschieden werden. Ihre Stärke kann anhand einer Prozesszeitsteuerung gesteuert werden.
  • Bei Vorgang 222 führt das Verfahren 200 (2B) einen chemisch-mechanischen Planarisierungs- (CMP)-Prozess an dem Bauteil 100 aus. Unter Bezugnahme auf 12, werden die Ru enthaltende Schicht 142 und die Sperrschicht 140 durch den CMP-Prozess mit Ausnahme von in dem Durchkontaktierungsloch 127c entfernt. Der verbleibende Abschnitt der Ru enthaltenden Schicht 142 wird der Ru enthaltende Pfropfen 142.
  • Bei Vorgang 224 führt das Verfahren 200 (2B) weitere Prozesse an dem Bauteil 100 aus. Der Vorgang 224 kann zum Beispiel eine andere Ätzstoppschicht (ESL) und eine andere ILD-Schicht über der ILD-Schicht 130 abscheiden, die neue abgeschiedene ESL- und ILD-Schicht ätzen, um Gräben zu bilden, und ein Metall (zum Beispiel Kupfer) in den Gräben abzuscheiden, um Metalldrähte zu bilden. Die Metalldrähte sind konfiguriert, um die diversen Durchkontaktierungspropfen 138 und 142 sowie andere Schaltungsmerkmale zu verschalten. Der Vorgang 224 kann einen solchen Prozess wiederholen, um eine beliebige Anzahl von Schichten aus Metalldrähten zu bilden.
  • 13 veranschaulicht eine chemische Analyse einer Ausführungsform des Bauteils 100 entlang der Linie A-A von 12. Unter Bezugnahme auf 13, weist der Pfropfen 138 in der Hauptsache Co auf oder besteht bei dieser Ausführungsform im Wesentlichen aus Co, die Sperrschicht 134 weist TiN auf, die Sperrschicht 132 weist Siliziumnitrid (Si3N4) auf, und die ILD-Schicht 130 weist in der Hauptsache Siliziumoxid (SiO2) auf. Einige Co-Elemente diffundieren von dem Co enthaltenden Pfropfen 138 in die Sperrschicht 134. Eine kleine Menge von Co-Elementen diffundiert sogar in die Sperrschicht 132. Aber die ILD-Schicht 130 ist im Wesentlichen von Co-Elementen frei, was die Effektivität der doppelten Sperrschicht 132 und 134 darlegt.
  • 14 veranschaulicht das In-Situ-Cluster-Tool 300 zum Ausführen einiger Herstellungsschritte des Verfahrens 200, und veranschaulicht ferner eine schematische Vergrößerung der Prozesskammer 304. Unter Bezugnahme auf 14, weist das Cluster-Tool 300 Eingangs-/Ausgangsports (zum Beispiel Lastanschlüsse) zum Anschließen anderer Prozesswerkzeuge zum Beispiel durch ein flurfreies Transportsystem auf. Das Cluster-Tool 300 weist ferner diverse Prozesskammern 304, 306, 308, 310, 312, 314 und 316 auf. Die Prozesskammern 304 und 306 können zum Beispiel konfiguriert sein, um Reinigungsprozesse ausführen, wie den Vorreinigungsprozess in dem Vorgang 212; die Prozess, 308 kann konfiguriert sein, um Cobaltabscheidung in dem Vorgang 216 auszuführen; die Prozesskammer 310 kann konfiguriert sein, um Rutheniumabscheidung in dem Vorgang 220 auszuführen; die Prozesskammer 312 kann konfiguriert sein, um ALD-TaN-Abscheidung in dem Vorgang 218 auszuführen; die Prozesskammer 314 kann konfiguriert sein, um ALD-TiN-Abscheidung in dem Vorgang 218 auszuführen, und die Prozesskammer 316 kann konfiguriert sein, um W-Abscheidung in dem Vorgang 214 auszuführen.
  • Obwohl sie nicht einschränkend sein sollen, stellen eine oder mehr Ausführungsformen der vorliegenden Offenbarung viele Vorteile für eine Halbleitervorrichtung und deren Ausbilden bereit. Ausführungsformen der vorliegenden Offenbarung stellen zum Beispiel Durchkontaktierungspropfen mit ultraniedrigem Widerstand bereit, die Cobalt und/oder Ruthenium als das Hauptmetall haben, und ferner dünne doppelte Sperrschichten haben, um Co- und Ru-Elemente daran zu hindern, in nahe liegende Sauerstoff enthaltende dielektrischen Schichten zu diffundieren. Solche Durchkontaktierungspropfen sind fähig, kleine Durchkontaktierungslöcher, die den Forderungen für fortgesetzte Verkleinerung von Bauteilen entsprechen, vollständig zu füllen. Ausführungsformen der offenbarten Verfahren können ohne weiteres in existierende Herstellungsprozesse integriert werden.
  • Bei einem Aspekt betrifft die vorliegende Offenbarung ein Halbleiterbauteil. Das Halbleiterbauteil weist einen aktiven Bereich über einem Substrat; ein erstes Cobalt enthaltendes Merkmal, das über dem aktiven Bereich angeordnet ist; eine leitfähige Kappe, die über und in physischem Kontakt mit dem ersten Cobalt enthaltenden Merkmal, und ein zweites Cobalt enthaltendes Merkmal, das über und in physischem Kontakt mit der leitfähigen Kappe angeordnet ist, auf.
  • Erfindungsgemäß weist das Halbleiterbauteil ferner eine erste Sperrschicht auf, die Titannitrid über Seitenwänden des zweiten Cobalt enthaltenden Merkmals und der leitfähigen Kappe angeordnet hat, und eine zweite Sperrschicht, die Siliziumnitrid über der ersten leitfähigen Kappe angeordnet hat. Bei einer weiteren Ausführungsform weist das Halbleiterbauteil eine Kontaktätzstoppschicht auf, die über einem unteren Abschnitt von Seitenwänden der zweiten Sperrschicht angeordnet ist, und eine dielektrische Zwischenschicht, die über der Kontaktätzstoppschicht und über einem oberen Abschnitt der Seitenwände der zweiten Sperrschicht angeordnet ist. Bei einer Ausführungsform weist das Halbleiterbauteil ferner ein leitfähiges Merkmal auf, das in die Kontaktätzstoppschicht eingebettet ist, und ein Ruthenium enthaltendes Merkmal, das über und in elektrischem Kontakt mit dem leitfähigen Merkmal angeordnet ist. Bei einer weiteren Ausführungsform weist das Halbleiterbauteil eine dritte Sperrschicht über Seitenwänden des Ruthenium enthaltenden Merkmals auf, wobei die dritte Sperrschicht TaN oder TiN aufweist, wobei die erste und die zweite Sperrschicht ferner auf Seitenwänden der dritten Sperrschicht angeordnet sind.
  • Bei einigen Ausführungsformen hat eine Gesamtstärke der ersten und zweiten Sperrschicht eine größere Diffusionstiefe durch Cobaltelemente aus dem zweiten Cobalt enthaltenden Merkmal in die erste und die zweite Sperrschicht. Bei einigen Ausführungsformen haben die erste und die zweite Sperrschicht etwa eine selbe Stärke und eine Gesamtstärke der ersten und zweiten Sperrschicht beträgt etwa 2 nm bis etwa 3 nm.
  • Bei einigen Ausführungsformen weist die leitfähige Kappe Wolfram oder Cobaltsilizid (SiOx) auf. Bei einigen Ausführungsformen des Halbleiterbauteils haben mehr als 60 % von Cobaltkörnern in dem zweiten Cobalt enthaltenden Merkmal eine Korngröße von etwa 11 nm bis etwa 13 nm. Bei einigen weiteren Ausführungsformen haben andere Cobaltkörner in dem zweiten Cobalt enthaltenden Merkmal eine Korngröße kleiner als 10 nm. Bei einigen Ausführungsformen ist das erste Cobalt enthaltenden Merkmal elektrisch mit einem Transistor-Source-/Drain-Merkmal oder einem Transistor-Gate-Merkmal verbunden.
  • Bei einem anderen Aspekt betrifft die vorliegende Offenbarung ein Halbleiterbauteil. Das Halbleiterbauteil weist einen ersten Cobalt enthaltenden Pfropfen auf, der über einem Substrat angeordnet ist; eine leitfähige Kappe, die über und in physischem Kontakt mit dem ersten Cobalt enthaltenden Pfropfen angeordnet ist; einen zweiten Cobalt enthaltenden Pfropfen, der über und in physischem Kontakt mit der leitfähigen Kappe angeordnet ist; eine erste Sperrschicht über Seitenwänden des zweiten Cobalt enthaltenden Pfropfens und der leitfähigen Kappe; eine zweite Sperrschicht über Seitenwänden der ersten Sperrschicht und eine oder mehr dielektrische Schichten, die die zweite Sperrschicht umgeben, auf.
  • Bei einer Ausführungsform weist die erste Sperrschicht Titannitrid auf, die zweite Sperrschicht weist Siliziumnitrid auf, und die eine oder mehr dielektrischen Schichten weisen Siliziumoxid auf. Bei einigen Ausführungsformen weist das Halbleiterbauteil eine Titannitridschicht auf, die in der einen oder mehr dielektrischen Schichten eingebettet ist, und einen Ruthenium enthaltenden Pfropfen, der über und in elektrischer Verbindung mit der Titannitridschicht angeordnet ist. Bei einer weiteren Ausführungsform weist das Halbleiterbauteil eine dritte Sperrschicht auf, die den Ruthenium enthaltenden Pfropfen umgibt, wobei die erste und die zweite Sperrschicht auch zwischen der dritten Sperrschicht und der einen oder mehr dielektrischen Schicht angeordnet sind.
  • Bei noch einem anderen Aspekt betrifft die vorliegende Offenbarung ein Verfahren. Das Verfahren weist das Bereitstellen einer Struktur auf, die ein Substrat, eine oder mehr erste dielektrische Schichten über dem Substrat, einen ersten Cobalt enthaltenden Pfropfen, der in die eine oder mehr ersten dielektrischen Schichten eingebettet ist, und eine oder mehr zweite dielektrische Schichten über der einen oder mehr ersten dielektrischen Schicht und dem ersten Cobalt enthaltenden Pfropfen, hat. Das Verfahren weist ferner das Ätzen eines Durchkontaktierungslochs in die eine oder mehr zweiten dielektrischen Schichten auf, um den ersten Cobalt enthaltenden Pfropfen freizulegen; das Abscheiden einer ersten Sperrschicht, die Siliziumnitrid hat, in dem Durchkontaktierungsloch; das Abscheiden einer zweiten Sperrschicht, die Titannitrid oder Tantalnitrid hat, in das Durchkontaktierungsloch und über der ersten Sperrschicht; das Ätzen der ersten und zweiten Sperrschicht in dem Durchkontaktierungsloch, um den ersten Cobalt enthaltenden Pfropfen freizulegen; das Bilden einer leitfähigen Kappe über dem ersten Cobalt enthaltenden Pfropfen, der in dem Durchkontaktierungsloch freigelegt ist, und das selektive Aufwachsen von Cobalt über der leitfähigen Kappe auf.
  • Bei einigen Ausführungsformen des Verfahrens weist das Bilden der leitfähigen Kappe das selektive Aufwachsen von Wolfram über dem ersten Cobalt enthaltenden Pfropfen unter Verwenden von WF6 und H2 als Reaktionsgase auf. Bei einigen Ausführungsformen wird das selektive Aufwachsen von Cobalt unter Verwenden von C5H5(CO)2Co und H2 als reaktive Gase ausgeführt.
  • Bei einigen Ausführungsformen weist das Verfahren ferner das Reinigen einer freigelegten Oberfläche des ersten Cobalt enthaltenden Pfropfens unter Verwenden von H2-Plasma vor dem Bilden der leitfähigen Kappe auf. Bei einigen Ausführungsformen weist die Struktur ferner ein leitfähiges Merkmal auf, das in eine oder mehr zweite dielektrische Schichten eingebettet ist, und das Verfahren weist ferner das Ätzen eines zweiten Durchkontaktierungslochs in die eine oder mehr zweite dielektrische Schicht auf, um das leitfähige Merkmal freizulegen; das Abscheiden der ersten Sperrschicht in dem zweiten Durchkontaktierungsloch; das Abscheiden der zweiten Sperrschicht in dem zweiten Durchkontaktierungsloch; das Ätzen der ersten und zweiten Sperrschicht in dem zweiten Durchkontaktierungsloch, um das leitfähige Merkmal freizulegen; das Abscheiden einer dritten Sperrschicht, die Tantalnitrid oder Titannitrid hat, in dem zweiten Durchkontaktierungsloch, und das Abscheiden eines Ruthenium enthaltenden Pfropfens in dem zweiten Durchkontaktierungsloch und über der dritten Sperrschicht, auf.

Claims (19)

  1. Halbleiterbauteil (100), umfassend: einen aktiven Bereich (104) über einem Substrat (102); ein erstes Cobalt enthaltendes Merkmal (124a, 124b), das über dem aktiven Bereich angeordnet ist; eine leitfähige Kappe (136a, 136b), die über und in physischem Kontakt mit dem ersten Cobalt enthaltenden Merkmal (124a, 124b) angeordnet ist; und ein zweites Cobalt enthaltendes Merkmal (138a, 138b), das über und in physischem Kontakt mit der leitfähigen Kappe angeordnet ist; eine erste Sperrschicht (132), die Titannitrid hat, die über Seitenwänden des zweiten Cobalt enthaltenden Merkmals (138a, 138b) und der leitfähigen Kappe (136a, 136b) angeordnet ist; und eine zweite Sperrschicht (134), die Siliziumnitrid hat, die über der ersten Sperrschicht (132) angeordnet ist.
  2. Halbleiterbauteil nach Anspruch 1, das ferner Folgendes umfasst: eine Kontaktätzstoppschicht (128), die über einem unteren Abschnitt von Seitenwänden der zweiten Sperrschicht (134) angeordnet ist; und eine dielektrische Zwischenschicht (130), die über der Kontaktätzstoppschicht (128) und über einem oberen Abschnitt der Seitenwände der zweiten Sperrschicht (134) angeordnet ist.
  3. Halbleiterbauteil nach Anspruch 2, das ferner Folgendes umfasst: ein leitfähiges Merkmal (126), das in der Kontaktätzstoppschicht (128) eingebettet ist; und ein Ruthenium enthaltendes Merkmal (142), das über und in elektrischem Kontakt mit dem leitfähigen Merkmal (126) angeordnet ist.
  4. Halbleiterbauteil nach Anspruch 3, das ferner Folgendes umfasst: eine dritte Sperrschicht (140) über Seitenwänden des Ruthenium enthaltenden Merkmals (142), wobei die dritte Sperrschicht TaN oder TiN aufweist, wobei die erste und die zweite Sperrschicht (132, 134) ferner auf Seitenwänden der dritten Sperrschicht (140) angeordnet sind.
  5. Halbleiterbauteil nach einem der vorhergehenden Ansprüche 1 bis 4, wobei die Gesamtstärke der ersten und zweiten Sperrschicht (132, 134) größer ist als die Diffusionstiefe durch Cobaltelemente aus dem zweiten Cobalt enthaltenden Merkmal (138a, 138b) in die erste und die zweite Sperrschicht (132, 134).
  6. Halbleiterbauteil nach einem der vorhergehenden Ansprüche 1 bis 5, wobei die erste und die zweite Sperrschicht (132, 134) in etwa die selbe Stärke haben, und die Gesamtstärke der ersten und zweiten Sperrschicht etwa 2 nm bis etwa 3 nm beträgt.
  7. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, wobei die leitfähige Kappe (136a, 136b) Wolfram oder Cobaltsilizid aufweist.
  8. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, wobei mehr als 60 % der Cobaltkörner in dem zweiten Cobalt enthaltenden Merkmal (138a, 138b) eine Korngröße von etwa 11 nm bis etwa 13 nm haben.
  9. Halbleiterbauteil nach Anspruch 8, wobei andere Cobaltkörner in dem zweiten Cobalt enthaltenden Merkmal (138a, 138b) eine Korngröße kleiner als 10 nm haben.
  10. Halbleiterbauteil nach einem der vorhergehenden Ansprüche, wobei das erste Cobalt enthaltende Merkmal (124a, 124b) elektrisch mit einem Transistor-Source-/Drain-Merkmal (108) oder einem Transistor-Gate-Merkmal (116a, 116b) verbunden ist.
  11. Halbleiterbauteil (100), das Folgendes umfasst: einen ersten Cobalt enthaltenden Pfropfen (124a, 124b), der über einem Substrat angeordnet (102) ist; eine leitfähige Kappe (136a, 136b), die über und in physischem Kontakt mit dem ersten Cobalt enthaltenden Pfropfen (124a, 124b) angeordnet ist; einen zweiten Cobalt enthaltenden Pfropfen (138a, 138b), der über und in physischem Kontakt mit der leitfähigen Kappe (136a, 136b) angeordnet ist; eine erste Sperrschicht (132) über Seitenwänden des zweiten Cobalt enthaltenden Pfropfens und der leitfähigen Kappe; eine zweite Sperrschicht (134) über Seitenwänden der ersten Sperrschicht (132); und eine oder mehrere dielektrische Schichten (130), die die zweite Sperrschicht umgeben (134).
  12. Halbleiterbauteil nach Anspruch 11, wobei die erste Sperrschicht (132) Titannitrid aufweist, die zweite Sperrschicht (132) Siliziumnitrid aufweist, und die eine oder die mehreren dielektrischen Schichten (130) Siliziumoxid aufweisen.
  13. Halbleiterbauteil nach Anspruch 11 oder 12, das ferner Folgendes umfasst: eine Titannitridschicht, die in der einen oder den mehreren dielektrischen Schicht(en) (110, 120, 130) eingebettet ist; und einen Ruthenium enthaltenden Pfropfen (142), der über und in elektrischem Kontakt mit einer Titannitridschicht angeordnet ist.
  14. Halbleiterbauteil nach Anspruch 13, das ferner Folgendes umfasst: eine dritte Sperrschicht (140), die den Ruthenium enthaltenden Pfropfen (142) umgibt, wobei die erste und die zweite Sperrschicht (132, 134) auch zwischen der dritten Sperrschicht und der einen oder den mehreren dielektrischen Schicht(en) (110, 120, 130) angeordnet sind.
  15. Verfahren, das Folgendes umfasst: Bereitstellen einer Struktur (100), die ein Substrat (102), eine oder mehrere erste dielektrische Schichten (110, 120, 130) über dem Substrat (102)), einen ersten Cobalt enthaltenden Pfropfen (124a, 124b), der in die eine oder die mehreren ersten dielektrischen Schichten eingebettet ist, und eine oder mehrere zweite dielektrische Schichten (110, 120, 130) über der einen oder den mehreren ersten dielektrischen Schichten und dem ersten Cobalt enthaltenden Pfropfen; Ätzen eines Durchkontaktierungslochs (127a, 127b) in die eine oder die mehreren zweiten dielektrischen Schichten, um den ersten Cobalt enthaltenden Pfropfen (124a, 124b) freizulegen; Abscheiden einer ersten Sperrschicht (132), die Siliziumnitrid hat, in das Durchkontaktierungsloch; Abscheiden einer zweiten Sperrschicht (134), die Titannitrid oder Tantalnitrid hat, in das Durchkontaktierungsloch und über der ersten Sperrschicht; Ätzen der ersten und zweiten Sperrschicht (132, 134) in dem Durchkontaktierungsloch (127a, 127b), um den ersten Cobalt enthaltenden Pfropfen (124a, 124b) freizulegen; Bilden einer leitfähigen Kappe (136a, 136b) über dem ersten Cobalt enthaltenden Pfropfen (124a, 124b), der in dem Durchkontaktierungsloch freigelegt ist; und selektives Aufwachsen von Cobalt über der leitfähigen Kappe (136a, 136b).
  16. Verfahren nach Anspruch 15, wobei das Bilden der leitfähigen Kappe (136a, 136b) das selektive Aufwachsen von Wolfram über dem ersten Cobalt enthaltenden Pfropfen (124a, 124b) unter Verwenden von WF6 und H2 als Reaktionsgase aufweist.
  17. Verfahren nach Anspruch 15 oder 16, wobei das selektive Aufwachsen von Cobalt unter Verwenden von C5H5(CO)2Co und H2 als reaktive Gase ausgeführt wird.
  18. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 17, das ferner Folgendes umfasst: Reinigen einer freigelegten Oberfläche des ersten Cobalt enthaltenden Pfropfens (124a, 124b) unter Verwenden von H2-Plasma vor dem Bilden der leitfähigen Kappe (136a, 136b).
  19. Verfahren nach einem der vorhergehenden Ansprüche 15 bis 18, wobei die Struktur ferner ein leitfähiges Merkmal (126) aufweist, das in der einen oder den mehreren zweiten dielektrischen Schichten (120, 130) eingebettet ist, das ferner Folgendes umfasst: Ätzen eines zweiten Durchkontaktierungslochs (127c) in die eine oder die mehreren zweiten dielektrischen Schichten, um das erste leitfähige Merkmal (126) freizulegen; Abscheiden der ersten Sperrschicht (132) in dem zweiten Durchkontaktierungsloch (127c); Abscheiden der zweiten Sperrschicht (134) in dem zweiten Durchkontaktierungsloch; Ätzen der ersten und zweiten Sperrschicht in dem zweiten Durchkontaktierungsloch, um das leitfähige Merkmal (126) freizulegen; Abscheiden einer dritten Sperrschicht (140), die Tantalnitrid oder Titannitrid hat, in dem zweiten Durchkontaktierungsloch (127c); und Abscheiden eines Ruthenium enthaltenden Pfropfens (142) in dem zweiten Durchkontaktierungsloch (127c) und über der dritten Sperrschicht (140).
DE102018104654.5A 2018-02-19 2018-03-01 Doppelte metalldurchkontaktierung für übergangswiderstand Active DE102018104654B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/898,706 US10651292B2 (en) 2018-02-19 2018-02-19 Dual metal via for contact resistance reduction
US15/898,706 2018-02-19

Publications (2)

Publication Number Publication Date
DE102018104654A1 DE102018104654A1 (de) 2019-08-22
DE102018104654B4 true DE102018104654B4 (de) 2020-11-26

Family

ID=67482107

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102018104654.5A Active DE102018104654B4 (de) 2018-02-19 2018-03-01 Doppelte metalldurchkontaktierung für übergangswiderstand

Country Status (5)

Country Link
US (3) US10651292B2 (de)
KR (1) KR102105113B1 (de)
CN (1) CN110176443B (de)
DE (1) DE102018104654B4 (de)
TW (1) TWI693689B (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117355134A (zh) 2017-01-27 2024-01-05 株式会社半导体能源研究所 电容器、半导体装置及半导体装置的制造方法
US10651292B2 (en) * 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US10943983B2 (en) * 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11328990B2 (en) * 2019-09-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Via structure having a metal hump for low interface resistance
US11462471B2 (en) 2019-09-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Middle-of-line interconnect structure and manufacturing method
US11251305B2 (en) * 2019-10-25 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
US11502185B2 (en) * 2019-11-26 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a gate electrode having metal layers with different average grain sizes
CN112885775A (zh) * 2019-11-29 2021-06-01 广东汉岂工业技术研发有限公司 一种半导体结构及其制程方法
US11424185B2 (en) 2019-12-30 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11398385B2 (en) 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11349005B2 (en) * 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming
US11676898B2 (en) * 2020-06-11 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion barrier for semiconductor device and method
US11776900B2 (en) 2020-06-22 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with contact structure
US11798846B2 (en) * 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
US11282790B1 (en) 2020-09-09 2022-03-22 Nanya Technology Corporation Semiconductor device with composite landing pad for metal plug
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
KR20220053879A (ko) 2020-10-23 2022-05-02 삼성전자주식회사 반도체 장치
US11699734B2 (en) 2021-02-10 2023-07-11 Nanya Technology Corporation Semiconductor device with resistance reduction element and method for fabricating the same
US11626495B2 (en) 2021-02-26 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Protective liner for source/drain contact to prevent electrical bridging while minimizing resistance
US11855153B2 (en) 2021-03-10 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11955430B2 (en) * 2021-03-31 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device and semiconductor devices

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20140327140A1 (en) * 2013-05-03 2014-11-06 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
DE102014115955A1 (de) * 2014-10-16 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Struktur und Ausbildungsverfahren einer Demascene-Struktur

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100559988B1 (ko) 2003-09-23 2006-03-13 동부아남반도체 주식회사 반도체 소자 및 그 제조방법
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
KR102021885B1 (ko) * 2012-12-13 2019-09-17 삼성전자주식회사 금속성 저항 구조체를 갖는 반도체 소자
KR102001511B1 (ko) * 2012-12-26 2019-07-19 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US8932911B2 (en) * 2013-02-27 2015-01-13 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with capping layers between metal contacts and interconnects
KR102055299B1 (ko) * 2013-04-12 2019-12-16 에스케이하이닉스 주식회사 에어갭을 구비한 반도체장치 및 그 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9478636B2 (en) 2014-05-16 2016-10-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor device including source/drain contact having height below gate stack
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
KR102654482B1 (ko) * 2016-12-06 2024-04-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10651292B2 (en) * 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20140327140A1 (en) * 2013-05-03 2014-11-06 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with improved contact structures
DE102014115955A1 (de) * 2014-10-16 2016-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Struktur und Ausbildungsverfahren einer Demascene-Struktur

Also Published As

Publication number Publication date
KR20190099990A (ko) 2019-08-28
US20220293770A1 (en) 2022-09-15
TW201935649A (zh) 2019-09-01
TWI693689B (zh) 2020-05-11
CN110176443A (zh) 2019-08-27
US11349015B2 (en) 2022-05-31
CN110176443B (zh) 2023-08-22
KR102105113B1 (ko) 2020-04-28
DE102018104654A1 (de) 2019-08-22
US10651292B2 (en) 2020-05-12
US20190259855A1 (en) 2019-08-22
US20200273966A1 (en) 2020-08-27

Similar Documents

Publication Publication Date Title
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102018105058B3 (de) Verfahren zur Herstellung von Source-/Drain-Kontakten
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017120267B4 (de) Verfahren zur herstellung von source/drain-kontakten in halbleitervorrichtungen
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102020119976A1 (de) Halbleitervorrichtung und verfahren
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102021104817B4 (de) Halbleitervorrichtung und verfahren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021109760A1 (de) Verfahren zum bilden von kontaktstrukturen
DE102021109940A1 (de) Rückseitiger gatekontakt
DE102018101016B4 (de) Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
DE102019101165A1 (de) Gate-abstandshalterstruktur und verfahren zu deren herstellung
DE102020119171B3 (de) Halbleitervorrichtung und verfahren
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102020124124B4 (de) Selbstjustierende rückseitige source-kontakt-struktur und verfahren zu ihrer herstellung
DE102018124815B4 (de) FIN-Feldeffekttransistorbauteil und Verfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final