DE102021109760A1 - Verfahren zum bilden von kontaktstrukturen - Google Patents
Verfahren zum bilden von kontaktstrukturen Download PDFInfo
- Publication number
- DE102021109760A1 DE102021109760A1 DE102021109760.6A DE102021109760A DE102021109760A1 DE 102021109760 A1 DE102021109760 A1 DE 102021109760A1 DE 102021109760 A DE102021109760 A DE 102021109760A DE 102021109760 A1 DE102021109760 A1 DE 102021109760A1
- Authority
- DE
- Germany
- Prior art keywords
- source
- layer
- contact
- drain contact
- butt
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 101
- 230000008569 process Effects 0.000 title description 47
- 238000000151 deposition Methods 0.000 claims abstract description 33
- 229910052751 metal Inorganic materials 0.000 claims description 55
- 239000002184 metal Substances 0.000 claims description 55
- 238000005229 chemical vapour deposition Methods 0.000 claims description 33
- 230000004888 barrier function Effects 0.000 claims description 21
- 239000004065 semiconductor Substances 0.000 claims description 20
- 229910052721 tungsten Inorganic materials 0.000 claims description 18
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 17
- 239000010937 tungsten Substances 0.000 claims description 17
- 238000005240 physical vapour deposition Methods 0.000 claims description 13
- 239000010941 cobalt Substances 0.000 claims description 8
- 229910017052 cobalt Inorganic materials 0.000 claims description 8
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 8
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims description 6
- 239000010410 layer Substances 0.000 description 207
- 239000000463 material Substances 0.000 description 23
- 239000007789 gas Substances 0.000 description 20
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 16
- 229910052710 silicon Inorganic materials 0.000 description 16
- 239000010703 silicon Substances 0.000 description 16
- 239000000758 substrate Substances 0.000 description 16
- 229920002120 photoresistant polymer Polymers 0.000 description 13
- KFZMGEQAYNKOFK-UHFFFAOYSA-N Isopropanol Chemical compound CC(C)O KFZMGEQAYNKOFK-UHFFFAOYSA-N 0.000 description 12
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 12
- 230000015572 biosynthetic process Effects 0.000 description 12
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 230000008021 deposition Effects 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 9
- 239000000460 chlorine Substances 0.000 description 8
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 7
- 239000002019 doping agent Substances 0.000 description 7
- 239000005360 phosphosilicate glass Substances 0.000 description 7
- 210000002381 plasma Anatomy 0.000 description 7
- 229910052814 silicon oxide Inorganic materials 0.000 description 7
- 125000006850 spacer group Chemical group 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 229910021332 silicide Inorganic materials 0.000 description 5
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 5
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 4
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 4
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 4
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 4
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 229910052796 boron Inorganic materials 0.000 description 4
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 4
- 229910052794 bromium Inorganic materials 0.000 description 4
- 229910052801 chlorine Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- OKZIUSOJQLYFSE-UHFFFAOYSA-N difluoroboron Chemical compound F[B]F OKZIUSOJQLYFSE-UHFFFAOYSA-N 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910000449 hafnium oxide Inorganic materials 0.000 description 4
- 229910052740 iodine Inorganic materials 0.000 description 4
- 239000011630 iodine Substances 0.000 description 4
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 4
- 239000000203 mixture Substances 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N nickel Substances [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 229910052707 ruthenium Inorganic materials 0.000 description 4
- 239000005368 silicate glass Substances 0.000 description 4
- 239000010936 titanium Substances 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 3
- -1 aluminum silicon oxide Chemical compound 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 239000004020 conductor Substances 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000005530 etching Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 230000009969 flowable effect Effects 0.000 description 3
- 239000005350 fused silica glass Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 239000007769 metal material Substances 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052785 arsenic Inorganic materials 0.000 description 2
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 230000007547 defect Effects 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 229910052733 gallium Inorganic materials 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 229910052698 phosphorus Inorganic materials 0.000 description 2
- 239000011574 phosphorus Substances 0.000 description 2
- 238000000206 photolithography Methods 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910052715 tantalum Inorganic materials 0.000 description 2
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- BUHVIAUBTBOHAG-FOYDDCNASA-N (2r,3r,4s,5r)-2-[6-[[2-(3,5-dimethoxyphenyl)-2-(2-methylphenyl)ethyl]amino]purin-9-yl]-5-(hydroxymethyl)oxolane-3,4-diol Chemical compound COC1=CC(OC)=CC(C(CNC=2C=3N=CN(C=3N=CN=2)[C@H]2[C@@H]([C@H](O)[C@@H](CO)O2)O)C=2C(=CC=CC=2)C)=C1 BUHVIAUBTBOHAG-FOYDDCNASA-N 0.000 description 1
- 229910019311 (Ba,Sr)TiO Inorganic materials 0.000 description 1
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 241000588731 Hafnia Species 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- 229910016006 MoSi Inorganic materials 0.000 description 1
- 229910005881 NiSi 2 Inorganic materials 0.000 description 1
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- 229910004490 TaAl Inorganic materials 0.000 description 1
- 229910004166 TaN Inorganic materials 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010041 TiAlC Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 229910006249 ZrSi Inorganic materials 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- OBZUDFAHIZFVHI-UHFFFAOYSA-N [La].[Si]=O Chemical compound [La].[Si]=O OBZUDFAHIZFVHI-UHFFFAOYSA-N 0.000 description 1
- ILCYGSITMBHYNK-UHFFFAOYSA-N [Si]=O.[Hf] Chemical compound [Si]=O.[Hf] ILCYGSITMBHYNK-UHFFFAOYSA-N 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 238000004380 ashing Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002073 nanorod Substances 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910017604 nitric acid Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 229910021341 titanium silicide Inorganic materials 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- 238000001039 wet etching Methods 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
- GFQYVLUOOAAOGM-UHFFFAOYSA-N zirconium(iv) silicate Chemical compound [Zr+4].[O-][Si]([O-])([O-])[O-] GFQYVLUOOAAOGM-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/2855—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28568—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823475—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/535—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/0886—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41733—Source or drain electrodes for field effect devices for thin film transistors with insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41775—Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/417—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
- H01L29/41725—Source or drain electrodes for field effect devices
- H01L29/41791—Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Crystallography & Structural Chemistry (AREA)
- Electrodes Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Thin Film Transistor (AREA)
- Semiconductor Integrated Circuits (AREA)
Abstract
Ein Verfahren gemäß der vorliegenden Offenbarung umfasst das Aufnehmen eines Werkstücks, das eine erste Gate-Struktur, die eine erste Kappenschicht darauf aufweist, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, die eine zweite Kappenschicht darauf aufweist, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt und eine erste Dielektrikumschicht über der ESL aufweist. Das Verfahren umfasst weiter das Bilden einer Stoßkontaktöffnung, um die erste Kappenschicht und den ersten Source/Drain-Kontakt freizulegen, das Bilden eines Stoßkontakts in der Stoßkontaktöffnung, nach dem Bilden des Stoßkontakts, das Abscheiden einer zweiten Dielektrikumschicht, das Bilden einer Source/Drain-Kontakt-Durchkontaktierungsöffnung durch die zweite Dielektrikumschicht, die ESL-Schicht und die erste Dielektrikumschicht, um den zweiten Source/Drain-Kontakt freizulegen, und das Bilden einer Source/Drain-Kontakt-Durchkontaktierung in der Source/Drain-Kontakt-Durchkontaktierungsöffnung.
Description
- PRIORITÄTSDATEN
- Diese Anmeldung beansprucht die Priorität der vorläufigen
US-Patentanmeldung Nr. 63/151,108 - HINTERGRUND
- Die Industrie integrierter Schaltungen (IC) hat exponentielles Wachstum erfahren. Technologische Weiterentwicklungen in IC-Materialien und Design haben Generationen von ICs erzeugt, wo jede Generation kleinere und komplexere Schaltungen als die vorangehende Generation hat. Im Verlauf der IC-Entwicklung hat die Funktionsdichte (d.h. die Anzahl miteinander verbundener Vorrichtungen pro Chipfläche) im Allgemeinen zugenommen, während die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die unter Verwendung eines Fertigungsprozesses erzeugt werden kann) abgenommen hat. Dieser Abwärtsskalierungsprozess stellt im Allgemeinen Vorteile durch Erhöhen der Produktionseffizienz und Senkung damit verbundener Kosten bereit.
- Während Abwärtsskalierung von IC-Vorrichtungen fortfährt, werden Dimensionen von Kontakt-Durchkontaktierungen, wie Gate-Kontakt-Durchkontaktierung und Source/Drain-Kontakt-Durchkontaktierungen immer kleiner. Während fortgeschrittene Lithografietechniken Bildung von Kontakt-Durchkontaktierungsöffnungen mit hohem Aspektverhältnis ermöglichen, hat sich Füllen von leitfähigen Materialien in die Durchkontaktierungsöffnungen mit hohem Aspektverhältnis als herausfordern erwiesen. Zusätzlich kann Abscheidung einer Metallfüllschicht auf verschiedenen Metallflächen unterschiedliche Abscheidungsraten erfahren, was zu einer unzufriedenstellenden Metallfüllung oder Poren führt. Während bestehende Verfahren zum Bilden von Kontakten an Transistoren für ihren beabsichtigten Zweck angemessen sind, sind sie nicht in allen Aspekten zufriedenstellend.
- Figurenliste
- Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
-
1 ist ein Ablaufdiagramm eines Verfahrens zur Fertigung eines allgemeinen Schienenkontakts gemäß verschiedenen Aspekten der vorliegenden Offenbarung. -
2 -14 sind fragmentarische Querschnittsansichten eines Werkstücks in verschiedenen Fertigungsstufen des Verfahrens in1 gemäß verschiedenen Aspekten der vorliegenden Offenbarung. -
15 ist eine fragmentarische Draufsicht einer Halbleitervorrichtung, die Gate-Kontakte, Source/Drain-Kontakt-Durchkontaktierungen und Stoßkontakte gemäß verschiedenen Aspekten der vorliegenden Offenbarung aufweist. - AUSFÜHRLICHE BESCHREIBUNG
- Die folgende Offenbarung stellt viele verschiedene Ausführungsformen, oder Beispiele, zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands bereit. Spezifische Beispiele von Komponenten und Anordnungen werden unten beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich bloß Beispiele und nicht beabsichtigt einschränkend zu sein. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen enthalten, in denen das erste und das zweite Element in direktem Kontakt gebildet sind, und kann auch Ausführungsformen enthalten, in denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, sodass das erste und das zweite Element nicht in direktem Kontakt sein könnten. Zusätzlich kann die vorliegende Offenbarung Referenznummern und/oder -buchstaben in den unterschiedlichen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und gibt selbst keine Beziehung zwischen den unterschiedlichen besprochenen Ausführungsformen und/oder Konfigurationen vor.
- Räumlich relative Ausdrücke wie „unterliegend“, „unterhalb“, „unter“, „überliegend“, „ober“ und dergleichen können hierin zur Erleichterung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) wie in den Figuren veranschaulicht zu beschreiben. Die räumlich relativen Ausdrücke sind beabsichtigt, verschiedene Ausrichtungen des Bauelements in Verwendung oder Betrieb zusätzlich zu der in den Figuren abgebildeten Ausrichtung zu umschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder bei anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Beschreibungsausdrücke können ebenso entsprechend ausgelegt werden.
- Weiter, wenn eine Zahl oder ein Bereich von Zahlen mit „etwa,“ „ungefähr“ und dergleichen beschrieben ist, soll der Begriff Zahlen enthalten, die innerhalb eines vernünftigen Bereichs unter Berücksichtigung von Variationen liegen, die an sich während Herstellung entstehen, wie einem Durchschnittsfachmann bekannt ist. Zum Beispiel enthält die Zahl oder der Bereich von Zahlen einen vernünftigen Bereich, der die beschriebene Zahl enthält, wie innerhalb von +/-10 % der beschriebenen Zahl, basierend auf bekannten Herstellungstoleranzen, die mit Herstellung eines Merkmals mit einer Eigenschaft, die mit der Zahl verbunden ist, verbunden sind. Zum Beispiel kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Dimensionsbereich von 4,25 nm bis 5,75 nm enthalten, wo ein Durchschnittsfachmann weiß, dass die Herstellungstoleranzen, die mit Abscheiden der Materialschicht bekannt sind, +/-15 % sind. Weiter noch kann die vorliegende Offenbarung Bezugsnummern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und legt selbst kein Verhältnis zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen fest.
- Während Dimensionen von Halbleitervorrichtungen ständig kleiner werden, wird Verwendung einer lokalen Interconnect-Struktur, um eine Gate-Struktur und einen benachbarten Source/Drain-Kontakt zu koppeln, üblich. In manchen Beispielen ist die Gate-Struktur von einer Kappenschicht bedeckt, die sich von einer Zusammensetzung des Source/Drain-Kontakts unterscheidet. Während der Bildung der lokalen Interconnect Struktur wird eine Füllschicht durch chemische Dampfphasenabscheidung (CVD) oder ein selektives Abscheidungsverfahren abgeschieden. Es wird beobachtet, dass die Füllschicht schneller auf dem Source/Drain-Kontakt als über der Kappenschicht abgeschieden werden kann. Zusätzlich können vor dem Metallfüllprozess zur Bildung der lokalen Interconnect-Struktur Oberflächen des Source/Drain-Kontakts und der Kappenschicht unterschiedlicher Oxidations- oder Reduktionsatmosphäre ausgesetzt sein. Der Unterschied im Reduktionsgrad zwischen verschiedenen Materialien kann auch zu den unterschiedlichen Abscheidungsraten beitragen. Die verschiedenen Abscheidungsraten auf verschiedenen Oberflächen können bewirken, dass die lokale Interconnect-Struktur schlechten Kontakt mit der Gate-Struktur hat.
- Die vorliegende Offenbarung stellt ein Verfahren zur Bildung eines Stoßkontakts bereit, um eine Gate-Struktur mit einem benachbarten Source/Drain-Kontakt vor Bildung einer Source/Drain-Kontakt-Durchkontaktierung und Bildung eines Gate-Kontakts zu koppeln. Eine Stoßkontaktöffnung für den Stoßkontakt hat ein niederes Aspektverhältnis und Metallfüllung in die Stoßkontaktöffnung wird unter Verwendung einer Kombination von physikalischer Dampfphasenabscheidung (PVD) und chemischer Dampfphasenabscheidung (CVD) durchgeführt. Infolgedessen sind Deckflächen der Source/Drain-Kontakt-Durchkontaktierung und des Gate-Kontakts höher als eine Deckfläche des Stoßkontakts. Ausführungsformen der vorliegenden Offenbarung können Probleme, die mit unterschiedlichen Abscheidungsraten auf verschiedenen Oberflächen verbunden sind, verringern oder beheben. Verfahren der vorliegenden Offenbarung verringern die Möglichkeit einer Porenbildung.
- Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun ausführlicher unter Bezugnahme auf die Figuren beschrieben. In dieser Hinsicht ist
1 ein Ablaufdiagramm, das ein Verfahren 100 zum Bilden von Kontaktstrukturen gemäß Ausführungsformen der vorliegenden Offenbarung veranschaulicht. Verfahren 100 ist nur ein Beispiel und soll die vorliegende Offenbarung nicht auf das beschränken, was ausdrücklich in Verfahren 100 veranschaulicht ist. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 bereitgestellt sein und manche beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder verschoben werden. Der Einfachheit wegen sind hier nicht alle Schritte ausführlich beschrieben. Verfahren 100 ist unten in Verbindung mit2 -14 beschrieben, die fragmentarische Querschnittsansichten eines Werkstücks 200 in verschiedenen Fertigungsstufen gemäß Ausführungsformen des Verfahrens 100 in1 sind. Um Zweifel zu vermeiden, sind die X-, Y- und Z-Richtungen in2 -14 senkrecht zueinander und werden beständig in2 -14 verwendet. Da das Werkstück 200 zu einer Halbleitervorrichtung oder einer Halbleiterstruktur gefertigt wird, kann das Werkstück 200 hier als eine Halbleitervorrichtung 200 oder eine Halbleiterstruktur 200, je nach Kontext, bezeichnet werden. In der vorliegenden Offenbarung bezeichnen gleiche Bezugszeichen gleiche Merkmale, falls keine Ausnahme vorliegt. - Unter Bezugnahme auf
1 und2 umfasst Verfahren 100 einen Block 102, wo ein Werkstück 200 aufgenommen wird. Das Werkstück 200 weist ein Substrat 202 auf. In der dargestellten Ausführungsform enthält Substrat 202 Silizium (Si). Alternativ oder zusätzlich kann Substrat 202 andere elementare Halbleiter enthalten, wie Germanium (Ge); einen Verbindungshalbleiter, wie Siliziumcarbid (SiC), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid (InSb); einen Legierungshalbleiter, wie Siliziumgermanium (SiGe), Galliumarsenphosphid (GaAsP), Aluminiumindiumarsenid (AlInAs), Aluminiumgalliumarsenid (AlGaAs), Galliumindiumarsenid (GaInAs), Galliumindiumphosphid (GaInP) und/oder Galliumindiumarsenphosphid (GaInAsP); oder Kombinationen davon. In manchen Implementierungen enthält Substrat 202 eine oder mehrere Gruppe III-V-Materialien, eine oder mehrere Gruppe II-VI-Materialien oder Kombinationen davon. In manchen Implementierungen ist Substrat 202 ein Halbleiter-auf-Isolator-Substrat, wie ein Silizium-auf-Isolator-Substrat (SOI-Substrat), eine Siliziumgermanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GeOI-Substrat). Halbleiter-auf-Isolator-Substrate können unter Verwendung von Implantation von Sauerstoff (SIMOX), Waferbonding und/oder anderen geeigneten Verfahren gefertigt werden. Substrat 202 kann verschiedene dotierte Gebiete (nicht gezeigt) aufweisen, die gemäß Designanforderungen von Halbleitervorrichtung 200 konfiguriert sind, wie p-dotierte Gebiete, n-dotierte Gebiete oder Kombinationen davon. P-dotierte Gebiete (zum Beispiel p-Wannen) enthalten p-Dotierstoffe, wie Bor (B), Bordifluorid (BF2), anderen p-Dotierstoff oder Kombinationen davon. N-dotierte Gebiete (zum Beispiel n-Wannen) enthalten n-Dotierstoffe, wie Phosphor (P), Arsen (As), anderen n-Dotierstoff oder Kombinationen davon. Ein Ionenimplantationsprozess, ein Diffusionsprozess und/oder ein anderer geeigneter Dotierprozess können durchgeführt werden, um die verschiedenen dotierten Gebiete zu bilden. Das Substrat 202 ist in Punktlinien in2 gezeigt und fehlt der Einfachheit wegen in3 -14 . - Wie in
2 gezeigt, weist das Werkstück 200 ein aktives Gebiet 204 einer Multi-Gate-Vorrichtung, wie einen finnenartigen Feldeffekttransistor (FinFET) oder einen Mehrfach-Brücke-Kanal-Transistor (MBC-Transistor), auf. Wenn das aktive Gebiet 204 für einen FinFET ist, kann das aktive Gebiet 204 ein Finnenelement (oder eine Finnenstruktur) sein, das sich der Länge nach entlang X erstreckt. Wenn das aktive Gebiet 204 für einen MBC-Transistor ist, kann das aktive Gebiet ein vertikaler Stapel aus Kanalelementen sein, von welchen sich jedes der Länge nach entlang der X-Richtung erstreckt. Da eine Gate-Struktur eines MBC-Transistors um jedes der Kanalgebiete geschlungen ist, kann ein MBC-Transistor auch als ein umgebender Gate-Transistor (SGT) oder ein Gate-all-Around-Transistor (GAA-Transistor) bezeichnet werden. Die Kanalelemente weisen die Form von Nanostrukturen, wie Nanoblätter, Nanodrähte oder Nanostäbe, auf. Das aktive Gebiet 204 kann aus Strukturierung des Substrats 202 oder einer oder mehrerer epitaktischer Schichten gebildet werden, die über dem Substrat 202 abgeschieden sind. In der dargestellten Ausführungsform wird das aktive Gebiet 204 durch Strukturieren eines Abschnitts des Substrats 202 gebildet und enthält Silizium (Si). Obwohl in den Figuren nicht ausdrücklich gezeigt, kann ein Isolationsmerkmal zwischen dem aktiven Gebiet 204 und benachbarten aktiven Gebieten (nicht ausdrücklich gezeigt) gebildet werden. In manchen Ausführungsformen kann das Isolationsmerkmal Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silicatglas (FSG), ein Low-k-Dielektrikum, Kombinationen davon und/oder andere geeignete Materialien enthalten. - Wie in
2 gezeigt, weist das Werkstück 200 weiter eine erste Gate-Struktur 206-1, eine zweite Gate-Struktur 206-2 und eine dritte Gate-Struktur 206-3 auf, die über Kanalgebieten 10 des aktiven Gebiets 204 angeordnet sind. Für eine leichtere Bezugnahme können die erste Gate-Struktur 206-1, die zweite Gate-Struktur 206-2 und die dritte Gate-Struktur 206-3 gemeinsam als Gate-Strukturen 206 bezeichnet werden. Die Kanalgebiete 10 des aktiven Gebiets204 sind von Source/Drain-Gebieten 20 durchsetzt. Jedes der Kanalgebiete 10 fügt zwei Source/Drain-Gebiete 20 ein. Die Gate-Strukturen 206 sind über Kanalgebiete 10 des aktiven Gebiets 204 geschlungen. Wenn das aktive Gebiet 204 einen vertikalen Stapel von Kanalelementen aufweist, sind die Gate-Strukturen 206 um jedes der Kanalelemente geschlungen. Während in den Figuren nicht ausdrücklich gezeigt, weist jede der Gate-Strukturen 206 eine Gate-Dielektrikumschicht und eine Gate-Elektrode über dem Gate-Dielektrikum auf. Die Gate-Dielektrikumschicht kann eine Grenzflächenschicht und eine High-k Dielektrikumschicht aufweisen. In manchen Fällen kann die Grenzflächenschicht Siliziumoxid enthalten. Die High-k Dielektrikumschicht ist aus dielektrischen Materialien mit einer hohen Dielektrizitätskonstante gebildet, zum Beispiel größer als eine Dielektrizitätskonstante von Siliziumoxid (k ≈ 3,9). Beispielhafte dielektrische High-k Materialien für die High-k Dielektrikumschicht enthalten Hafniumoxid (HfO), Titanoxid (Ti02), Hafniumzirconiumoxid (HfZrO), Tantaloxid (Ta2O5), Hafniumsiliziumoxid (HfSiO4), Zirconiumoxid (Zr02), Zirconiumsiliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafniumlanthanoxid (HfLaO), Lanthansiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Kombinationen davon oder anderes geeignetes Material. In einer Ausführungsform ist die High-k Dielektrikumschicht aus Hafniumoxid (HfO) gebildet. Die Gate-Elektrode kann mehrere Schichten aufweisen, wie Austrittsarbeitsschichten, Klebe-/Sperrschichten und/oder Metallfüllschichten (oder Bulk-Schichten). Eine Austrittsarbeitsschicht enthält ein leitfähiges Material, das abgestimmt ist, eine gewünschte Austrittsarbeit (wie eine n-Austrittsarbeit oder eine p-Austrittsarbeit) zu haben, wie n-Austrittsarbeitsmaterialien und/oder p-Austrittsarbeitsmaterialien. P-Austrittsarbeitsmaterialien enthalten TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, anderes p-Austrittsarbeitsmaterial, oder Kombinationen davon. N-Austrittsarbeitsmaterialien enthalten Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, anderes n-Austrittsarbeitsmaterial oder Kombinationen davon. Eine Klebe-/Sperrschicht kann ein Material enthalten, das Haftung zwischen benachbarten Schichten fördert, wie der Austrittsarbeitsschicht und der Metallfüllschicht, und/oder ein Material, das Diffusion zwischen Gate-Schichten blockiert und/oder verringert, wie der Austrittsarbeitsschicht und der Metallfüllschicht. Zum Beispiel enthält die Klebe-/Sperrschicht Metall (zum Beispiel W, Al, Ta, Ti, Ni, Cu, Co, anderes geeignetes Metall oder Kombinationen davon), Metalloxide, Metallnitride (zum Beispiel TiN) oder Kombinationen davon. Eine Metallfüllschicht kann ein geeignetes leitfähiges Material enthalten, wie Aluminium (AI), Kupfer (Cu), Wolfram (W), Ruthenium (Ru), Titan (Ti), ein geeignetes Metall oder eine Kombination davon. Die Metallfüllschicht kann weggelassen werden, wenn die Austrittsarbeitsmaterialien alle der Gate-Öffnungen aufnehmen. - Seitenwände jeder der Gate-Strukturen 206 sind von einem Gate-Abstandhalter 210 ausgekleidet. Der Gate-Abstandhalter 210 kann eine einzelne Schicht oder eine Mehrfachschicht sein. In manchen Ausführungsformen kann der Gate-Abstandhalter 210 Siliziumcarbonitrid, Siliziumoxycarbid, Siliziumoxycarbonitrid oder Siliziumnitrid enthalten. In manchen Ausführungsformen kann ein Gate-Austausch oder ein Gate-Last-Prozess verwendet werden, um die Gate-Strukturen 206 zu bilden. In einem Beispiel eines Gate-Last-Prozesses werden Dummy-Gate-Stapel über Kanalgebieten 10 des aktiven Gebiets 204 gebildet. Der Gate-Abstandhalter 210 wird dann über dem Werkstück 200, umfassend über Seitenwänden der Dummy-Gate-Stapel abgeschieden. Ein anisotroper Ätzprozess wird dann durchgeführt, um die Source/Drain-Gebiete 20 zu vertiefen, um Source/Drain-Gräben zu bilden, die den Gate-Abstandhalter 210 zurücklassen, der sich entlang Seitenwänden der Dummy-Gate-Stapel erstreckt. Nach Bildung der Source/Drain-Gräben werden ein erstes Source/Drain-Element 205-1 und ein zweites Source/Drain-Element 205-2 in die Source/Drain-Gräben in den Source/Drain-Gebieten 20 abgeschieden. Das erste Source/Drain-Element 205-1 und das zweite Source/Drain-Element 205-2 können durch Dampfphasenepitaxie (VPE), Ultrahochvakuum CVD (UHV-CVD), LPCVD und/oder PECVD), Molekularstrahlepitaxie (MBE) oder andere geeignete Epitaxieprozesse oder Kombinationen davon gebildet werden. Die Source/Drain-Elemente können auch als epitaktische Merkmale bezeichnet werden. Abhängig von dem Design der Halbleitervorrichtung 200 kann das erste Source/Drain-Element 205-1 und das zweite Source/Drain-Element 205-2 n oder p sein. Wenn sie n sind, können sie Silizium (Si) dotiert mit einem n-Dotierstoff enthalten, wie Phosphor (P) oder Arsen (As). Wenn sie p sind, können sie Siliziumgermanium (SiGe) dotiert mit einem p-Dotierstoff enthalten, wie Bor (B) oder Bordifluorid (BF2). In manchen Implementierungen können Temperprozesse durchgeführt werden, um Dotierstoffe in dem ersten Source/Drain-Element 205-1 und dem zweiten Source/Drain-Element 205-2 zu aktivieren. In den dargestellten Ausführungsformen können das erste Source/Drain-Element 205-1 und das zweite Source/Drain-Element 205-2 phosphordotiertes Silizium (Si:P) oder bordotiertes Siliziumgermanium (SiGe:B) enthalten.
- Nach der Bildung der Source/Drain-Elemente (wie des ersten Source/Drain-Elements 205-1 und des zweiten Source/Drain-Elements 205-2) werden eine Kontaktätzstoppschicht (CESL) 212 und eine erste Zwischenschichtdielektrikumschicht (ILD-Schicht) 214 über dem Werkstück 200 abgeschieden. In manchen Ausführungsformen kann die CESL 212 Siliziumnitrid, Siliziumoxynitrid und/oder andere, in der Technik bekannte Materialien enthalten. Die CESL 212 kann unter Verwendung von Atomlagenabscheidung (ALD), plasmaverstärkter ALD (PEALD), plasmaverstärkter chemischer Dampfphasenabscheidung (PECVD) und/oder anderen geeigneten Abscheidungsprozessen abgeschieden werden. Die erste ILD-Schicht 214 kann Materialien wie Tetraethylorthosilicatoxid (TEOS-Oxid), undotiertes Silicatglas oder dotiertes Siliziumoxid wie Borphosphosilicatglas (BPSG), Quarzglas (FSG), Phosphosilicatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten. Die erste ILD-Schicht 214 kann über der CESL 212 durch CVD, fließfähige CVD (FCVD), Spin-on-Beschichten oder eine andere geeignete Abscheidungstechnik abgeschieden werden. Das Werkstück 200 wird dann unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP-Prozess) planarisiert, um die Dummy-Gate-Stapel freizulegen. Die Dummy-Gate-Stapel werden dann entfernt und mit den Gate-Strukturen 206 ersetzt, deren Zusammensetzung oben beschrieben ist.
- Die Gate-Strukturen 206 werden mit einer Kappenschicht 208 bedeckt. In manchen Ausführungsformen kann die Kappenschicht 208 fluorfreies Wolfram (FFW) enthalten, das unter Verwendung von chemischer Dampfphasenabscheidung (CVD) oder metallorganischer chemischer Dampfphasenabscheidung (MOCVD) abgeschieden wird. Wie in
2 gezeigt, kann das Werkstück 200 auch eine selbstausgerichtete Kappenschicht (SAC-Schicht) 216 über der Kappenschicht 208 aufweisen. In manchen Ausführungsformen kann die SAC-Schicht 216 Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumcarbonitrid, Siliziumoxynitrid, Siliziumoxycarbonitrid, Aluminiumoxid, Aluminiumnitrid, Aluminiumoxynitrid, Zirconiumoxid, Zirconiumnitrid, Zirconiumaluminiumoxid, Hafniumoxid oder eine geeignetes dielektrisches Material enthalten. Die SAC-Schicht 216 kann unter Verwendung von CVD, ALD, PEALD oder einem geeigneten Verfahren abgeschieden werden. - Unter Bezugnahme nun auf
1 und3 umfasst Verfahren 100 einen Block 104, wo ein erster Source/Drain-Kontakt 224-1 gebildet wird, um an das erste Source/Drain-Element 205-1 zu koppeln, und ein zweiter Source/Drain-Kontakt 224-2 gebildet wird, um an das zweite Source/Drain-Element 205-2 zu koppeln. Block 104 umfasst Bildung von Source/Drain-Kontaktöffnungen über den Source/Drain-Gebieten 20 durch die erste ILD-Schicht 214 und die CESL 212 und Bildung des ersten Source/Drain-Kontakts 224-1 und des zweiten Source/Drain-Kontakts 224-2 in den Source/Drain-Kontaktöffnungen. Die Bildung der Source/Drain-Kontaktöffnung kann Verwenden von Lithografieprozessen und/oder Ätzprozessen umfassen. In manchen Implementierungen umfassen die Lithografieprozesse Bilden einer Fotolackschicht über dem Werkstück 200, Belichten der Fotolackschicht mit Strukturierungsstrahlung und Entwickeln der belichteten Fotolackschicht, wodurch eine strukturierte Fotolackschicht gebildet wird. Das Werkstück 200 wird dann einem Trockenätzprozess unter Verwendung der strukturierten Fotolackschicht als ein Maskierungselement unterzogen, um einen Abschnitt des ersten Source/Drain-Elements 205-1 und einen Abschnitt des zweiten Source/Drain-Elements 205-2 freizulegen. Der Trockenätzprozess in Block 104 kann Verwenden eines fluorhaltigen Gases (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), einer Kohlenwasserstoffspezies (z.B. CH4), eines bromhaltigen Gases (z.B. HBr und/oder CHBr3), eines iodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen davon umfassen. Nach der Bildung der Source/Drain-Kontaktöffnung wird eine Silicidschicht 218 in den Source/Drain-Kontaktöffnungen gebildet. In manchen Fällen kann die Silicidschicht 218 Titansilicid, Kobaltsilicid, Nickelsilicid, Tantalsilicid oder Wolframsilicid enthalten. Danach wird eine Metallfüllschicht 222 unter Verwendung einer selektiven Bottom-up CVD über der Silicidschicht 218 durchgeführt, um den ersten Source/Drain-Kontakt 224-1 über dem ersten Source/Drain-Element 205-1 und den zweiten Source/Drain-Kontakt 224-2 über dem zweiten Source/Drain-Element 205-2 zu bilden. Es wird festgehalten, das wegen der Verwendung der selektiven Bottom-up CVD keine Sperrschicht vor Abscheidung der Metallfüllschicht 222 abgeschieden wird. Selektive Bottom-up CVD stellt eine selektive Metallauf-Metall-Abscheidung von Metall bereit, die eine langsamere Abscheidungsrate von regulärer CVD hat. Die Metallfüllschicht 222 kann Ruthenium (Ru), Kobalt (Co), Nickel (Ni) oder Kupfer (Co) enthalten. In der dargestellten Ausführungsform enthält die Metallfüllschicht 222 Kobalt (Co). Nach der Abscheidung der Metallfüllschicht 222 kann ein chemisch-mechanischer Polierprozess (CMP-Prozess) durchgeführt werden, um überschüssige Materialien zu entfernen und die endgültige Form des ersten Source/Drain-Kontakts 224-1 und des zweiten Source/Drain-Kontakts 224-2 zu bilden. Nach dem CMP-Prozess ist eine Deckfläche des Werkstücks 200 im Wesentlichen planar. - Unter Bezugnahme nun auf
1 und4 umfasst das Verfahren 100 einen Block 106, wo eine mittlere Ätzstoppschicht (MESL) 226 und eine zweite Zwischenschichtdielektrikumschicht (ILD-Schicht) 228 über dem Werkstück 200 abgeschieden werden. In Block 106 werden die MESL 226 und die zweite Zwischenschichtdielektrikumschicht (ILD-Schicht) 228 der Reihe nach über dem Werkstück 200 abgeschieden. In manchen Ausführungsformen können die Zusammensetzung und der Bildungsprozess der MESL 226 ähnlich jenen der CESL 212 sein und die Zusammensetzung und der Bildungsprozess der zweiten ILD-Schicht 228 können ähnlich jenen der ersten ILD-Schicht 214 sein. - Unter Bezugnahme auf
1 und5 umfasst Verfahren 100 einen Block 108, wo eine Stoßkontaktöffnung 230 gebildet wird, um eine Kappenschicht 208 über der ersten Gate-Struktur 206-1 und dem ersten Source/Drain-Kontakt 224-1 freizulegen. In einem beispielhaften Prozess kann eine strukturierte Fotolackschicht über dem Werkstück 200 gebildet werden, um die Fläche direkt über der ersten Gate-Struktur 206-1 und dem ersten Source/Drain-Kontakt 224-1 freizulegen. Das Werkstück 200 wird dann unter Verwendung der strukturierten Fotolackschicht als eine Ätzmaske anisotrop geätzt. Da die anisotrope Ätzung in Block 108 für die zweite ILD-Schicht 228, die MESL 226 und die SAC-Schicht 216 selektiv ist, kann der Endpunkt der Stoßkontaktöffnung 230 auf eine Deckfläche des ersten Source/Drain-Kontakts 224-1 und eine Deckfläche der Kappenschicht 208 über der ersten Gate-Struktur 206-1 fallen. Infolgedessen wird die Stoßkontaktöffnung 230 gebildet, die in5 veranschaulicht ist. Die Stoßkontaktöffnung 230 legt nicht nur den ersten Source/Drain-Kontakt 224-1 frei, sondern auch die Kappenschicht 208 über der ersten Gate-Struktur 206-1. Der anisotrope Ätzprozess in Block 108 kann ein Trockenätzen sein, das Sauerstoff (02), Stickstoff (N2), ein fluorhaltiges Gas (z.B. CF4, SF6, NF3, BF3, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), eine Kohlenwasserstoffspezies (z.B. CH4), ein bromhaltiges Gas (z.B. HBr und/oder CHBr3), ein iodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon verwendet. In manchen Ausführungsformen kann nach der Bildung der Stoßkontaktöffnung 230 ein Vorreinigungsprozess durchgeführt werden, um Oxid von dem ersten Source/Drain-Kontakt 224-1 und der Kappenschicht 208 zu entfernen. - Unter Bezugnahme auf
1 und6 umfasst Verfahren 100 einen Block 110, wo ein Stoßkontakt 232 in der Stoßkontaktöffnung 230 gebildet ist. Operationen in Block 110 umfassen Abscheiden einer Sperrschicht 231 und einer Metallfüllschicht in die Stoßkontaktöffnung 230 und Planarisierung des Werkstücks 200, um überschüssiges Metallmaterial zu entfernen. In Block 110 kann die Sperrschicht 231 unter Verwendung von physikalischer Dampfphasenabscheidung (PVD) abgeschieden werden und eine Metallfüllschicht kann über der Sperrschicht 231 unter Verwendung von chemischer Dampfphasenabscheidung (CVD) abgeschieden werden. In manchen Ausführungsformen kann die Sperrschicht 231 ein Metallnitrid, wie Titannitrid (TiN) enthalten und die Metallfüllschicht über der Sperrschicht 231 kann Wolfram (W) enthalten. Es wird festgehalten, dass der Abscheidungsprozess des Stoßkontakts 232 sich von dem Abscheiden des Source/Drain-Kontakts unterscheidet. Wie oben beschrieben, werden die Source/Drain-Kontakte (wie der erste Source/Drain-Kontakt 224-1) unter Verwendung von selektiver Bottom-up-CVD abgeschieden und sind sperrschichtfrei, während der Stoßkontakt 232 unter Verwendung von CVD abgeschieden wird und die Sperrschicht 231 aufweist. Nach dem Abscheiden des Metallmaterials wird das Werkstück 200 zum Beispiel durch einen CMP-Prozess planarisiert, bis das gesamte Metallmaterial über der zweiten ILD-Schicht 228 entfernt ist. Nach der Planarisierung wird der Stoßkontakt 232 in der Stoßkontaktöffnung 230 gebildet. Der Stoßkontakt 232 (oder die Sperrschicht 231 des Stoßkontakts 232, um präzise zu sein) ist in direktem Kontakt mit der zweiten ILD-Schicht 228, der MESL 226, der Metallfüllschicht 222 des ersten Source/Drain-Kontakts 224-1, dem Gate-Abstandhalter 210, der SAC-Schicht 216 und der Kappenschicht 208 über der ersten Gate-Struktur 206-1. Da die Kappenschicht 208 elektrisch leitfähig ist, ist der Stoßkontakt 232, der auf dem ersten Source/Drain-Kontakt 224-1 und der Kappenschicht 208 landet, elektrisch an den ersten Source/Drain-Kontakt 224-1 und die erste Gate-Struktur 206-1 gekoppelt. - Unter Bezugnahme auf
1 und7 umfasst Verfahren 100 einen Block 112, wo eine dritte ILD-Schicht 234 über dem Werkstück 200 abgeschieden wird. Wie die erste ILD-Schicht 214 und die zweite ILD-Schicht 228 kann die dritte ILD-Schicht 234 Materialien wie Tetraethylorthosilicatoxid (TEOS-Oxid), undotiertes Silicatglas oder dotiertes Siliziumoxid wie Borphosphosilicatglas (BPSG), Quarzglas (FSG), Phosphosilicatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten. Die dritte ILD-Schicht 234 kann über der zweiten ILD-Schicht 228 und dem Stoßkontakt 232 durch CVD, fließfähige CVD (FCVD), Spin-on-Beschichtung oder andere geeignete Abscheidungstechnik abgeschieden werden. - Unter Bezugnahme auf
1 und8 umfasst Verfahren 100 einen Block 114, wo eine Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 gebildet ist, um den zweiten Source/Drain-Kontakt 224-2 freizulegen. Die Bildung der Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 kann Fotolithografieprozesse und Ätzprozesse umfassen. Die Fotolithografieprozesse bilden eine Ätzmaske, die eine Öffnung direkt über dem zweiten Source/Drain-Kontakt 224-2 aufweist. Unter Bezugnahme auf8 wird dann ein Trockenätzprozess durchgeführt, um vollständig durch die dritte ILD-Schicht 234, die zweite ILD-Schicht 228 und die MESL 226 zu ätzen, um eine Deckfläche der Metallfüllschicht 222 des zweiten Source/Drain-Kontakts 224-2 freizulegen. Ein beispielhafter Trockenätzprozess in Block 114 kann Verwendung von Sauerstoff (O2), Stickstoff (N2), Wasserstoff (H2), einem fluorhaltigen Gas (z.B. CF4, SF6, NF3, BF3, CH2F2, CHF3 und/oder C2F6), einem chlorhaltigen Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), einem bromhaltigen Gas (z.B. HBr und/oder CHBr3) einem iodhaltigen Gas, anderen geeigneten Gasen und/oder Plasmen und/oder Kombinationen davon umfassen. In einer Ausführungsform wird die Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 unter Verwendung eines Stickstoffplasmas, eines Wasserstoffplasmas oder beider geätzt. - Unter Bezugnahme auf
1 und9 umfasst Verfahren 100 einen Block 116, wo der zweite Source/Drain-Kontakt 224-2 vertieft wird. Ein selektiver Nassätzprozess kann durchgeführt werden, um die Metallfüllschicht 222 des zweiten Source/Drain-Kontakts 224-2 selektiv zu vertiefen, um die Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 in den zweiten Source/Drain-Kontakt 224-2 zu erweitern. In manchen Implementierungen umfasst der selektive Nassätzprozess Verwendung von entionisiertem (DI) Wasser, Salpetersäure (HNO3), Wasserstoffperoxid (H2O2), Hydrochlorid (HCl) oder Isopropylalkohol (IPA). In einer Ausführungsform ist die Metallfüllschicht 222 aus Kobalt (Co) gebildet und die Vertiefung in Block 116 wird unter Verwendung von Wasserstoffperoxid (H2O2) durchgeführt. Wie in9 gezeigt, wird aufgrund der isotropen Eigenschaft des Nassätzprozesses eine Deckfläche der Metallfüllschicht 222 des zweiten Source/Drain-Kontakts 224-2 konkav oder kraterförmig. In manchen Ausführungsformen, dargestellt in9 , kann nach der Vertiefung ein Abschnitt der Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 die MESL 226 um den zweiten Source/Drain-Kontakt 224-2 unterschneiden. Die Vertiefung in Block 116 kann Anhaften verbessern und den Grenzflächenbereich mit der zu bildenden Source/Drain-Kontakt-Durchkontaktierung 240 (die unten beschrieben wird) vergrößern. - Unter Bezugnahme auf
1 und10 umfasst Verfahren 100 einen Block 118 wo eine Source/Drain-Kontakt-Durchkontaktierung 240 in der Source/Drain-Kontakt-Durchkontaktierungsöffnung 236 gebildet ist. Operationen in Block 118 können Metallabscheidung und Oberflächenplanarisierung umfassen. In einem beispielhaften Prozess wird eine Metallfüllschicht über dem Werkstück 200 abgeschieden, enthaltend in der Source/Drain-Kontakt-Durchkontaktierungsöffnung 236. In manchen Ausführungsformen kann die Metallfüllschicht Wolfram (W) oder Ruthenium (Ru) enthalten. In der dargestellten Ausführungsform enthält die Metallfüllschicht Wolfram (W). In mancher Implementierung kann die Metallfüllschicht unter Verwendung von selektiver Bottom-up CVD oder einer geeigneten Abscheidungstechnik abgeschieden werden. Nach dem Abscheiden der Metallfüllschicht wird ein CMP-Prozess durchgeführt, um das Werkstück 200 zu planarisieren, um überschüssige Materialien zu entfernen und die Source/Drain-Kontakt-Durchkontaktierung 240 zu bilden. Wie in10 gezeigt, erstreckt sich die Source/Drain-Kontakt-Durchkontaktierung 240 durch die dritte ILD-Schicht 234, die zweite ILD-Schicht 228 und MESL 226. Aufgrund des Vertiefungsprozesses in Block 116 erstreckt sich die Source/Drain-Kontakt-Durchkontaktierung 240 teilweise in die Metallfüllschicht 222 des zweiten Source/Drain-Kontakts 224-2 und kann die MESL 226 um Ränder des zweiten Source/Drain-Kontakts 224-2 unterschneiden. In manchen Ausführungsformen, dargestellt in10 , ist eine Deckfläche der Source/Drain-Kontakt-Durchkontaktierung 240 um einen Unterschied im Wesentlichen gleich einer Dicke der dritten ILD-Schicht 234 höher als eine Deckfläche des Stoßkontakts 232. - Unter Bezugnahme auf
1 und11 umfasst Verfahren 100 einen Block 120, wo eine vierte Dielektrikumschicht 242 über dem Werkstück 200 abgeschieden wird. Wie die erste ILD-Schicht 214 und die zweite ILD-Schicht 228 kann die vierte ILD-Schicht 242 Materialien wie Tetraethylorthosilicatoxid (TEOS-Oxid), undotiertes Silicatglas oder dotiertes Siliziumoxid wie Borphosphosilicatglas (BPSG), Quarzglas (FSG), Phosphosilicatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien enthalten. Die vierte ILD-Schicht 242 kann über der dritten ILD-Schicht 234 und einer Deckfläche der Source/Drain-Kontakt-Durchkontaktierung 240 durch CVD, fließfähige CVD (FCVD), Spin-on-Beschichtung oder andere geeignete Abscheidungstechnik abgeschieden werden. - Unter Bezugnahme auf
1 und12 umfasst Verfahren 100 einen Block 122, wo eine Gate-Kontaktöffnung 244 gebildet wird, um die Kappenschicht 208 auf der zweiten Gate-Struktur 206-2 freizulegen. Bildung der Gate-Kontaktöffnungen 244 durch die vierte ILD-Schicht 242, die dritte ILD-Schicht 234, die zweite ILD-Schicht 228, die MESL 226 und die SAC-Schicht 216 über der zweiten Gate-Struktur 206-2 oder der dritten Gate-Struktur 206-3 kann Verwendung von Lithografieprozessen und/oder Ätzprozessen umfassen. Die Lithografieprozesse umfassen Bilden einer Fotolack Schicht über der vierten ILD-Schicht 242, Belichten der Fotolackschicht mit Strukturierungsstrahlung und Entwickeln der belichteten Fotolackschicht, wodurch eine strukturierte Fotolackschicht gebildet wird. Das Werkstück 200 wird dann in einem Trockenätzprozess unter Verwendung der strukturierten Fotolackschicht als eine Ätzmaske geätzt. Ein beispielhafter Trockenätzprozess für Block 122 kann Verwendung von Sauerstoff (02), Stickstoff (N2), Wasserstoff (H2), einem fluorhaltigen Gas (z.B. CF4, SF6, NF3, BF3, CH2F2, CHF3 und/oder C2F6), einem chlorhaltigen Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), einem bromhaltigen Gas (z.B. HBr und/oder CHBr3), einem iodhaltigen Gas, anderen geeigneten Gasen und/oder Plasmen und/oder Kombinationen davon umfassen. Nach dem Trockenätzprozess kann die strukturierte Fotolackschicht durch Veraschung entfernt werden. Ein Nassreinigungsprozess kann durchgeführt werden, um Teilchen auf der Kappenschicht 208 über der zweiten Gate-Struktur 206-2 und der dritten Gate-Struktur 206-3 zu entfernen. In manchen Implementierungen kann der Nassreinigungsprozess Verwendung von entionisiertem (DI) Wasser oder Isopropylalkohol (IPA) umfassen. - Unter Bezugnahme auf
1 ,13 und14 umfasst Verfahren 100 einen Block 124, wo ein Gate-Kontakt 250 in der Gate-Kontaktöffnung 244 gebildet ist. Der Gate-Kontakt 250 kann eine Metallfüllschicht 248 aufweisen. Die Metallfüllschicht 248 für den Gate-Kontakt 250 kann Wolfram (W) oder Ruthenium (Ru) enthalten und kann unter Verwendung von selektiver Bottom-up CVD abgeschieden werden. Nachdem die Metallfüllschicht 248 über dem Werkstück 200 abgeschieden wurde, wie in13 dargestellt, wird das Werkstück 200 in einem CMP-Prozess planarisiert, um die Gate-Kontakte 250 zu bilden, wie in14 gezeigt. Die Planarisierung in Block 124 wird durchgeführt, bis Deckflächen der Gate-Kontakte 250, die Source/Drain-Kontakt-Durchkontaktierung 240 und die dritte ILD-Schicht 234 komplanar sind. Deckflächen der Gate-Kontakte 250, der Source/Drain-Kontakt-Durchkontaktierung 240 und der dritten ILD-Schicht 234 sind alle um eine Dicke T der dritten ILD-Schicht 234 höher als die Deckfläche des Stoßkontakts 232. In manchen Fällen kann die Dicke T der dritten ILD-Schicht 234 in14 zwischen etwa 5 nm und etwa 45 nm sein. Wenn die Dicke der dritten ILD-Schicht 234 größer als 45 nm ist, können die Aspektverhältnisse der Gate-Kontaktöffnungen 244 oder der Source/Drain-Kontakt-Durchkontaktierungsöffnungen 236 für eine zufriedenstellende Metallfüllung zu groß sein. Wenn die Dicke der dritten ILD-Schicht 234 kleiner als 5 nm ist, kann der Stoßkontakt 232 nicht ausreichend von darüberliegenden Metallleitungen oder Kontaktstrukturen isoliert sein. - Es wird weiter auf
14 Bezug genommen. Gemäß der vorliegenden Offenbarung weist der Stoßkontakt 232 einen unteren Abschnitt auf, der sich in die SAC-Schicht 216 über der ersten Gate-Struktur 206-1 erstreckt, und einen oberen Abschnitt, der über dem ersten Source/Drain-Kontakt 224-1 und dem unteren Abschnitt angeordnet ist. Der untere Abschnitt des Stoßkontakts 232 ist zwischen zwei benachbarten Gate-Abstandhaltern 210 angeordnet und ist mit der Kappenschicht 208 auf der ersten Gate-Struktur 206-1 in Kontakt. Der obere Abschnitt des Stoßkontakts 232 ist in der MESL 226 und der zweiten ILD-Schicht 228 angeordnet. Wie in14 gezeigt, entlang der Z Richtung senkrecht zu dem Substrat 202 (gezeigt in2 ), hat der untere Abschnitt eine erste Höhe H1 und der obere Abschnitt hat eine zweite Höhe H2. In der dargestellten Ausführungsform entspricht die erste Höhe H1 im Wesentlichen der Dicke der SAC-Schicht 216 und fällt in einen Bereich zwischen etwa 10 nm und etwa 25 nm. Wenn die Dicke der SAC-Schicht 216 größer als 25 nm ist, kann die zusätzliche Ätzung, die notwendig ist, um die SAC-Schicht 216 zu brechen, durch den ersten Source/Drain-Kontakt 224-1 stanzen, wenn die Stoßkontaktöffnung 230 gebildet wird. Wenn die Dicke der SAC-Schicht 216 kleiner als 10 nm ist, kann sich die Stoßkontaktöffnung 230 seitlich ausdehnen, was zu einer unerwünschten Kopplung an benachbarte Gate-Kontakte führt. Die zweite Höhe H2 des oberen Abschnitts entspricht im Wesentlichen der Gesamtdicke der MESL 226 und der zweiten ILD-Schicht 228 und kann zwischen etwa 30 nm und etwa 40 nm sein. Wenn die zweite Höhe H2 kleiner als 30 nm ist, kann Bildung der Stoßkontaktöffnung 230 die erste Gate-Struktur 206-1 und die Kappenschicht 208 beschädigen. Wenn die zweite Höhe H2 größer als 40 ist, kann die Stoßkontaktöffnung 230 die Kappenschicht 208 über der ersten Gate-Struktur 206-1 nicht zufriedenstellend freilegen. Der Stoßkontakt 232 hat eine dritte Höhe H3, die die Summe der ersten Höhe H1 des unteren Abschnitts und der zweiten Höhe H2 des oberen Abschnitts ist. In manchen Fällen kann die dritte Höhe H3 zwischen etwa 40 nm und 60 nm sein. Der untere Abschnitt hat eine erste obere Öffnungsbreite W1 entlang der X Richtung und der obere Abschnitt hat eine zweite Öffnungsbreite W2 entlang der X Richtung. In manchen Fällen kann die erste obere Öffnungsbreite W1 zwischen etwa 10 nm und etwa 25 nm sein und die zweite obere Öffnungsbreite W2 kann zwischen etwa 14 nm und etwa 40 nm sein. Wenn die zweite obere Öffnungsbreite W2 kleiner als 14 nm ist, kann der Stoßkontakt 232 eine schlechte Landung auf dem ersten Source/Drain-Kontakt 224-1 haben. Wenn die zweite obere Öffnungsbreite W2 größer als 40 nm ist, kann der Stoßkontakt 232 mit benachbarten Gate-Kontakten in Kontakt kommen, was zu einer unerwünschten elektrischen Verbindung führt. Insgesamt hat der Stoßkontakt 232 der vorliegenden Offenbarung ein nominales Aspektverhältnis (d.h. die dritte Höhe H3, dividiert durch die zweite obere Öffnungsbreite W2 oder H3/W2) zwischen etwa 0,9 und etwa 2. Es ist ersichtlich, dass, wenn ein hypothetischer Stoßkontakt sich auch durch die dritte ILD-Schicht 234 mit der Dicke T erstreckt, sein Aspektverhältnis als eine Summe der dritten Höhe H3 und der Dicke T, dividiert durch die zweite Öffnungsbreite W2 berechnet werden würde. Ein solcher hypothetischer Stoßkontakt hätte ein nominales Aspektverhältnis zwischen etwa 1,5 und 3, das eine zufriedenstellende Metallfüllung in seinem unteren Abschnitt behindern würde und zu Poren und Defekten führen könnte. Solche Poren und Defekten können den Kontaktwiderstand erhöhen. -
14 zeigt, dass der Stoßkontakt 232, die Source/Drain-Kontakt-Durchkontaktierung 240 und die Gate-Kontakte 250 entlang desselben Querschnitts gezeigt sind. In manchen Ausführungsformen, während die Formen, Tiefen und relativen vertikalen Positionen dieselben bleiben können, der Stoßkontakt 232, die Source/Drain-Kontakt-Durchkontaktierung 240 und die Gate-Kontakte 250 nicht auf demselben Querschnitt sein können.15 stellt ein Beispiel bereit, wo die Stoßkontakte 232, die Source/Drain-Kontakt-Durchkontaktierungen 240 und die Gate-Kontakte 250 einer Halbleitervorrichtung 200 nicht unbedingt auf einem Querschnitt erscheinen. Die Halbleitervorrichtung 200 in15 weist mehrere Gate-Strukturen 206, die sich der Länge nach entlang der Y-Richtung erstrecken, mehrere aktive Gebiete 204, die der Länge nach entlang der X-Richtung erstrecken, und mehrere Source/Drain-Kontakte 224, die sich der Länge nach entlang der Y-Richtung erstrecken, auf. Die Halbleitervorrichtung 200 weist mehrere Stoßkontakte 232, mehrere Source/Drain-Kontakt-Durchkontaktierungen 240 und mehrere Gate-Kontakte 250 auf. Jeder der Stoßkontakte 232 überspannt eine Gate-Struktur 206 und einen benachbarten Source/Drain-Kontakt 224 und ist elektrisch an diese gekoppelt. Jede der Source/Drain-Kontakt-Durchkontaktierungen 240 ist direkt auf einem Source/Drain-Kontakt 224 angeordnet. Jeder der Gate-Kontakte 250 ist direkt über einer Gate-Struktur 206 angeordnet und elektrisch mit dieser verbunden. Wie in15 gezeigt, schneidet ein Querschnitt, der über einen Stoßkontakt 232 entlang der X-Richtung schneidet, nicht durch eine der Source/Drain-Kontakt-Durchkontaktierungen 240 oder einen der Gate-Kontakte 250. - Der Stoßkontakt und das Verfahren der vorliegenden Offenbarung stellen mehrere Vorteile bereit. Zum Beispiel ist die Stoßkontaktöffnung, die eine Gate-Struktur und einen benachbarten Source/Drain-Kontakt freilegt, nicht so tief wie die Source/Drain-Kontakt-Durchkontaktierungsöffnung oder die Gate-Kontaktöffnung. Als solches hat die Stoßkontaktöffnung ein kleineres Aspektverhältnis, das einer zufriedenstellenden Metallfüllung zuträglich ist. Der Stoßkontakt kann aus Wolfram (W) gebildet sein und kann unter Verwendung einer Kombination von PVD und CVD abgeschieden werden. Das kleinere Aspektverhältnis und die zweistufige Metallfüllung verbessern die Integrität des Stoßkontakts und verringern Kontaktwiderstand zu der Gate-Struktur.
- Die vorliegende Offenbarung stellt viele verschiedene Ausführungsformen bereit. In einer Ausführungsform wird ein Verfahren bereitgestellt. Das Verfahren umfasst Aufnehmen eines Werkstücks, das eine erste Gate-Struktur, die eine erste Kappenschicht darauf aufweist, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, die eine zweite Kappenschicht darauf aufweist, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt und eine erste Dielektrikumschicht über der ESL aufweist. Das Verfahren umfasst weiter Bilden einer Stoßkontaktöffnung, um die erste Kappenschicht und den ersten Source/Drain-Kontakt freizulegen, Bilden eines Stoßkontakts in der Stoßkontaktöffnung, nach dem Bilden des Stoßkontakts, Abscheiden einer zweiten Dielektrikumschicht, Bilden einer Source/Drain-Kontakt-Durchkontaktierungsöffnung durch die zweite Dielektrikumschicht, die ESL-Schicht und die erste Dielektrikumschicht, um den zweiten Source/Drain-Kontakt freizulegen, und Bilden einer Source/Drain-Kontakt-Durchkontaktierung in der Source/Drain-Kontakt-Durchkontaktierungsöffnung.
- In manchen Ausführungsformen kann das Verfahren weiter nach dem Bilden der Source/Drain-Kontakt-Durchkontaktierung, Abscheiden einer dritten Dielektrikumschicht über der Source/Drain-Kontakt-Durchkontaktierung, Bilden einer Gate-Kontakt-Durchkontaktierungsöffnung, um die zweite Kappenschicht freizulegen, und Bilden einer Gate-Kontakt-Durchkontaktierung in der Gate-Kontakt-Durchkontaktierungsöffnung umfassen. In manchen Ausführungsformen kann das Verfahren weiter vor dem Bilden der Source/Drain-Kontakt-Durchkontaktierung, Vertiefen des zweiten Source/Drain-Kontakts umfassen. In manchen Implementierungen umfasst das Vertiefen des zweiten Source/Drain-Kontakts Verwenden von Wasserstoffperoxid. In manchen Fällen enthalten die erste Kappenschicht und die zweite Kappenschicht fluorfreies Wolfram. In manchen Ausführungsformen enthalten der erste Source/Drain-Kontakt und der zweite Source/Drain-Kontakt Kobalt. In manchen Ausführungsformen umfasst das Bilden des Stoßkontakts Abscheiden einer Sperrschicht über der Stoßkontaktöffnung unter Verwendung von physikalischer Dampfphasenabscheidung (PVD) und Abscheiden einer Metallfüllschicht über der Sperrschicht unter Verwendung von chemischer Dampfphasenabscheidung (CVD) und Planarisieren der abgeschiedenen Metallfüllschicht. In manchen Ausführungsformen ist nach dem Planarisieren, eine Deckfläche des Stoßkontakts mit einer Deckfläche der ersten Dielektrikumschicht komplanar. In manchen Implementierungen enthält die Metallfüllschicht Wolfram.
- In einer anderen Ausführungsform ist ein Verfahren bereitgestellt. Das Verfahren umfasst Aufnehmen eines Werkstücks, das eine erste Gate-Struktur, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt und eine erste Dielektrikumschicht über der ESL aufweist. Das Verfahren kann weiter Bilden eines Stoßkontakts, um die erste Gate-Struktur und den ersten Source/Drain-Kontakt zu koppeln, Abscheiden einer zweiten Dielektrikumschicht über der ersten Dielektrikumschicht und dem Stoßkontakt, Bilden einer Source/Drain-Kontakt-Durchkontaktierung durch die zweite Dielektrikumschicht, die erste Dielektrikumschicht und die ESL-Schicht, um den zweiten Source/Drain-Kontakt zu koppeln, Abscheiden einer dritten Dielektrikumschicht über der Source/Drain-Kontakt-Durchkontaktierung und der zweiten Dielektrikumschicht und Bilden eines Gate-Kontakts durch die dritte Dielektrikumschicht, die zweite Dielektrikumschicht, die erste Dielektrikumschicht und die ESL-Schicht, um die zweite Gate-Struktur zu koppeln, umfassen.
- In manchen Ausführungsformen kann das Verfahren weiter nach dem Bilden des Gate-Kontakts, Planarisieren des Werkstücks, bis eine Deckfläche des Gate-Kontakts mit einer Deckfläche der Source/Drain-Kontakt-Durchkontaktierung komplanar ist, umfassen. In manchen Implementierungen kann das Werkstück weiter eine erste Kappenschicht über der ersten Gate-Struktur, eine zweite Kappenschicht über der zweiten Gate-Struktur, eine erste selbstausgerichtete Kappenschicht (SAC-Schicht) über der ersten Kappenschicht und eine zweite SAC-Schicht über der zweiten Kappenschicht aufweisen. In manchen Ausführungsformen erstreckt sich ein Abschnitt der Stoßkontakt durch die erste SAC-Schicht, um auf der ersten Kappenschicht zu landen. In manchen Implementierungen erstreckt sich der Gate-Kontakt durch die zweite SAC-Schicht, um auf der zweiten Kappenschicht zu landen. In manchen Ausführungsformen umfasst das Bilden des Stoßkontakts Bilden einer Stoßkontaktöffnung, um eine Deckfläche des ersten Source/Drain-Kontakts und der ersten Kappenschicht freizulegen, Abscheiden einer Sperrschicht über der Stoßkontaktöffnung unter Verwendung von physikalischer Dampfphasenabscheidung (PVD), Abscheiden einer Metallfüllschicht über der Sperrschicht unter Verwendung von chemischer Dampfphasenabscheidung (CVD) und Planarisieren der abgeschiedenen Metallfüllschicht. In manchen Ausführungsformen enthält die Metallfüllschicht Wolfram.
- In einer weiteren Ausführungsform ist eine Halbleiterstruktur bereitgestellt. Die Halbleiterstruktur weist eine erste Gate-Struktur, die eine erste Kappenschicht darauf aufweist, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, die eine zweite Kappenschicht darauf aufweist, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt, eine erste Dielektrikumschicht über der ESL, eine zweite Dielektrikumschicht über der ersten Dielektrikumschicht, einen Stoßkontakt, der über die erste Gate-Struktur und den ersten Source/Drain-Kontakt spannt, wobei der Stoßkontakt in Kontakt mit dem ersten Source/Drain-Kontakt und der ersten Kappenschicht ist, eine Source/Drain-Kontakt-Durchkontaktierung, die über dem zweiten Source/Drain-Kontakts angeordnet ist, und einen Gate-Kontakt, der über der zweiten Kappenschicht angeordnet ist. Die zweite Dielektrikumschicht ist direkt auf einer Deckfläche des Stoßkontakts angeordnet.
- In manchen Ausführungsformen enthalten der erste Source/Drain-Kontakt und der zweite Source/Drain-Kontakt Kobalt. In manchen Fällen enthalten die erste Kappenschicht und die zweite Kappenschicht fluorfreies Wolfram. In manchen Ausführungsformen enthält der Stoßkontakt Wolfram.
- Zuvor wurden Merkmale von mehreren Ausführungsformen angeführt, so dass Fachleute auf dem Gebiet die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute auf dem Gebiet sollten zu schätzen wissen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Modifizierung anderer Prozesse und Strukturen zur Ausführung derselben Zwecke und/oder zum Erreichen derselben Vorteile der hier vorgestellten Ausführungsformen verwenden können. Fachleute auf dem Gebiet sollten auch erkennen, dass solche äquivalenten Konstruktionen nicht vom Wesen und Umfang der vorliegenden Offenbarung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne vom Wesen und Umfang der vorliegenden Offenbarung abzuweichen.
- ZITATE ENTHALTEN IN DER BESCHREIBUNG
- Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
- Zitierte Patentliteratur
-
- US 63151108 [0001]
Claims (20)
- Verfahren, umfassend: Aufnehmen eines Werkstücks, umfassend: eine erste Gate-Struktur, die eine erste Kappenschicht darauf aufweist, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, die eine zweite Kappenschicht darauf aufweist, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt und eine erste Dielektrikumschicht über der ESL; Bilden einer Stoßkontaktöffnung, um die erste Kappenschicht und den ersten Source/Drain-Kontakt freizulegen; Bilden eines Stoßkontakts in der Stoßkontaktöffnung; nach dem Bilden des Stoßkontakts, Abscheiden einer zweiten Dielektrikumschicht; Bilden einer Source/Drain-Kontakt-Durchkontaktierungsöffnung durch die zweite Dielektrikumschicht, die ESL-Schicht und die erste Dielektrikumschicht, um den zweiten Source/Drain-Kontakt freizulegen; und Bilden einer Source/Drain-Kontakt-Durchkontaktierung in der Source/Drain-Kontakt-Durchkontaktierungsöffnung.
- Verfahren nach
Anspruch 1 , weiter umfassend: nach dem Bilden der Source/Drain-Kontakt-Durchkontaktierung, Abscheiden einer dritten Dielektrikumschicht über der Source/Drain-Kontakt-Durchkontaktierung; Bilden einer Gate-Kontakt-Durchkontaktierungsöffnung, um die zweite Kappenschicht freizulegen; und Bilden einer Gate-Kontakt-Durchkontaktierung in der Gate-Kontakt-Durchkontaktierungsöffnung. - Verfahren nach
Anspruch 1 oder2 , weiter umfassend: vor dem Bilden der Source/Drain-Kontakt-Durchkontaktierung, Vertiefen des zweiten Source/Drain-Kontakts. - Verfahren nach
Anspruch 3 , wobei das Vertiefen des zweiten Source/Drain-Kontakts ein Verwenden von Wasserstoffperoxid umfasst. - Verfahren nach einem der vorstehenden Ansprüche, wobei die erste Kappenschicht und die zweite Kappenschicht fluorfreies Wolfram enthalten.
- Verfahren nach einem der vorstehenden Ansprüche, wobei der erste Source/Drain-Kontakt und der zweite Source/Drain-Kontakt Kobalt enthalten und frei von einer Sperrschicht sind.
- Verfahren nach einem der vorstehenden Ansprüche, wobei das Bilden des Stoßkontakts umfasst: Abscheiden einer Sperrschicht über der Stoßkontaktöffnung unter Verwendung von physikalischer Dampfphasenabscheidung (PVD); Abscheiden einer Metallfüllschicht über der Sperrschicht unter Verwendung von chemischer Dampfphasenabscheidung (CVD); und Planarisieren der abgeschiedenen Metallfüllschicht.
- Verfahren nach
Anspruch 7 , wobei nach dem Planarisieren eine Deckfläche des Stoßkontakts mit einer Deckfläche der ersten Dielektrikumschicht komplanar ist. - Verfahren nach
Anspruch 7 oder8 , wobei die Metallfüllschicht Wolfram enthält. - Verfahren, umfassend: Aufnehmen eines Werkstücks, umfassend: eine erste Gate-Struktur, einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur, eine zweite Gate-Struktur, einen zweiten Source/Drain-Kontakt, eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt und eine erste Dielektrikumschicht über der ESL; Bilden eines Stoßkontakts, um die erste Gate-Struktur und den ersten Source/Drain-Kontakt zu koppeln; Abscheiden einer zweiten Dielektrikumschicht über der ersten Dielektrikumschicht und dem Stoßkontakt; Bilden einer Source/Drain-Kontakt-Durchkontaktierung durch die zweite Dielektrikumschicht, die erste Dielektrikumschicht und die ESL-Schicht, um den zweiten Source/Drain-Kontakt zu koppeln; Abscheiden einer dritten Dielektrikumschicht über der Source/Drain-Kontakt-Durchkontaktierung und der zweiten Dielektrikumschicht; und Bilden eines Gate-Kontakts durch die dritte Dielektrikumschicht, die zweite Dielektrikumschicht, die erste Dielektrikumschicht und die ESL-Schicht, um die zweite Gate-Struktur zu koppeln.
- Verfahren nach
Anspruch 10 , weiter umfassend: nach dem Bilden des Gate-Kontakts, Planarisieren des Werkstücks, bis eine Deckfläche des Gate-Kontakts mit einer Deckfläche der Source/Drain-Kontakt-Durchkontaktierung komplanar ist. - Verfahren nach
Anspruch 10 oder11 , wobei das Werkstück weiter aufweist: eine erste Kappenschicht über der ersten Gate-Struktur; eine zweite Kappenschicht über der zweiten Gate-Struktur; eine erste selbstausgerichtete Kappenschicht (SAC-Schicht) über der ersten Kappenschicht; und eine zweite SAC-Schicht über der zweiten Kappenschicht. - Verfahren nach
Anspruch 12 , wobei sich ein Abschnitt des Stoßkontakts durch die erste SAC-Schicht erstreckt, um auf der ersten Kappenschicht zu landen. - Verfahren nach
Anspruch 12 oder13 , wobei sich der Gate-Kontakt durch die zweite SAC-Schicht erstreckt, um auf der zweiten Kappenschicht zu landen. - Verfahren nach einem der
Ansprüche 12 bis14 , wobei das Bilden des Stoßkontakts umfasst: Bilden einer Stoßkontaktöffnung, um eine Deckfläche des ersten Source/Drain-Kontakts und der ersten Kappenschicht freizulegen; Abscheiden einer Sperrschicht über der Stoßkontaktöffnung unter Verwendung von physikalischer Dampfphasenabscheidung (PVD); Abscheiden einer Metallfüllschicht über der Sperrschicht unter Verwendung von chemischer Dampfphasenabscheidung (CVD); und Planarisieren der abgeschiedenen Metallfüllschicht. - Verfahren nach
Anspruch 15 , wobei die Metallfüllschicht Wolfram enthält. - Halbleiterstruktur, aufweisend: eine erste Gate-Struktur, die eine erste Kappenschicht darauf aufweist; einen ersten Source/Drain-Kontakt benachbart zu der ersten Gate-Struktur; eine zweite Gate-Struktur, die eine zweite Kappenschicht darauf aufweist; einen zweiten Source/Drain-Kontakt; eine Ätzstoppschicht (ESL) über dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt; eine erste Dielektrikumschicht über der ESL; eine zweite Dielektrikumschicht über der ersten Dielektrikumschicht; einen Stoßkontakt, der die erste Gate-Struktur und den ersten Source/Drain-Kontakt überspannt, wobei der Stoßkontakt in Kontakt mit dem ersten Source/Drain-Kontakt und der ersten Kappenschicht ist; eine Source/Drain-Kontakt-Durchkontaktierung, die über dem zweiten Source/Drain-Kontakt angeordnet ist; und einen Gate-Kontakt, der über der zweiten Kappenschicht angeordnet ist, wobei die zweite Dielektrikumschicht direkt auf einer Deckfläche des Stoßkontakts angeordnet ist.
- Halbleiterstruktur nach
Anspruch 17 , wobei der erste Source/Drain-Kontakt und der zweite Source/Drain-Kontakt Kobalt enthalten und frei von einer Sperrschicht sind. - Halbleiterstruktur nach
Anspruch 17 oder18 , wobei die erste Kappenschicht und die zweite Kappenschicht fluorfreies Wolfram enthalten. - Halbleiterstruktur nach
Anspruch 17 ,18 oder19 wobei der Stoßkontakt Wolfram enthält.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163151108P | 2021-02-19 | 2021-02-19 | |
US63/151,108 | 2021-02-19 | ||
US17/229,069 | 2021-04-13 | ||
US17/229,069 US11658215B2 (en) | 2021-02-19 | 2021-04-13 | Method of forming contact structures |
Publications (1)
Publication Number | Publication Date |
---|---|
DE102021109760A1 true DE102021109760A1 (de) | 2022-08-25 |
Family
ID=82702129
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
DE102021109760.6A Pending DE102021109760A1 (de) | 2021-02-19 | 2021-04-19 | Verfahren zum bilden von kontaktstrukturen |
Country Status (5)
Country | Link |
---|---|
US (2) | US11658215B2 (de) |
KR (1) | KR102593872B1 (de) |
CN (1) | CN114975251A (de) |
DE (1) | DE102021109760A1 (de) |
TW (1) | TWI808497B (de) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220271163A1 (en) * | 2021-02-22 | 2022-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20230323543A1 (en) * | 2022-04-06 | 2023-10-12 | Applied Materials, Inc. | Integrated cleaning and selective molybdenum deposition processes |
US12080650B1 (en) | 2023-10-25 | 2024-09-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Interconnect structure with low capacitance and high thermal conductivity |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102013104236A1 (de) | 2012-11-16 | 2014-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Versetzungssprung-Design in integrierten Schaltungen |
US20140361381A1 (en) | 2013-06-10 | 2014-12-11 | United Microelectronics Corp. | Multi-metal gate semiconductor device having triple diameter metal opening |
US20160336183A1 (en) | 2015-05-14 | 2016-11-17 | Globalfoundries Inc. | Methods, apparatus and system for fabricating finfet devices using continuous active area design |
US20190287851A1 (en) | 2018-03-14 | 2019-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition |
US20200135912A1 (en) | 2018-10-26 | 2020-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure with insulating cap and method for forming the same |
Family Cites Families (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9029260B2 (en) | 2011-06-16 | 2015-05-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Gap filling method for dual damascene process |
US8779592B2 (en) | 2012-05-01 | 2014-07-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Via-free interconnect structure with self-aligned metal line interconnections |
KR101919040B1 (ko) | 2012-08-13 | 2018-11-15 | 삼성전자주식회사 | 반도체 기억 소자 |
US9613856B1 (en) | 2015-09-18 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9972529B2 (en) | 2015-09-28 | 2018-05-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming metal interconnection |
US9997522B2 (en) | 2015-12-03 | 2018-06-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for fabricating a local interconnect in a semiconductor device |
US9768061B1 (en) | 2016-05-31 | 2017-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Low-k dielectric interconnect systems |
DE102017118475B4 (de) | 2016-11-29 | 2022-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selbstjustierte abstandshalter und verfahren zu deren herstellung |
US10109636B2 (en) * | 2017-03-08 | 2018-10-23 | Globalfoundries Inc. | Active contact and gate contact interconnect for mitigating adjacent gate electrode shortages |
US10083863B1 (en) | 2017-05-30 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure for semiconductor device |
US10522423B2 (en) * | 2017-08-30 | 2019-12-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnect structure for fin-like field effect transistor |
US10157790B1 (en) | 2017-09-28 | 2018-12-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method for manufacturing the same |
US10170322B1 (en) | 2017-11-16 | 2019-01-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Atomic layer deposition based process for contact barrier layer |
US10658237B2 (en) * | 2018-07-31 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor devices |
US10777455B2 (en) | 2019-01-29 | 2020-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multi-etching process for forming via opening in semiconductor device structure |
-
2021
- 2021-04-13 US US17/229,069 patent/US11658215B2/en active Active
- 2021-04-19 DE DE102021109760.6A patent/DE102021109760A1/de active Pending
- 2021-06-11 KR KR1020210076096A patent/KR102593872B1/ko active IP Right Grant
- 2021-09-28 TW TW110135979A patent/TWI808497B/zh active
-
2022
- 2022-01-20 CN CN202210064591.3A patent/CN114975251A/zh active Pending
-
2023
- 2023-05-22 US US18/321,609 patent/US20230299154A1/en active Pending
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DE102013104236A1 (de) | 2012-11-16 | 2014-05-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Versetzungssprung-Design in integrierten Schaltungen |
US20140361381A1 (en) | 2013-06-10 | 2014-12-11 | United Microelectronics Corp. | Multi-metal gate semiconductor device having triple diameter metal opening |
US20160336183A1 (en) | 2015-05-14 | 2016-11-17 | Globalfoundries Inc. | Methods, apparatus and system for fabricating finfet devices using continuous active area design |
US20190287851A1 (en) | 2018-03-14 | 2019-09-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition |
US20200135912A1 (en) | 2018-10-26 | 2020-04-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact structure with insulating cap and method for forming the same |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20220271163A1 (en) * | 2021-02-22 | 2022-08-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
US11652171B2 (en) * | 2021-02-22 | 2023-05-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
US12046677B2 (en) | 2021-02-22 | 2024-07-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Contact for semiconductor device and method of forming thereof |
Also Published As
Publication number | Publication date |
---|---|
CN114975251A (zh) | 2022-08-30 |
KR102593872B1 (ko) | 2023-10-24 |
US11658215B2 (en) | 2023-05-23 |
US20220271130A1 (en) | 2022-08-25 |
KR20220118880A (ko) | 2022-08-26 |
TW202234581A (zh) | 2022-09-01 |
US20230299154A1 (en) | 2023-09-21 |
TWI808497B (zh) | 2023-07-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
DE102018104654B4 (de) | Doppelte metalldurchkontaktierung für übergangswiderstand | |
DE102017127554B3 (de) | Halbleiterfabrikationsverfahren mit Vermeidung von Gatehöhenverlust in Planarisierungsprozessen | |
DE102017123950A1 (de) | Finfet-bauelement und verfahren zur herstellung desselben | |
DE102021109760A1 (de) | Verfahren zum bilden von kontaktstrukturen | |
DE102020134644B4 (de) | Rückseitenkontakt und verfahren zu seiner herstellung | |
DE102019127213A1 (de) | Gate-Widerstandsreduktion durch leitfähige Schicht mit niedriger Resistivität | |
DE102020106231A1 (de) | Vorrichtung mit niedrigem leckstrom | |
DE102021108885A1 (de) | Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen | |
DE102020116631A1 (de) | Halbleiterbauelemente mit dielektrikum mit einem rückseitigen luftspalt | |
DE102020120265A1 (de) | Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln | |
DE102019117007A1 (de) | Dielektrischer spaltfüllungsprozess für halbleitervorrichtung | |
DE102021100333A1 (de) | Halbleitervorrichtungsstruktur | |
DE102020131140A1 (de) | Gateisolierungsstruktur | |
DE102021105733A1 (de) | Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben | |
DE102021109940A1 (de) | Rückseitiger gatekontakt | |
DE102020129257A1 (de) | Abstandhalten für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen | |
DE102021109770B4 (de) | Hybrid-halbleitervorrichtung | |
DE102018126937B4 (de) | Finnenschnitt-Isolationsbereiche und Verfahren zu ihrem Bilden | |
DE102020133511A1 (de) | Sammelschienenkontakt | |
DE102021110539A1 (de) | Selfausrichtendes metall-gate für eine multi-gate-vorrichtung und verfahren zu dessen herstellung | |
DE102020131432A1 (de) | Source/drain-kontaktstruktur | |
DE102018124815B4 (de) | FIN-Feldeffekttransistorbauteil und Verfahren | |
DE102020115362A1 (de) | Halbleitervorrichtung und verfahren | |
DE102023102401A1 (de) | Halbleitervorrichtung und verfahren zum ausbilden von dieser | |
DE102020116641B4 (de) | Bilden von 3d-transistoren unter verwendung von 2d-van-der-waals-materialien und zugehörige vorrichtungen |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
R012 | Request for examination validly filed | ||
R016 | Response to examination communication |