DE102020133511A1 - Sammelschienenkontakt - Google Patents

Sammelschienenkontakt Download PDF

Info

Publication number
DE102020133511A1
DE102020133511A1 DE102020133511.3A DE102020133511A DE102020133511A1 DE 102020133511 A1 DE102020133511 A1 DE 102020133511A1 DE 102020133511 A DE102020133511 A DE 102020133511A DE 102020133511 A1 DE102020133511 A1 DE 102020133511A1
Authority
DE
Germany
Prior art keywords
source
layer
contact
drain
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020133511.3A
Other languages
English (en)
Inventor
Cheng-Wei Chang
Hong-Ming WU
Chen-Yuan Kao
Li-Hsiang Chao
Yi-Ying Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020133511A1 publication Critical patent/DE102020133511A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes

Abstract

Ein Verfahren im Einklang mit der vorliegenden Offenbarung umfasst das Aufnehmen eines Werkstücks, welches eine Gate-Struktur, ein erstes Source-/Drain-Merkmal (S/D-Merkmal), ein zweites S/D-Merkmal, eine erste dielektrische Schicht über der Gate-Struktur, dem ersten S/D-Merkmal und dem zweiten S/D-Merkmal, einen ersten S/D-Kontakt über dem ersten S/D-Merkmal, einen zweiten S/D-Kontakt über dem zweiten S/D-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht, und eine zweite dielektrische Schicht über der ersten ESL aufweist, das Bilden einer S/D-Kontakt-Durchkontaktierung durch die zweite dielektrische Schicht und die erste ESL, um den ersten S/D-Kontakt anzukoppeln, das Bilden einer Gate-Kontaktöffnung durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht zum Freilegen der Gate-Struktur, und das Bilden einer Sammelschienenöffnung angrenzend an die Gate-Kontaktöffnung zum Freilegen des zweiten S/D-Kontakts, und das Bilden eines Sammelschienenkontakts in der Sammelschienenöffnung.

Description

  • PRIORITÄTSDATEN
  • Die vorliegende Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/065,150 , mit dem Titel „Bildung einer Stromschiene“, eingereicht am 13. August, 2020, und der vorläufigen US-Patentanmeldung Nr. 63,076,795 , mit dem Titel „Bildung einer Stromschiene“, eingereicht am 9. September, 2020, die jeweils durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen werden.
  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie integrierter Schaltungen (IC-Industrie) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und Design haben Generationen von IC produziert, bei welchen jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige. Im Zuge der IC-Weiterentwicklung hat die Funktionsdichte (das heißt die Anzahl miteinander verbundener Bauelemente pro Chipfläche) in der Regel zugenommen, während die geometrische Größe (das heißt die kleinste Komponente (oder Leitung), die unter Verwendung eines Fertigungsprozesses erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess stellt in der Regel Vorteile durch eine Steigerung der Produktionseffizienz und eine Senkung der damit verbundenen Kosten bereit.
  • Da in Bezug auf die Technologien integrierter Schaltungen (IC) immer kleinere Technologieknoten entwickelt werden, verkleinern sich zum Beispiel auch Durchkontaktierungen für Source-/Drain-Kontakte sowie Durchkontaktierungen für Gate-Kontakte. Bei kleineren Durchkontaktierungen für Source-/Drain-Kontakte sowie Durchkontaktierungen für Gate-Kontakte stellt eine Verringerung des Kontaktwiderstands zunehmend eine Herausforderung dar. Bestehende Kontaktstrukturen sind somit in der Regel zwar brauchbar für ihre vorgesehenen Zwecke, jedoch keineswegs in allen Belangen absolut zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind und nur der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Ablaufdiagramm eines Verfahrens zum Herstellen eines Sammelschienenkontakts im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 2 - 19 sind ausschnitthafte Querschnittsansichten eines Werkstücks in verschiedenen Fertigungsphasen des Verfahrens in 1 im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich nur um Beispiele, welche keinesfalls als Einschränkung auszulegen sind. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt miteinander gebildet sind, kann jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale derart zwischen dem ersten Merkmal und dem zweiten Merkmal gebildet sein können, dass das erste und das zweite Merkmal nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Begriffe räumlicher Beziehungen, wie zum Beispiel „darunter“, „unterhalb“, „unter“, „über“, „obere/r/s“ und dergleichen können hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) angeordnet sein, und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden.
  • Wenn ferner ein Zahlenwert oder ein Bereich von Zahlenwerten mit „etwa“, „ungefähr“ und dergleichen beschrieben ist, soll der Ausdruck Zahlenwerte einschließen, welche innerhalb eines angemessenen Bereichs liegen, indem Abweichungen berücksichtigt werden, welche sich im Einklang mit dem Verständnis von durchschnittlich ausgebildeten Fachleuten des Gebiets von Natur aus während der Fertigung ergeben. Zum Beispiel schließt der Zahlenwert oder Bereich von Zahlenwerten basierend auf bekannten Fertigungstoleranzen in Zusammenhang mit der Fertigung eines Merkmals, welches eine mit dem Zahlenwert verbundene Eigenschaft aufweist, einen angemessenen Bereich um den beschriebenen Wert ein, wie zum Beispiel +/-10 % des beschriebenen Werts. Zum Beispiel kann eine Materialschicht aufweisend eine Dicke von „ungefähr 5 nm“ einen Abmessungsbereich von 4,25 nm bis 5,75 nm einschließen, wenn durchschnittlich ausgebildeten Fachleuten des Gebiets bekannt ist, dass Fertigungstoleranzen in Zusammenhang mit dem Aufbringen der Materialschicht +/-15 % betragen. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Der Prozessablauf der IC-Fertigung wird typischerweise in drei Kategorien eingeteilt: Front-End-of-Line (FEOL = vorderes Ende der Linie), Middle-End-of-Line (MEOL = mittleres Ende der Linie) und Back-End-of-Line (BEOL = hinteres Ende der Linie). FEOL umfasst in der Regel Prozesse in Zusammenhang mit der Fertigung von IC-Vorrichtungen, wie zum Beispiel Transistoren. Zum Beispiel können FEOL-Prozesse das Bilden von aktiven Bereichen (wie zum Beispiel Finnen), Gate-Strukturen und Source- und Drain-Merkmalen umfassen (in der Regel als Source-/Drain-Merkmale bezeichnet). MEOL umfasst in der Regel Prozesse in Zusammenhang mit der Fertigung von Kontakten zu leitfähigen Merkmalen (oder leitfähigen Bereichen) der IC-Vorrichtungen, wie zum Beispiel Kontakte zu den Gate-Strukturen und/oder den Source-/Drain-Merkmalen. BEOL umfasst in der Regel Prozesse in Zusammenhang mit der Fertigung eines mehrschichtigen Interconnect-Merkmals (MLI-Merkmals), welches die durch FEOL und MEOL hergestellten IC-Merkmale (hierin als FEOLbeziehungsweise MEOL-Merkmale oder Strukturen bezeichnet) miteinander verbindet, wodurch der Betrieb der IC-Vorrichtungen ermöglicht wird.
  • Üblicherweise sind MEOL-Merkmale, wie zum Beispiel Gate-Kontakte oder Durchkontaktierungen für Source-/Drain-Kontakte alle voneinander getrennt. Wenn ein Source-/Drain-Merkmal und eine benachbarte Gate-Struktur miteinander kurzgeschlossen werden sollen, erfolgt die elektrische Kopplung nicht auf der MEOL-Ebene sondern auf der BEOL-Ebene. Der Leitungspfad zwischen dem Source-/Drain-Merkmal und der benachbarten Gate-Struktur kann daher mehrere Kontakte, Kontakt-Durchkontaktierungen und Metallleitungen aufweisen. Jede/r dieser Mehrzahl von Kontakten, Kontakt-Durchkontaktierungen und Metallleitungen können Barriereschichten oder Klebstoffschichten aufweisen, welche weniger leitfähig sind als ein Metallfüllmaterial, wie zum Beispiel Kobalt oder Wolfram. Ein derart langer Leitungspfad trägt zu einem erhöhten Kontaktwiderstand bei. Zusätzlich können die Fenster für die Metallfüllung kleiner werden, da Öffnungen für die Gate-Kontakte oder Source-/Drain-Kontakt-Durchkontaktierungen mit der Funktionsdichte kleiner werden.
  • Die vorliegende Offenbarung offenbart einen Sammelschienenkontakt, welcher mit einer Gate-Struktur und einem benachbarten Source-/Drain-Kontakt in Kontakt steht. Zum Bilden des Sammelschienenkontakts, wird eine Gate-Kontaktöffnung durch verschiedene dielektrische Schichten hindurch gebildet, um die Gate-Struktur freizulegen, bevor eine Sammelschienenöffnung derart über dem Source-/Drain-Kontakt gebildet wird, dass sie in die Gate-Kontaktöffnung übergeht. Dann wird ein Sammelschienenkontakt in der Sammelschienenöffnung gebildet. Aufgrund des Bildungsprozesses ist der Sammelschienenkontakt durch ein asymmetrisches Profil gekennzeichnet. Vor der Bildung des Sammelschienenkontakts, kann eine Source-/Drain-Kontakt-Durchkontaktierung separat über einem weiteren Source-/Drain-Kontaktmerkmal gebildet werden, welches nicht mit einer benachbarten Gate-Struktur kurzgeschlossen ist. Der Sammelschienenkontakt verringert den Kontaktwiderstand und verbessert die Fenster für die Metallfüllung.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun in Bezug auf die Figuren ausführlicher beschrieben. In dieser Hinsicht ist 1 ein Flussdiagramm, welches ein Verfahren 100 zum Bilden eines Sammelschienenkontakts im Einklang mit Ausführungsformen der vorliegenden Offenbarung darstellt. Das Verfahren 100 ist nur ein Beispiel, und soll die vorliegende Offenbarung keinesfalls auf das beschränken, was in Verfahren 100 explizit dargestellt ist. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 bereitgestellt werden, und einige der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens ersetzt, weggelassen oder verschoben werden. Zur Vereinfachung sind hierin nicht alle Schritte ausführlich beschrieben. Das Verfahren 100 wird nachfolgend in Verbindung mit den 2 - 19 beschrieben, welche ausschnitthafte Querschnittsansichten eines Werkstück 200 in verschiedenen Fertigungsphasen im Einklang mit Ausführungsformen des Verfahrens 100 in 1 sind. Zur Klarstellung, die X-, Y- und Z-Richtungen in den 2 - 19 sind lotrecht zueinander ausgerichtet und werden in den 2 - 19 einheitlich verwendet. Da aus dem Werkstück 200 eine Halbleitervorrichtung gebildet wird, kann das Werkstück 200 je nach Zusammenhang hierin als eine Halbleitervorrichtung 200 bezeichnet werden. Sofern nicht anders angegeben, bezeichnen ähnliche Bezugsziffern in der gesamten vorliegenden Offenbarung ähnliche Merkmale.
  • Bezugnehmend auf die 1 und 2 umfasst das Verfahren 100 einen Block 102, in welchem eine Abdeckschicht 212 und eine erste dielektrische Zwischenschicht (ILD-Schicht) 213 über einem Werkstück 200 aufgebracht werden, welches Gate-Strukturen 206 und Source-/Drain-Merkmale aufweist. Das Werkstück 200 weist ein Substrat 202 auf. In der abgebildeten Ausführungsform enthält das Substrat 202 Silizium. Alternativ oder zusätzlich dazu kann das Substrat 202 weitere elementare Halbleiter, wie zum Beispiel Germanium (Ge); einen Verbundhalbleiter, wie zum Beispiel Siliziumkarbid (SiC), Galliumarsenid (GaAs), Galliumphosphid (GaP), Indiumphosphid (InP), Indiumarsenid (InAs) und/oder Indiumantimonid (InSb); einen Legierungshalbleiter, wie zum Beispiel Silizium-Germanium (SiGe), Gallium-Arsenphosphid (GaAsP), Aluminium-Indiumarsenid (AlInAs), Aluminium-Galliumarsenid (AlGaAs), Gallium-Indiumarsenid (GaInAs), Gallium-Indiumphosphid (GaInP) und/oder Gallium-Indium-Arsenphosphid (GaInAsP), oder Kombinationen davon, enthalten. In einigen Umsetzungen enthält das Substrat 202 ein oder mehrere Materialien aus der Gruppe III-V, ein oder mehrere Materialien aus der Gruppe II-IV oder Kombinationen davon. In manchen Umsetzungen ist das Substrat 202 ein Halbleiter-auf-Isolator-Substrat, wie zum Beispiel ein Silizium-auf-Isolator-Substrat (SOI-Substrat), ein Silizium-Germanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GOI-Substrat). Halbleiter-auf-Isolator-Substrate können unter Verwendung einer Implantation von Sauerstoff (SIMOX), Wafer-Bondung und/oder anderer geeigneter Verfahren hergestellt werden. Das Substrat 202 kann verschiedene dotierte Bereich (nicht gezeigt) aufweisen, welche im Einklang mit Designanforderungen der Halbleitervorrichtung 200 gestaltet sind, wie zum Beispiel p-dotierte Bereiche, n-dotierte Bereiche oder Kombinationen davon. P-dotierte Bereiche (zum Beispiel p-Wannen) enthalten p-Dotierstoffe, wie zum Beispiel Bor (B), Gallium (Ga), andere p-Dotierstoffe oder Kombinationen davon. N-dotierte Bereiche (zum Beispiel n-Wannen) enthalten n-Dotierstoffe, wie zum Beispiel Phosphor (P), Arsen (As), andere n-Dotierstoffe oder Kombinationen davon. In einigen Ausführungsformen weist das Substrat 202 dotierte Bereiche auf, welche mit einer Kombination aus p-Dotierstoffen und n-Dotierstoffen gebildet worden sind. Ein Ionenimplantationsprozess, ein Diffusionsprozess und/oder ein anderer geeigneter Dotierungsprozess kann ausgeführt werden, um die verschiedenen dotierten Bereiche zu bilden.
  • Das Werkstück 200 weist eine Mehrzahl von Finnen (oder Finnenelementen) auf. Eine erste Finne 204-1 ist in 2 gezeigt, und eine zweite Finne 204-2 ist in 13 gezeigt. In einigen Ausführungsformen kann die Mehrzahl von Finnen durch das Strukturieren eines Abschnitts des Substrats 202 gebildet werden. In einigen alternativen Ausführungsformen kann die Mehrzahl von Finnen durch das Strukturieren einer oder mehrerer über dem Substrat 202 aufgebrachter epitaxialer Schichten gebildet werden. In der abgebildeten Ausführungsform ist die erste Finne 204-1 durch das Strukturieren eines Abschnitts des Substrats 202 gebildet worden und enthält Silizium (Si). Obwohl in den Figuren nicht explizit gezeigt, kann ein Isolationsmerkmal zwischen der Mehrzahl der Finnen gebildet werden, um benachbarte Finnen voneinander zu trennen. In einigen Ausführungsformen kann das Isolationsmerkmal Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein Dielektrikum mit niedrigem k-Wert, Kombinationen davon und/oder andere geeignete Materialien enthalten.
  • Wie in 2 gezeigt, weist das Werkstück 200 ferner Gate-Strukturen 206 auf, welche über Kanalbereichen 10 der ersten Finne 204-1 angeordnet sind. Die Kanalbereiche 10 der ersten Finne 204-1 weisen dazwischenliegende Source-/Drain-Bereiche 20 auf. In einigen Umsetzungen umwickeln die Gate-Strukturen 206 die Kanalbereiche 10 der ersten Finne 204-1. Jeder der Kanalbereiche 10 ist zwischen zwei Source-/Drain-Bereichen 20 angeordnet. Obwohl in den Figuren nicht explizit gezeigt, weisen die Gate-Strukturen 206 jeweils eine dielektrische Gate-Schicht und eine Gate-Elektrode über der dielektrischen Gate-Schicht auf. Die dielektrische Gate-Schicht kann eine Grenzflächenschicht und eine dielektrische Schicht mit hohem k-Wert aufweisen. In einigen Fällen kann die Grenzflächenschicht Siliziumoxid enthalten. Die dielektrische Schicht mit hohem k-Wert ist aus dielektrischen Materialien gebildet, welche eine hohe Dielektrizitätskonstante, welche zum Beispiel höher ist als eine Dielektrizitätskonstante von Siliziumoxid (k ≈ 3,9), aufweisen. Beispiele für dielektrische Materialien mit hohem k-Wert für die dielektrische Schicht mit hohem k-Wert sind unter anderem Hafniumoxid (HfO), Titanoxid (Ti02), Hafnium-Zirkoniumoxid (HfZrO), Tantaloxid (Ta2O5), Hafnium-Siliziumoxid (HfSiO4), Zirkoniumoxid (Zr02), Zirkonium-Siliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirkoniumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafnium-Lanthanoxid (HfLaO), Lanthan-Siliziumoxid (LaSiO), Aluminium-Siliziumoxid (AlSiO), Hafnium-Tantaloxid (HfTaO), Hafnium-Titanoxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Kombinationen davon oder andere geeignete Materialien. In einer Ausführungsform ist die dielektrische Schicht mit hohem k-Wert aus Hafniumoxid (HfO) gebildet. Die Gate-Elektrode kann mehrere Schichten, wie zum Beispiel Austrittsarbeitsschichten, Klebstoff-/Barriereschichten und/oder Metallfüllungs- (oder Haupt-) Schichten, aufweisen. Eine Austrittsarbeitsschicht weist ein leitfähiges Material abgestimmt auf eine gewünschte Austrittsarbeit (wie zum Beispiel eine n-Austrittsarbeit oder eine p-Austrittsarbeit) auf, wie zum Beispiel n-Austrittsarbeitsmaterialien und/oder p-Austrittsarbeitsmaterialien. Beispiele für P-Austrittsarbeitsmaterialien sind unter anderem TiN, TaN, Ru, Mo, Al, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, andere P-Austrittsarbeitsmaterialien oder Kombinationen davon. Beispiele für N-Austrittsarbeitsmaterialien sind unter anderem Ti, Al, Ag, Mn, Zr, TiAl, TiAlC, TaC, TaCN, TaSiN, TaAl, TaAlC, TiAlN, andere N-Austrittsarbeitsmaterialien oder Kombinationen davon. Eine Klebstoff-/Barriereschicht kann ein Material, welches die Haftung zwischen benachbarten Schichten, wie zum Beispiel der Austrittsarbeitsschicht und der Metallfüllschicht, fördert, und/oder ein Material, welches die Diffusion zwischen Gate-Schichten, wie zum Beispiel der Austrittsarbeitsschicht und der Metallfüllschicht, blockiert und/oder verringert, enthalten. Zum Beispiel enthält die Klebstoff-/Barriereschicht Metall (zum Beispiel W, Al, Ta, Ti, Ni, Cu, Co, ein anderes geeignetes Metall oder Kombinationen davon), Metalloxide, Metallnitride (zum Beispiel TiN) oder Kombinationen davon. Eine Metallfüllschicht kann ein geeignetes leitfähiges Material, wie zum Beispiel Aluminium (AI), Kupfer (Cu), Wolfram (W), Ruthenium (Ru), Titan (Ti), ein geeignetes Metall oder eine Kombination davon, enthalten.
  • Seitenwände der Gate-Strukturen 206 sind mit mindestens einem Gate-Abstandselement 208 überzogen. In einigen Ausführungsformen kann das mindestens eine Gate-Abstandselement 208 Siliziumkarbonitrid, Siliziumoxykarbid, Siliziumoxykarbonitrid oder Siliziumnitrid enthalten. In einigen Ausführungsformen kann ein Gate-Ersetzungs- oder ein Gate-Last-Prozess dazu verwendet werden, die Gate-Strukturen 206 zu bilden. In einem beispielhaften Gate-Last-Prozess werden Dummy-Gate-Stapel über den Kanalbereichen 10 der ersten Finne 204-1 gebildet. Dann wird das mindestens eine Gate-Abstandselement 208 über dem Werkstück 200 und auch über Seitenwänden der Dummy-Gate-Stapel aufgebracht. Dann wird ein anisotroper Ätzprozess ausgeführt, um die Source-/Drain-Bereiche 20 zu vertiefen, wodurch Source-/Drain-Gräben gebildet werden, wobei das mindestens eine Gate-Abstandselement 208, welches sich entlang der Seitenwände der Dummy-Gate-Stapel erstreckt, zurückbleibt. Nach der Bildung der Source-/Drain-Gräben, werden Source-/Drain-Merkmale (wie zum Beispiel das in 2 gezeigte erste Source-/Drain-Merkmal 205-1) in den Source-/Drain-Gräben in den Source-/Drain-Bereichen 20 aufgebracht. Die Source-/Drain-Merkmale können durch Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD), Molekularstrahlepitaxie, oder andere geeignete Epitaxieprozesse oder Kombinationen davon gebildet werden. Die Source-/Drain-Merkmale können auch als epitaxiale Merkmale bezeichnet werden. Abhängig vom Design der Halbleitervorrichtung 200 können die Source-/Drain-Merkmale vom Typ n oder vom Typ p sein. Wenn die Source-/Drain-Merkmale vom Typ n sind, können sie Silizium (Si) dotiert mit einem n-Dotierstoff, wie zum Beispiel Phosphor (P) oder Arsen (As), enthalten. Wenn die Source-/Drain-Merkmale vom Typ p sind, können sie Silizium-Germanium (SiGe) dotiert mit einem p-Dotierstoff, wie zum Beispiel Bor (B) oder Gallium (Ga), enthalten. In einigen Umsetzungen können Temperprozesse ausgeführt werden, um Dotierstoffe in Source-/Drain-Merkmalen der Halbleitervorrichtung 200 zu aktivieren. In den abgebildeten Ausführungsformen kann das erste Source-/Drain-Merkmal 205-1 mit Phosphor dotiertes Silizium (Si:P) oder mit Bor dotiertes Silizium-Germanium (SiGe:B) enthalten.
  • Nach der Bildung der Source-/Drain-Merkmale werden eine Kontaktätzstoppschicht (CESL) 210 und eine untere dielektrische Zwischenschicht (ILD-Schicht) 211 über dem Werkstück 200 aufgebracht. In einigen Ausführungsformen enthält die CESL 210 eine Siliziumnitridschicht, eine Siliziumoxynitridschicht und/oder andere im Fachgebiet bekannte Materialien. Die CESL 210 kann unter Verwendung von Atomlagenabscheidung (ALD), plasmaverstärkter ALD (PEALD), plasmaverstärkter chemischer Dampfabscheidung (PECVD) und/oder anderer geeigneter Abscheidungsprozesse aufgebracht werden. Die untere ILD-Schicht 211 enthält Materialien, wie zum Beispiel Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid, wie zum Beispiel Borphosphorsilikatglas (BPSG), geschmolzenes Quarzglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien. Die untere ILD-Schicht 211 kann durch CVD, Rotationsbeschichtung oder andere geeignete Abscheidungstechniken aufgebracht werden. Dann wird das Werkstück 200 unter Verwendung eines chemisch-mechanischen Polierprozesses (CMP-Prozesses) planarisiert, um die Dummy-Gate-Stapel freizulegen. Dann werden die Dummy-Gate-Stapel entfernt und durch die Gate-Strukturen 206 ersetzt, deren Zusammensetzung oben beschrieben worden ist.
  • Bei Block 102 werden die Abdeckschicht 212 und die erste dielektrische Zwischenschicht (ILD-Schicht) 213 nacheinander über dem Werkstück 200 aufgebracht. Da die Abdeckschicht 212 über oberen Flächen der Gate-Strukturen 206 angeordnet ist, kann die Abdeckschicht 212 auch als eine Gate-Top-Abdeckschicht 212 oder eine Gate-Top-Ätzstoppschicht 212 bezeichnet werden. In einigen Fällen weist die erste ILD-Schicht 213 eine Dicke entlang der Z-Richtung auf, und die Dicke beträgt zwischen ungefähr 11 nm und ungefähr 20 nm. Die Zusammensetzung und die Bildung der Abdeckschicht 212 können ähnlich jenen der CESL 210 sein, und die Zusammensetzung und die Bildung der ersten ILD-Schicht 213 können ähnlich jenen der unteren ILD-Schicht 211 sein. Der Kürze halber wurde deshalb eine ausführliche Beschreibung der Abdeckschicht 212 und der ersten ILD-Schicht 213 weggelassen.
  • Nunmehr bezugnehmend auf die 1 und 3 umfasst das Verfahren 100 einen Block 104, in welchem Source-/Drain-Kontakte durch die Abdeckschicht 212 und die erste ILD-Schicht 213 gebildet werden, um die Source-/Drain-Merkmale anzukoppeln. Die Source-/Drain-Kontakte können den ersten Source-/Drain-Kontakt 220 über dem ersten Source-/Drain-Merkmal 205-1, wie in 3 gezeigt, und einen zweiten Source-/Drain-Kontakt 2200 über einem zweiten Source-/Drain-Merkmal 205-2, wie in 13 gezeigt, aufweisen. Vorgänge bei Block 104 werden unter Bezugnahme auf den ersten Source-/Drain-Kontakt 220 beschrieben, wobei dieselben Vorgänge jedoch auch für den zweiten Source-/Drain-Kontakt 2200 anwendbar sind. Block 104 umfasst die Bildung einer Source-/Drain-Kontaktöffnung durch die erste ILD-Schicht 213, die Abdeckschicht 212, die untere ILD-Schicht 211 und die CESL 210, sowie die Abscheidung des ersten Source-/Drain-Kontakts 220 in der Source-/Drain-Kontaktöffnung. Die Bildung der Source-/Drain-Kontaktöffnung kann Lithografieprozesse und/oder Ätzprozesse umfassen. In einigen Umsetzungen umfassen die Lithografieprozesse das Bilden einer Fotolackschicht über der ersten ILD-Schicht 213, das Bestrahlen der Fotolackschicht zur Strukturierung, und das Entwickeln der bestrahlten Fotolackschicht, wodurch eine strukturierte Fotolackschicht gebildet wird, welche als ein Maskierungselement zum Ätzen der Source-/Drain-Kontaktöffnung verwendet werden kann, um mindestens einen Abschnitt des ersten Source-/Drain-Merkmals 205-1 freizulegen. Die Ätzprozess können einen Trockenätzprozess umfassen, welcher die Verwendung eines fluorhaltigen Gases (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), einer Kohlenwasserstoffspezies (zum Beispiel CH4), eines bromhaltigen Gases (zum Beispiel HBr und/oder CHBR3), eines jodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen daraus umfassen kann. Nach der Bildung der Source-/Drain-Kontaktöffnung werden ein Silizidmerkmal 216 und eine Barriereschicht 218 in der Source-/Drain-Kontaktöffnung gebildet. In einigen Fällen kann das Silizidmerkmal 216 Titansilizid, Kobaltsilizid, Nickelsilizid, Tantalsilizid oder Wolframsilizid enthalten. Die Barriereschicht 218 kann ein Metall oder ein Metallnitrid, wie zum Beispiel ein Titannitrid, Kobaltnitrid, Nickel oder Wolframnitrid, enthalten. Danach wird eine Metallfüllschicht über der Barriereschicht 218 aufgebracht, um den ersten Source-/Drain-Kontakt 220 zu bilden. Die Metallfüllschicht kann Wolfram (W), Ruthenium (Ru), Kobalt (Co), Nickel (Ni) oder Kupfer (Co) enthalten. In der abgebildeten Ausführungsform enthält der erste Source-/Drain-Kontakt 220 Kobalt (Co). Nach der Abscheidung der Metallfüllschicht kann ein CMP-Prozess ausgeführt werden, um überschüssige Materialien zu entfernen und die endgültige Form des ersten Source-/Drain-Kontakts 220 zu definieren.
  • Nunmehr bezugnehmend auf die 1 und 4 umfasst das Verfahren 100 einen Block 106, in welchem eine erste Ätzstoppschicht (ESL) 222 und eine zweite dielektrische Zwischenschicht (ILD-Schicht) 224 über dem Werkstück 200 aufgebracht werden. In einigen Fällen kann die erste ESL 222 eine Dicke entlang der Z-Richtung von zwischen ungefähr 8 nm und ungefähr 13 nm aufweisen. In einigen Ausführungsformen können die Zusammensetzung und die Bildung der ersten ESL 222 ähnlich jenen der CESL 210 sein, und die Zusammensetzung und die Bildung der zweiten ILD-Schicht 224 können ähnlich jenen der unteren ILD-Schicht 211 sein. Der Kürze halber wurde deshalb eine ausführliche Beschreibung der ersten ESL 222 und der zweiten ILD-Schicht 224 weggelassen.
  • Bezugnehmend auf die 1,4,5 und 6 umfasst das Verfahren 100 einen Block 108, in welchem eine Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 durch die erste ESL 222 und die zweite ILD-Schicht 224 gebildet wird, um den ersten Source-/Drain-Kontakt 220 freizulegen. Vorgänge bei Block 108 können die Bildung einer Pilotöffnung 226 (gezeigt in 4) und das Erweitern der Pilotöffnung 226 zum Bilden der Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 (gezeigt in den 5 und 6) umfassen. Die Bildung der Pilotöffnung 226 kann Fotolithografieprozesse und Ätzprozesse umfassen. Die Fotolithografieprozesse bilden eine Ätzmaske, welche eine Öffnung über dem ersten Source-/Drain-Kontakt 220 aufweist. Bezugnehmend auf 4 wird dann ein Trockenätzprozess ausgeführt, um vollständig durch die zweite ILD-Schicht 224 und mindestens einen Abschnitt der ersten ESL 222 zu ätzen. In einigen Ausführungsformen kann nach dem Trockenätzprozess der erste Source-/Drain-Kontakt 220 durch einen Abschnitt der ersten ESL 222 bedeckt bleiben. In einigen anderen Ausführungsformen wird der erste Source-/Drain-Kontakt 220 in der Pilotöffnung 226 freigelegt. Ein Beispieltrockenätzprozess für Block 108 kann die Verwendung von Stickstoff (N2), Wasserstoff (H2), einer Kohlenwasserstoffspezies (zum Beispiel CH4), eines fluorhaltigen Gases (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (zum Beispiel HBr und/oder CHBR3), eines jodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen daraus umfassen. In einer Ausführungsform wird die Pilotöffnung 226 unter Verwendung von Stickstoffplasma, einem Wasserstoffplasma oder beiden geätzt. Nunmehr wird Bezug genommen auf 5. Ein selektiver Nassätzprozess kann ausgeführt werden, um den ersten Source-/Drain-Kontakt 220 selektiv zu vertiefen, um die Pilotöffnung 226 zu erweitern, wodurch die Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 gebildet wird. In einigen Umsetzungen umfasst der selektive Nassätzprozess die Verwendung von entionisiertem Wasser (VE-Wasser), 2-Anilin-4-Methyl-1,3-Thiazol-5-Karbonsäure, Salpetersäure, Wasserstoffperoxid, Hydrochlorid oder Isopropylalkohol (IPA). 6 stellt eine ausschnitthafte Querschnittsansicht entlang des Schnitts I - I' dar. Wie in 6 gezeigt, kann die Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 aufgrund der Verwendung des Nassätzprozesses die erste ESL 222 unterschneiden.
  • Bezugnehmend auf die 1 und 7 - 12 umfasst das Verfahren 100 einen Block 110, in welchem eine Source-/Drain-Kontakt-Durchkontaktierung 230 in der Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 gebildet wird. Vorgänge bei Block 110 können eine Metallabscheidung (gezeigt in 7), einen ersten Implantationsprozess 300 (gezeigt in 8), eine Abscheidung einer ersten Klebstoffschicht 234 (gezeigt in 9), eine Abscheidung einer Pufferschicht 236 (gezeigt in 10), einen Planarisierungsprozess (gezeigt in 11) und einen zweiten Implantationsprozess 400 (gezeigt in 11) umfassen. Bezugnehmend auf 7 wird eine Metallfüllschicht 228 in der Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 aufgebracht. In einigen Ausführungsformen kann die Metallfüllschicht 228 Wolfram (W) oder Ruthenium (Ru) enthalten. In der abgebildeten Ausführungsform enthält die Metallfüllschicht 228 Wolfram (W). In einigen Umsetzungen kann die Metallfüllschicht 228 unter Verwendung gepulster CVD oder einer anderen geeigneten Abscheidungstechnik von unten nach oben aufgebracht werden. Wie in 7 gezeigt, kann die Abscheidung der Metallfüllschicht 228 von unten nach oben ein pilzartiges oberes Ende 232 schaffen, welches sich über die zweite ILD-Schicht 224 erhebt. Nunmehr bezugnehmend auf 8 wird nach der Abscheidung der Metallfüllschicht 228 der erste Implantationsprozess 300 ausgeführt, um die Grenzfläche zwischen der Metallfüllschicht 228 und der zweiten ILD-Schicht 224 zu verstärken. In einigen Ausführungsformen implantiert der erste Implantationsprozess 300 ein Halbleitermaterial, wie zum Beispiel Germanium (Ge). Der Implantationsprozess 300 dient dazu, die Haftung der Metallfüllschicht 228 an der zweiten ILD-Schicht 224 zu verbessern, um zu verhindern, dass eine Aufschlämmung eines nachfolgenden CMP-Prozesses entlang der Grenzfläche zwischen der zweiten ILD-Schicht 224 und der Source-/Drain-Kontakt-Durchkontaktierung 230 (gezeigt in 11 oder 12) zum ersten Source-/Drain-Kontakt 220 gelangt.
  • Nach dem ersten Implantationsprozess 300 wird die erste Klebstoffschicht 234 über dem Werkstück 200 aufgebracht, um das pilzartige obere Ende 232 und die zweite ILD-Schicht 224 zu bedecken, wie in 9 dargestellt. In einigen Ausführungsformen kann die erste Klebstoffschicht 234 Titan, Titannitrid oder beides enthalten und unter Verwendung von CVD, physikalischer Aufdampfung (PVD) oder plasmaverstärkter CVD (PECVD) gebildet werden. In einigen Fällen weist die erste Klebstoffschicht 234 eine Titanschicht, welche unter Verwendung von PVD aufgebracht wird, und eine Titannitridschicht, welche unter Verwendung von CVD und eines Titanvorläufers, wie zum Beispiel Tetrakis(dimethylamido)Titan (TDMAT), aufgebracht wird, auf. Die Titanschicht kann eine Dicke von zwischen ungefähr 40 Å und ungefähr 60 Ä aufweisen, und die Titannitridschicht kann eine Dicke von zwischen ungefähr 10 Å und ungefähr 30 Å aufweisen. Bezugnehmend auf 10 wird dann eine Pufferschicht 236 über der ersten Klebstoffschicht 234 aufgebracht. In einem Beispielprozess zum Aufbringen der Pufferschicht 236 wird zunächst eine Keimbildungsschicht unter Verwendung gepulster CVD oder ALD aufgebracht, bevor unter Verwendung von CVD eine Hauptschicht über der Keimbildungsschicht aufgebracht wird. In einigen Umsetzungen kann die Pufferschicht 236 Wolfram (W) oder ein Metall ähnlich jenem der Metallfüllschicht 228 enthalten. Wenn die Pufferschicht 236 aus Wolfram (W) gebildet wird, kann die Abscheidung der Pufferschicht 236 die Verwendung von wolframhaltigen Vorläufern, wie zum Beispiel Wolframhexafluorid (WF6) oder Wolframhexachlorid (WCl6), umfassen. Nach der Abscheidung der Pufferschicht 236 wird ein CMP-Prozess ausgeführt, um das Werkstück 200 zur Entfernung überschüssiger Materialien und zum Bilden der Source-/Drain-Kontakt-Durchkontaktierung 230 zu planarisieren. Die Pufferschicht 236 dient dazu, eine Pufferzone für den Planarisierungsprozess zu schaffen, und die erste Klebstoffschicht 234 sorgt für die Haftung der Pufferschicht an der zweiten ILD-Schicht 224 und der Metallfüllschicht 228. Nach der Planarisierung und der Bildung der Source-/Drain-Kontakt-Durchkontaktierung 230 wird der zweite Implantationsprozess 400 ausgeführt, um die Grenzfläche zwischen der Source-/Drain-Kontakt-Durchkontaktierung 230 und der zweiten ILD-Schicht 224 erneut zu verstärken. 12 stellt das Werkstück 200 von oben in der Y-Richtung betrachtet dar.
  • Nunmehr bezugnehmend auf die 1 und 13 umfasst das Verfahren 100 einen Block 112, in welchem eine Gate-Kontaktöffnung 238 durch die zweite ILD-Schicht 224, die erste ESL 222, die erste ILD-Schicht 213 und die Abdeckschicht 212 gebildet wird. Die Bildung der Gate-Kontaktöffnung 238 durch die zweite ILD-Schicht 224, die erste ESL 222, die erste ILD-Schicht 213 und die Abdeckschicht 212 kann die Verwendung von Lithografieprozessen und/oder Ätzprozessen umfassen. Die Lithografieprozesse umfassen das Bilden einer Fotolackschicht über der zweiten ILD-Schicht 224, das Bestrahlen der Fotolackschicht zum Strukturieren, und das Entwickeln der bestrahlten Fotolacksicht, wodurch eine strukturierte Fotolacksicht gebildet wird, welche als ein Maskierungselement zum Ätzen der Gate-Kontaktöffnung 238 zum Freilegen mindestens eines Abschnitts der Gate-Struktur 206 über einem Kanalbereich 10 einer zweiten Finne 204-2 verwendet werden kann. Ein Beispieltrockenätzprozess für Block 112 kann die Verwendung von Stickstoff (N2), Wasserstoff (H2), eines fluorhaltigen Gases (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (zum Beispiel HBr und/oder CHBR3), eines jodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen daraus umfassen. Nach dem Trockenätzprozess kann das Maskierungselement durch Veraschen entfernt werden. Ein Nassreinigungsprozess kann ausgeführt werden, um Rückstände über der Gate-Struktur 206 zu entfernen. In einigen Umsetzungen kann der Nassreinigungsprozess die Verwendung von 2-Anilin-4-Methyl-1,3-Thiazol-5-Karbonsäure oder Isopropylalkohol (IPA) umfassen. Dabei ist festzuhalten, dass 13 die zweite Finne 204-2 darstellt, während die erste Finne 204-1 außerhalb der Ebene angeordnet ist. Die Source-/Drain-Kontakt-Durchkontaktierung 230 ist in punktierten Linien dargestellt, da sie entweder vor oder hinter der Querschnittsansicht in 13 angeordnet ist. In den abgebildeten Ausführungsformen ist der zweite Source-/Drain-Kontakt 2200 über dem zweiten Source-/Drain-Merkmal 205-2 in einem Source-/Drain-Bereich 20 der zweiten Finne 204-2 angeordnet. Die Source-/Drain-Kontakt-Durchkontaktierung 230 ist am ersten Source-/Drain-Kontakt 220 vor oder hinter der Querschnittsansicht in 13 angeordnet.
  • Nunmehr bezugnehmend auf die 1 und 14 umfasst das Verfahren 100 einen Block 114, in welchem eine Sammelschienenöffnung 242 gebildet wird, um einen zweiten Source-/Drain-Kontakt 2200 freizulegen. Die Bildung der Sammelschienenöffnung 242 umfasst Fotolithografieprozesse und Ätzprozesse. In einem Beispielprozess wird eine strukturierte mehrschichtige Maskenschicht 240 über dem Werkstück 200 gebildet. Die strukturierte mehrschichtige Maskenschicht 240 weist eine Öffnung direkt über der Gate-Kontaktöffnung 238 und dem zweiten Source-/Drain-Kontakt 2200 auf. Die mehrschichtige Maskenschicht 240 kann dreischichtig sein, aufweisend eine untere Schicht (das heißt eine Hartmaskenschicht), eine Mittelschicht (das heißt eine untere Antireflexionsbeschichtung (BARC)) über der unteren Schicht, und eine Fotolackschicht über der Mittelschicht. Unter Verwendung der strukturierten mehrschichtigen Maskenschicht als eine Ätzmaske werden die zweite ILD-Schicht 224 und die erste ESL 222 über dem zweiten Source-/Drain-Kontakt 2200 geätzt, indem ein Trockenätzprozess verwendet wird, bis nur noch ein dünner Abschnitt der ersten ESL 222 den zweiten Source-/Drain-Kontakt 2200 bedeckt. Ein Beispieltrockenätzprozess für Block 114 kann die Verwendung eines fluorhaltigen Gases (zum Beispiel CF4, SF6, CH2F2, CHF3 und/oder C2F6), einer Kohlenwasserstoffspezies (zum Beispiel CH4), eines chlorhaltigen Gases (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (zum Beispiel HBr und/oder CHBR3), eines jodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen daraus umfassen. Wie in 14 gezeigt, bildet die Ätzung der zweiten ILD-Schicht 224 und der ersten ESL 222 die Sammelschienenöffnung 242, welche in die Gate-Kontaktöffnung 238 (in 13 gezeigt) übergeht. Nach dem Trockenätzprozess kann die strukturierte mehrschichtige Maskenschicht 240 durch Veraschung entfernt werden, und das Werkstück 200 wird in einem Nassreinigungsprozess gereinigt, bei welchem 2-Anilin-4-Methyl-i,3-Thiazol-5-Karbonsäure oder Isopropylalkohol (IPA) verwendet wird. Der dünne Abschnitt der ersten ESL 222 über dem zweiten Source-/Drain-Kontakt 2200 dient dazu, die erste ESL 222 vor dem Nassreinigungsprozess zu schützen.
  • Bezugnehmend auf die 1 und 15 - 19 umfasst das Verfahren 100 einen Block 116, in welchem ein Sammelschienenkontakt 248 in der Sammelschienenöffnung 242 gebildet wird. Vorgänge bei Block 116 umfassen einen Durchbruchprozess zum Freilegen des zweiten Source-/Drain-Kontakts 2200 (gezeigt in 15), die Abscheidung einer zweiten Klebstoffschicht 244 (gezeigt in den 16 und 17), die Abscheidung einer Metallfüllschicht 246 (gezeigt in den 16 und 17) und einen Planarisierungsprozess zum Entfernen überschüssiger Materialien (gezeigt in den 18 und 19). Bezugnehmend auf 15 wird ein Trockenätzprozess ausgeführt, um den dünnen Abschnitt der ersten ESL 222 zum Freilegen einer oberen Fläche des zweiten Source-/Drain-Kontakts 2200 zu entfernen. Da dieser Trockenätzprozess die erste ESL 222 durchbricht, kann er auch als ein Durchbruchprozess bezeichnet werden. Ein Beispieltrockenätzprozess bei Block 116 kann die Verwendung von Stickstoffplasma, einem Wasserstoffplasma oder beiden umfassen. Wie in 15 dargestellt, bildet der Trockenätzprozess bei Block 116 eine mittlere abgerundete Ecke 241 und eine obere abgerundete Ecke 243. Die Krümmung und der Öffnungswinkel θ der mittleren abgerundeten Ecke 241 und der oberen abgerundeten Ecke 243 können durch den Trockenätzprozess angepasst werden. In einigen Fällen weisen die mittlere abgerundete Ecke 241 und die obere abgerundete Ecke 243 eine Krümmung von zwischen ungefähr 1,7 und 1,9 und einen Öffnungswinkel Θ von zwischen 80° und 90° auf. Das Vorhandensein der mittleren abgerundeten Ecke 241 und der oberen abgerundeten Ecke 243 verbessert das Fenster für die Metallfüllung in die Sammelschienenöffnung 242 zusätzlich. Da die Sammelschienenöffnung 242 die Form des Sammelschienenkontakts 248 definiert, finden sich die mittlere abgerundete Ecke 241 und die obere abgerundete Ecke 243 in der Form des Sammelschienenkontakts 248 wieder.
  • Als nächstes bezugnehmend auf die 16 und 17 wird die zweite Klebstoffschicht 244 bei freiliegender Gate-Struktur 206 und freiliegendem zweiten Source-/Drain-Kontakt 2200 unter Verwendung von CVD, physikalischer Aufdampfung (PVD) oder plasmaverstärkter CVD (PECVD) aufgebracht. In einigen Umsetzungen kann die zweite Klebstoffschicht 244 eine Titanschicht aufgebracht unter Verwendung von PVD und eine Titannitridschicht aufgebracht über der Titanschicht unter Verwendung von CVD und eines Titanvorläufer, wie zum Beispiel Tetrakis(dimethylamido)Titan (TDMAT), aufweisen. In einigen Fällen weist die zweite Klebstoffschicht 244 eine Dicke von zwischen ungefähr 0,3 nm und ungefähr 1,7 nm auf. Weiterhin bezugnehmend auf die 16 und 17 wird nach der Bildung der zweiten Klebstoffschicht 244 die Metallfüllschicht 246 über der zweiten Klebstoffschicht 244 aufgebracht. In einigen Ausführungsformen kann die Abscheidung der Metallfüllschicht 246 die Bildung einer Keimbildungsschicht und einer Hauptmetallschicht umfassen. In einem Beispielprozess kann die Keimbildungsschicht unter Verwendung gepulster CVD oder ALD, und die Hauptmetallschicht unter Verwendung von CVD aufgebracht werden. Die Metallfüllschicht 246 kann Wolfram (W) oder Ruthenium (Ru) enthalten. In einer Ausführungsform enthält die Metallfüllschicht 246 Wolfram (W). Wenn die Metallfüllschicht 246 aus Wolfram (W) gebildet wird, kann die Abscheidung der Metallfüllschicht 246 Wolframhexafluorid (WF6) oder Wolframhexachlorid (WCl6) umfassen. 17 stellt eine ausschnitthafte Querschnittsansicht dar, welche die erste Finne 204-1 quer schneidet. Wie in 17 gezeigt, werden die zweite Klebstoffschicht 244 und die Metallfüllschicht 246 über der Source-/Drain-Kontakt-Durchkontaktierung 230 aufgebracht.
  • Nach der Abscheidung der Metallfüllschicht 246 wird ein CMP-Prozess am Werkstück 200 ausgeführt, um überschüssige Materialien zu entfernen. An diesem Punkt ist der Sammelschienenkontakt 248 gebildet worden, wie in 18 gezeigt. In der Folge wird Bezug genommen auf die 18 und 19. Der CMP-Prozess bei Block 116 entfernt die zweite Klebstoffschicht 244 und die Metallfüllschicht 246 über der zweiten ILD-Schicht 224, sodass obere Flächen der zweiten ILD-Schicht 224, der Source-/Drain-Kontakt-Durchkontaktierung 230 und des Sammelschienenkontakts 248 komplanar sind. In einigen Fällen beträgt eine Dicke der zweiten ILD-Schicht 224 entlang der Z-Richtung nach dem CMP-Prozess zwischen ungefähr 28 nm und ungefähr 34 nm. Der Sammelschienenkontakt 248 schließt das zweite Source-/Drain-Merkmal 205-2 mit der Gate-Struktur 206 angrenzend an das zweite Source-/Drain-Merkmal 205-2 kurz. Wie in 18 dargestellt, weist der Sammelschienenkontakt 248 bei Betrachtung entlang der Y-Richtung ein asymmetrisches Profil auf. Ein Abschnitt des Sammelschienenkontakts 248 landet am zweiten Source-/Drain-Kontakt 2200, welcher in der ersten ILD-Schicht 213 eingebettet ist. Ein weiterer Abschnitt des Sammelschienenkontakts 248 erstreckt sich weiter unten durch die erste ILD-Schicht 213 und die Abdeckschicht 212, um die Gate-Struktur 206 zu erreichen. Insgesamt erstreckt sich der Sammelschienenkontakt 248 vertikal durch die zweite ILD-Schicht 224, die erste ESL 222, die erste ILD-Schicht 213 und die Abdeckschicht 212. Da die Sammelschienenöffnung 242 größer ist als die Gate-Kontaktöffnung 238 und die Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260, ist das Fenster für die Metallfüllung für die Sammelschienenöffnung 242 größer als jene für die Gate-Kontaktöffnung 238 und die Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260.
  • In der Folge wird Bezug genommen auf 18. Entlang der Längsrichtung der zweiten Finne 204-2 (das heißt der X-Richtung) weist der Sammelschienenkontakt 248 eine erste Breite Wi an der oberen Oberflächenebene der Gate-Struktur 206, eine zweite Breite W2 an der oberen Oberflächenebene der ersten ESL 222, und eine dritte Breite W3 an der oberen Oberflächenebene der zweiten ILD-Schicht 224 auf. In einigen Fällen kann die erste Breite W1 zwischen ungefähr 11 nm und ungefähr 15 nm betragen, die zweite Breite W2 kann zwischen ungefähr 48 nm und ungefähr 54 nm betragen, und die dritte Breite W3 kann zwischen ungefähr 43 nm und ungefähr 78 nm betragen.
  • Das oben beschriebene Verfahren 100 bildet die Source-/Drain-Kontakt-Durchkontaktierung 230 vor der Bildung der Sammelschienenöffnung 242 und des Sammelschienenkontakts 248. In einigen alternativen Ausführungsformen können die Source-/Drain-Kontakt-Durchkontaktierung 230 und der Sammelschienenkontakt 248 gleichzeitig gebildet werden. Während diese alternativen Ausführungsformen kleinere Schritte umfassen, können es die unterschiedlichen Fenster zur Metallfüllung für die Source-/Drain-Kontakt-Durchkontaktierungsöffnung 2260 und die Sammelschienenöffnung 242 schwieriger machen, die Source-/Drain-Kontakt-Durchkontaktierung 230 und den Sammelschienenkontakt 248 unter Verwendung desselben Abscheidungsprozesses angemessen zu bilden.
  • Die Sammelschienenkontakte und Verfahren der vorliegenden Offenbarung stellen verschiedene Vorteile bereit. Zum Beispiel stellt der Sammelschienenkontakt aufgrund eines Source-/Drain-Merkmals und einer angrenzenden Gate-Struktur einen Leitungspfad mit geringem Widerstand bereit. Die größeren Abmessungen der Sammelschienenöffnung ergeben ein verbessertes Fenster für die Metallfüllung. Die größere Abmessung des Sammelschienenkontakts ergibt einen verbesserten Kontaktwiderstand. Einige Verfahren der vorliegenden Offenbarung bilden die Source-/Drain-Kontakt-Durchkontaktierung und den Sammelschienenkontakt getrennt voneinander, um unterschiedliche Fenster zur Metallfüllung für die Source-/Drain-Kontakt-Durchkontaktierungsöffnung und die Sammelschienenöffnung zu ermöglichen.
  • Die vorliegende Offenbarung stellt viele verschiedene Ausführungsformen bereit. In einer Ausführungsform wird ein Verfahren bereitgestellt. Das Verfahren umfasst das Aufnehmen eines Werkstücks, welches eine Gate-Struktur, ein erstes Source-/Drain-Merkmal und ein zweites Source-/Drain-Merkmal, eine erste dielektrische Schicht über der Gate-Struktur, dem ersten Source-/Drain-Merkmal und dem zweiten Source-/Drain-Merkmal, einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal, einen zweiten Source-/Drain-Kontakte angeordnet über dem zweiten Source-/Drain-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht und eine zweite dielektrische Schicht über der ersten ESL aufweist, das Bilden einer Source-/Drain-Kontakt-Durchkontaktierung durch die zweite dielektrische Schicht und die erste ESL, um den ersten Source-/Drain-Kontakt anzukoppeln, nach dem Bilden der Source-/Drain-Kontakt-Durchkontaktierung das Bilden einer Gate-Kontaktöffnung durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht zum Freilegen der Gate-Struktur, nach dem Bilden der Gate-Kontaktöffnung das Bilden einer Sammelschienenöffnung angrenzend an die Gate-Kontaktöffnung, wobei der zweite Source-/Drain-Kontakt in der Sammelschienenöffnung freiliegt, und nach dem Bilden der Sammelschienenöffnung das Bilden eines Sammelschienenkontakts in der Sammelschienenöffnung.
  • In einigen Ausführungsformen umfasst das Bilden der Source-/Drain-Kontakt-Durchkontaktierung das Ätzen der ersten ESL und der zweiten dielektrischen Schicht zum Bilden einer Source-/Drain-Kontakt-Durchkontaktierungsöffnung, um den ersten Source-/Drain-Kontakt freizulegen, das Vertiefen des ersten Source-/Drain-Kontakts, um die Source-/Drain-Kontakt-Durchkontaktierungsöffnung in den ersten Source-/Drain-Kontakt zu erweitern, und nach dem Vertiefen das Aufbringen einer Metallfüllschicht in der Source-/Drain-Kontakt-Durchkontaktierungsöffnung. In einigen Fällen umfasst das Bilden der Source-/Drain-Kontakt-Durchkontaktierung ferner nach dem Aufbringen der Metallfüllschicht das Ausführen eines ersten Implantationsprozesses zum Implantieren eines Halbleiterdotierstoffs, nach dem Ausführen des ersten Implantationsprozesses das Aufbringen einer Klebstoffschicht über der Metallfüllschicht, das Aufbringen einer Pufferschicht über der Klebstoffschicht, und nach dem Aufbringen der Pufferschicht das Planarisieren des Werkstücks zum Entfernen der Klebstoffschicht und der Pufferschicht. In einigen Ausführungsformen umfasst das Bilden der Source-/Drain-Kontakt-Durchkontaktierung ferner nach dem Planarisieren das Ausführen eines zweiten Implantationsprozesses zum Implantieren des Halbleiterdotierstoffs. In einigen Fällen enthält der Halbleiterdotierstoff Germanium. In einigen Umsetzungen enthält die Klebstoffschicht Titan oder Titannitrid. In einigen Ausführungsformen enthält die Pufferschicht Wolfram. In einigen Umsetzungen werden das Aufbringen der Metallfüllschicht und das Aufbringen der Pufferschicht unter Verwendung unterschiedlicher Abscheidungsprozesse ausgeführt.
  • In einer weiteren Ausführungsform wird ein Verfahren bereitgestellt. Das Verfahren umfasst das Aufnehmen eines Werkstücks, welches eine Gate-Struktur, ein erstes Source-/Drain-Merkmal angrenzend an die Gate-Struktur, eine erste dielektrische Schicht über der Gate-Struktur und dem ersten Source-/Drain-Merkmal, einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht und eine zweite dielektrische Schicht über der ersten ESL aufweist, das Bilden einer Gate-Kontaktöffnung durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht zum Freilegen der Gate-Struktur, nach dem Bilden der Gate-Kontaktöffnung das Bilden einer Sammelschienenöffnung angrenzend an die Gate-Kontaktöffnung, wobei der erste Source-/Drain-Kontakt in der Sammelschienenöffnung freiliegt, und nach dem Bilden der Sammelschienenöffnung das Bilden eines Sammelschienenkontakts in der Sammelschienenöffnung.
  • In einigen Ausführungsformen umfasst das Bilden der Sammelschienenöffnung das Bilden einer strukturierten Fotolackschicht über der zweiten dielektrischen Schicht, die strukturierte Fotolackschicht aufweisend eine Öffnung direkt über dem ersten Source-/Drain-Kontakt und der Gate-Kontaktöffnung, das Ätzen der ersten ESL und der zweiten dielektrischen Schicht unter Verwendung eines ersten Trockenätzprozesses und der strukturierten Fotolackschicht als eine Ätzmaske, wobei der erste Source-/Drain-Kontakt durch einen Abschnitt der ersten ESL bedeckt bleibt, und nach dem Ätzen das Reinigen der Sammelschienenöffnung unter Verwendung eines ersten Nassreinigungsprozesses. In einigen Umsetzungen umfasst das Bilden der Sammelschienenöffnung ferner nach dem Reinigen das Ausführen eins zweiten Trockenätzprozesses zum Entfernen des Abschnitts der ersten ESL und zum Freilegen des ersten Source-/Drain-Kontakts, und nach dem Ausführen des zweiten Trockenätzprozesses das Ausführen eines zweiten Nassreinigungsprozesses. In einigen Fällen unterscheidet sich der zweite Trockenätzprozess vom ersten Trockenätzprozess. In einigen Umsetzungen umfasst der erste Trockenätzprozess die Verwendung von Kohlenwasserstoffen oder fluorierten Kohlenwasserstoffen, und der zweite Trockenätzprozess umfasst die Verwendung von Stickstoff oder Wasserstoff. In einigen Fällen umfasst das Bilden des Sammelschienenkontakts das Reinigen der Sammelschienenöffnung, das Aufbringen einer Klebstoffschicht über der Sammelschienenöffnung, das Aufbringen einer Metallkeimbildungsschicht über der Klebstoffschicht, und das Aufbringen einer Metallfüllschicht über der Metallkeimbildungsschicht. In einigen Ausführungsformen umfasst das Aufbringen der Klebstoffschicht das Aufbringen einer Titanschicht über der Sammelschienenöffnung unter Verwendung physikalischer Aufdampfung (PVD); und nach dem Aufbringen der Titanschicht das Aufbringen einer Titannitridschicht unter Verwendung chemischer Dampfabscheidung (CVD).
  • In noch einer weiteren Ausführungsform wird eine Halbleiterstruktur bereitgestellt. Die Halbleiterstruktur weist eine Gate-Struktur, ein erstes Source-/Drain-Merkmal angrenzend an die Gate-Struktur, eine erste dielektrische Schicht über der Gate-Struktur und dem ersten Source-/Drain-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht, eine zweite dielektrische Schicht über der ersten ESL, einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal und sich durch die erste dielektrische Schicht erstreckend, und einen Sammelschienenkontakt, welcher sich durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht erstreckt, um mit der Gate-Struktur in Kontakt zu kommen, auf. Ein Abschnitt des Sammelschienenkontakts ist an einer oberen Fläche des ersten Source-/Drain-Kontakts angeordnet.
  • In einigen Ausführungsformen erstreckt sich der Sammelschienenkontakt über den ersten Source-/Drain-Kontakt und die Gate-Struktur. In einigen Fällen enthält der erste Source-/Drain-Kontakt Kobalt, und der Sammelschienenkontakt weist eine Klebstoffschicht und eine Metallfüllschicht auf. Die Klebstoffschicht weist eine Titanschicht und eine Titannitridschicht auf, und die Metallfüllschicht enthält Wolfram. In einigen Ausführungsformen weist die Halbleiterstruktur ferner ein zweites Source-/Drain-Merkmal, einen zweiten Source-/Drain-Kontakt, welcher sich durch die erste dielektrische Schicht erstreckt, um mit dem zweiten Source-/Drain-Merkmal in Kontakt zu kommen, und eine Source-/Drain-Kontakt-Durchkontaktierung, welche sich durch die erste ESL und die zweite dielektrische Schicht erstreckt, um mit dem zweite Source-/Drain-Kontakt in Kontakt zu kommen, auf. Die Source-/Drain-Kontakt-Durchkontaktierung erstreckt sich in den zweiten Source-/Drain-Kontakt. In einigen Fällen ist die zweite Source-/Drain-Kontakt-Durchkontaktierung durch die erste ESL und die zweite dielektrische Schicht vom Sammelschienenkontakt beabstandet.
  • Das Vorstehende legt Merkmale verschiedener Ausführungsformen dar, sodass Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erlangen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Fachleute sollten ferner erkennen, dass derartige äquivalente Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie zahlreiche Änderungen, Ersetzungen und Neugestaltungen vornehmen können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/065150 [0001]
    • US 63076795 [0001]

Claims (20)

  1. Verfahren, umfassend: Aufnehmen eines Werkstücks aufweisend: eine Gate-Struktur, ein erstes Source-/Drain-Merkmal und ein zweites Source-/Drain-Merkmal, eine erste dielektrische Schicht über der Gate-Struktur, dem ersten Source-/Drain-Merkmal und dem zweiten Source-/Drain-Merkmal, einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal, einen zweiten Source-/Drain-Kontakt angeordnet über dem zweiten Source-/Drain-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht, und eine zweite dielektrische Schicht über der ersten ESL; Bilden einer Source-/Drain-Kontakt-Durchkontaktierung durch die zweite dielektrische Schicht und die erste ESL zum Ankoppeln des ersten Source-/Drain-Kontakts; nach dem Bilden der Source-/Drain-Kontakt-Durchkontaktierung das Bilden einer Gate-Kontaktöffnung durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht zum Freilegen der Gate-Struktur; nach dem Bilden der Gate-Kontaktöffnung das Bilden einer Sammelschienenöffnung angrenzend an die Gate-Kontaktöffnung, wobei der zweite Source-/Drain-Kontakt in der Sammelschienenöffnung freiliegt; und nach dem Bilden der Sammelschienenöffnung das Bilden eines Sammelschienenkontakts in der Sammelschienenöffnung.
  2. Verfahren nach Anspruch 1, wobei das Bilden der Source-/Drain-Kontakt-Durchkontaktierung umfasst: Ätzen der ersten ESL und der zweiten dielektrischen Schicht zum Bilden einer Source-/Drain-Kontakt-Durchkontaktierungsöffnung zum Freilegen des ersten Source-/Drain-Kontakts; Vertiefen des ersten Source-/Drain-Kontakts zum Erweitern der Source-/Drain-Kontakt-Durchkontaktierungsöffnung in den ersten Source-/Drain-Kontakt; und nach dem Vertiefen das Aufbringen einer Metallfüllschicht in der Source-/Drain-Kontakt-Durchkontaktierungsöffnung.
  3. Verfahren nach Anspruch 2, wobei das Bilden der Source-/Drain-Kontakt-Durchkontaktierung ferner umfasst: nach dem Aufbringen der Metallfüllschicht das Ausführen eines ersten Implantationsprozesses zum Implantieren eines Halbleiterdotierstoffs; nach dem Ausführen des ersten Implantationsprozesses das Aufbringen einer Klebstoffschicht über der Metallfüllschicht; Aufbringen einer Pufferschicht über der Klebstoffschicht; und nach dem Aufbringen der Pufferschicht das Planarisieren des Werkstücks zum Entfernen der Klebstoffschicht und der Pufferschicht.
  4. Verfahren nach Anspruch 3, wobei das Bilden der Source-/Drain-Kontakt-Durchkontaktierung ferner umfasst: nach dem Planarisieren das Ausführen eines zweiten Implantationsprozesses zum Implantieren des Halbleiterdotierstoffs.
  5. Verfahren nach Anspruch 3, wobei der Halbleiterdotierstoff Germanium enthält.
  6. Verfahren nach Anspruch 3, wobei die Klebstoffschicht Titan oder Titannitrid enthält.
  7. Verfahren nach Anspruch 3, wobei die Pufferschicht Wolfram enthält.
  8. Verfahren nach Anspruch 3, wobei das Aufbringen der Metallfüllschicht und das Aufbringen der Pufferschicht unter Verwendung unterschiedlicher Abscheidungsprozesse ausgeführt werden.
  9. Verfahren, umfassend: Aufnehmen eines Werkstücks aufweisend: eine Gate-Struktur, ein erstes Source-/Drain-Merkmal angrenzend an die Gate-Struktur, eine erste dielektrische Schicht über der Gate-Struktur und dem ersten Source-/Drain-Merkmal, einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal, eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht, und eine zweite dielektrische Schicht über der ersten ESL; Bilden einer Gate-Kontaktöffnung durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht zum Freilegen der Gate-Struktur; nach dem Bilden der Gate-Kontaktöffnung das Bilden einer Sammelschienenöffnung angrenzend an die Gate-Kontaktöffnung, wobei der erste Source-/Drain-Kontakt in der Sammelschienenöffnung freiliegt; und nach dem Bilden der Sammelschienenöffnung das Bilden eines Sammelschienenkontakts in der Sammelschienenöffnung.
  10. Verfahren nach Anspruch 9, wobei das Bilden der Sammelschienenöffnung umfasst: Bilden einer strukturierten Fotolackschicht über der zweiten dielektrischen Schicht, die strukturierte Fotolackschicht aufweisend eine Öffnung direkt über dem ersten Source-/Drain-Kontakt und der Gate-Kontaktöffnung; Ätzen der ersten ESL und der zweiten dielektrischen Schicht unter Verwendung eines ersten Trockenätzprozesses und der strukturierten Fotolackschicht als eine Ätzmaske, wobei der erste Source-/Drain-Kontakt durch einen Abschnitt der ersten ESL bedeckt bleibt; und nach dem Ätzen das Reinigen der Sammelschienenöffnung unter Verwendung eines ersten Nassreinigungsprozesses.
  11. Verfahren nach Anspruch 10, wobei das Bilden der Sammelschienenöffnung ferner umfasst: nach dem Reinigen das Ausführen eines zweiten Trockenätzprozesses zum Entfernen des Abschnitts der ersten ESL und zum Freilegen des ersten Source-/Drain-Kontakts; und nach dem Ausführen des zweiten Trockenätzprozesses das Ausführen eines zweiten Nassreinigungsprozesses.
  12. Verfahren nach Anspruch 11, wobei sich der zweite Trockenätzprozess vom ersten Trockenätzprozess unterscheidet.
  13. Verfahren nach Anspruch 11, wobei der erste Trockenätzprozess die Verwendung von Kohlenwasserstoffen oder fluorierten Kohlenwasserstoffen umfasst, wobei der zweite Trockenätzprozess die Verwendung von Stickstoff oder Wasserstoff umfasst.
  14. Verfahren nach Anspruch 9, wobei das Bilden des Sammelschienenkontakts umfasst: Reinigen der Sammelschienenöffnung; Aufbringen einer Klebstoffschicht über der Sammelschienenöffnung; Aufbringen einer Metallkeimbildungsschicht über der Klebstoffschicht; und Aufbringen einer Metallfüllschicht über der Metallkeimbildungsschicht.
  15. Verfahren nach Anspruch 14, wobei das Aufbringen der Klebstoffschicht umfasst: Aufbringen einer Titanschicht über der Sammelschienenöffnung unter Verwendung physikalischer Aufdampfung (PVD); und nach dem Aufbringen der Titanschicht das Aufbringen einer Titannitridschicht unter Verwendung chemischer Dampfabscheidung (CVD).
  16. Halbleiterstruktur, aufweisend: eine Gate-Struktur; ein erstes Source-/Drain-Merkmal angrenzend an die Gate-Struktur; eine erste dielektrische Schicht über der Gate-Struktur und dem ersten Source-/Drain-Merkmal; eine erste Ätzstoppschicht (ESL) über der ersten dielektrischen Schicht; eine zweite dielektrische Schicht über der ersten ESL; einen ersten Source-/Drain-Kontakt angeordnet über dem ersten Source-/Drain-Merkmal und sich durch die erste dielektrische Schicht erstreckend; und einen Sammelschienenkontakt, welcher sich durch die zweite dielektrische Schicht, die erste ESL und die erste dielektrische Schicht erstreckt, um mit der Gate-Struktur in Kontakt zu kommen, wobei ein Abschnitt des Sammelschienenkontakts an einer oberen Fläche des ersten Source-/Drain-Kontakts angeordnet ist.
  17. Halbleiterstruktur nach Anspruch 16, wobei sich der Sammelschienenkontakt über den ersten Source-/Drain-Kontakt und die Gate-Struktur erstreckt.
  18. Halbleiterstruktur nach Anspruch 16, wobei der erste Source-/Drain-Kontakt Kobalt enthalt, wobei der Sammelschienenkontakt eine Klebstoffschicht und eine Metallfüllschicht aufweist, wobei die Klebstoffschicht eine Titanschicht und eine Titannitridschicht aufweist, wobei die Metallfüllschicht Wolfram enthält.
  19. Halbleiterstruktur nach Anspruch 16, ferner aufweisend: ein zweites Source-/Drain-Merkmal; einen zweiten Source-/Drain-Kontakt, welcher sich durch die erste dielektrische Schicht erstreckt, um mit dem zweiten Source-/Drain-Merkmal in Kontakt zu kommen; und eine Source-/Drain-Kontakt-Durchkontaktierung, welche sich durch die erste ESL und die zweite dielektrische Schicht erstreckt, um mit dem zweiten Source-/Drain-Kontakt in Kontakt zu kommen, wobei sich die Source-/Drain-Kontakt-Durchkontaktierung in den zweiten Source-/Drain-Kontakt erstreckt.
  20. Halbleiterstruktur nach Anspruch 19, wobei die zweite Source-/Drain-Kontakt-Durchkontaktierung durch die erste ESL und die zweite dielektrische Schicht vom Sammelschienenkontakt beabstandet ist.
DE102020133511.3A 2020-08-13 2020-12-15 Sammelschienenkontakt Pending DE102020133511A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063065150P 2020-08-13 2020-08-13
US63/065,150 2020-08-13
US202063076795P 2020-09-10 2020-09-10
US63/076,795 2020-09-10
US17/112,782 US11652149B2 (en) 2020-08-13 2020-12-04 Common rail contact
US17/112,782 2020-12-04

Publications (1)

Publication Number Publication Date
DE102020133511A1 true DE102020133511A1 (de) 2022-02-17

Family

ID=78728242

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020133511.3A Pending DE102020133511A1 (de) 2020-08-13 2020-12-15 Sammelschienenkontakt

Country Status (5)

Country Link
US (2) US11652149B2 (de)
KR (1) KR102547555B1 (de)
CN (1) CN113745153B (de)
DE (1) DE102020133511A1 (de)
TW (1) TWI820408B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336183A1 (en) 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US20190287851A1 (en) 2018-03-14 2019-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
US20190304833A1 (en) 2018-03-29 2019-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100600041B1 (ko) * 2004-06-30 2006-07-13 주식회사 하이닉스반도체 인시튜 단계적 플러그 이온주입을 이용한 반도체 소자의콘택 플러그 형성 방법
DE102006035645B4 (de) * 2006-07-31 2012-03-08 Advanced Micro Devices, Inc. Verfahren zum Ausbilden einer elektrisch leitfähigen Leitung in einem integrierten Schaltkreis
KR100932315B1 (ko) * 2007-02-09 2009-12-16 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
KR20130074296A (ko) 2011-12-26 2013-07-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US8624324B1 (en) * 2012-08-10 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Connecting through vias to devices
US9831183B2 (en) * 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9905471B2 (en) * 2016-04-28 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method forming trenches with different depths
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
US10121675B2 (en) * 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10763338B2 (en) 2017-08-30 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Silicide implants
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10629693B2 (en) * 2017-11-17 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with barrier layer and method for forming the same
US10763168B2 (en) * 2017-11-17 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with doped via plug and method for forming the same
US10861745B2 (en) * 2017-11-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10636697B2 (en) * 2017-11-30 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Contact formation method and related structure
US10510600B1 (en) 2018-07-11 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Shared contact structure and methods for forming the same
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
US11476196B2 (en) * 2018-11-27 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-layer dielectric

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336183A1 (en) 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US20190287851A1 (en) 2018-03-14 2019-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure Using Bottom-Up Filling Deposition
US20190304833A1 (en) 2018-03-29 2019-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive Feature Formation and Structure

Also Published As

Publication number Publication date
CN113745153B (zh) 2023-12-29
TW202221848A (zh) 2022-06-01
KR20220021381A (ko) 2022-02-22
US11652149B2 (en) 2023-05-16
US20220052168A1 (en) 2022-02-17
CN113745153A (zh) 2021-12-03
US20230290842A1 (en) 2023-09-14
TWI820408B (zh) 2023-11-01
KR102547555B1 (ko) 2023-06-23

Similar Documents

Publication Publication Date Title
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102015111257B4 (de) Halbleiterbauelement und Herstellungsverfahren dafür
DE102017117942A1 (de) Multi-Gate-Vorrichtung und Herstellungsverfahren dafür
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102019116606A1 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102021101178A1 (de) Integrierte-schaltkreis-struktur mit rückseitiger dielektrischer schicht mit luftspalt
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102021109760A1 (de) Verfahren zum bilden von kontaktstrukturen
DE102021109940A1 (de) Rückseitiger gatekontakt
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102021103217A1 (de) Ätzprofilsteuerung der Durchkontaktierungsöffnung
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln
DE102020119940A1 (de) Mehrfachgatetransistorstruktur
DE102020133511A1 (de) Sammelschienenkontakt
DE102016114724A1 (de) Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication