DE102020130964A1 - Vertikal ausgerichteter komplementärer transistor - Google Patents

Vertikal ausgerichteter komplementärer transistor Download PDF

Info

Publication number
DE102020130964A1
DE102020130964A1 DE102020130964.3A DE102020130964A DE102020130964A1 DE 102020130964 A1 DE102020130964 A1 DE 102020130964A1 DE 102020130964 A DE102020130964 A DE 102020130964A DE 102020130964 A1 DE102020130964 A1 DE 102020130964A1
Authority
DE
Germany
Prior art keywords
drain
source
transistor
contact
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020130964.3A
Other languages
English (en)
Inventor
Chi-Yi Chuang
Hou-Yu Chen
Kuan-Lun Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020130964A1 publication Critical patent/DE102020130964A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts

Abstract

Eine Halbleitervorrichtung nach der vorliegenden Offenbarung weist einen ersten Transistor und einen über dem ersten Transistor angeordneten zweiten Transistor auf. Der erste Transistor weist mehrere Kanalbereiche, die vertikal übereinander gestapelt sind, und ein erstes Source/Drain-Element, das an die mehreren Kanalelemente angrenzt, auf. Der zweite Transistor weist eine Finnenstruktur und ein zweites Source/Drain-Element, das an die Finnenstruktur angrenzt, auf. Die Halbleitervorrichtung weist ferner ein leitendes Element auf, das das erste Source/Drain-Element und das zweite Source/Drain-Element elektrisch verbindet.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/059,011 , eingereicht am 30. Juli 2020, mit der Bezeichnung „Vertically-Oriented Complementary Transistor“ (Aktenzeichen des Bevollmächtigten Nr. 2020-2994 / 24061.4275PV01), deren gesamte Offenbarung hiermit durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Die Industrie integrierter Halbleiterschaltungen (IC-Industrie) erfährt ein exponentielles Wachstum. Technische Fortschritte bei den IC-Materialien und dem Design haben Generationen von ICs hervorgebracht, wobei jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Laufe der IC-Evolution hat die Funktionsdichte (d.h., die Anzahl der untereinander verbundenen Vorrichtungen pro Chipfläche) im Allgemeinen zugenommen, während die geometrische Größe (d.h., die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsprozesses erzeugt werden kann), abgenommen hat. Dieser Verkleinerungsprozess bietet im Allgemeinen Vorteile, indem die Produktionsleistungsfähigkeit erhöht wird und die damit verbundenen Kosten verringert werden. Diese Verkleinerung hat auch die Komplexität der Bearbeitung und der Herstellung von ICs erhöht.
  • Zum Beispiel wurden mit der Entwicklung der integrierten Schaltungstechnologien (IC-Technologien) zu kleineren Technologieknoten hin Vorrichtungen mit mehreren Gates eingeführt, um die Gate-Steuerung durch Erhöhen der Gate-Kanal-Kopplung zu verbessern, den Strom im ausgeschalteten Zustand zu verringern und Kurzkanaleffekte (Short Channel Effects. SCEs) zu verringern. Eine Vorrichtung mit mehreren Gates bezieht sich im Allgemeinen auf eine Vorrichtung, bei der eine Gate-Struktur, oder ein Teil davon über mehr als einer Seite eines Kanalbereichs angeordnet ist. Finnenartige Feldeffekttransistoren (FinFETs) und Multi-Bridge-Channel-Transistoren (MBC-Transistoren) sind Beispiele für Vorrichtungen mit mehreren Gates, die bekannt und vielversprechende Kandidaten für Anwendungen mit einer hohen Leistungsfähigkeit und einem niedrigen Leckstrom geworden sind. Ein FinFET weist einen erhöhten Kanal auf, der an mehr als einer Seite von einem Gate umwickelt ist (zum Beispiel umwickelt ein Gate eine Oberseite und Seitenwände einer „Finne“ aus einem Halbleitermaterial, die sich von einem Substrat erstreckt). Ein MBC-Transistor weist eine Gate-Struktur auf, die sich teilweise oder zur Gänze um einen Kanalbereich erstrecken kann, um an zwei oder mehr Seiten einen Zugang zu dem Kanalbereich bereitzustellen. Da seine Gate-Struktur die Kanalbereiche umgibt, kann ein MBC-Transistor auch als Surrounding-Gate-Transistor (SGT) oder Gate-All-Around-Transistor (GAA-Transistor) bezeichnet werden. Der Kanalbereich eines MBC-Transistors kann aus Nanodrähten, Nanoblättern, anderen Nanostrukturen und/oder anderen geeigneten Strukturen gebildet werden.
  • Komplementäre Metalloxid-Halbleiter-Feldeffekttransistoren (Complementary metal-oxide-semiconductor field effect transistors, CMOSFETs oder CFETs) haben die Halbleiterindustrie aufgrund ihrer hohen Rauschimmunität und ihres niedrigen statischen Stromverbrauchs dominiert. Obwohl bestehende CFET-Strukturen für ihre beabsichtigten Zwecke im Allgemeinen ausreichend sind, sind sie nicht in jeder Hinsicht zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es wird betont, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind und nur zu Erläuterungszwecken verwendet werden. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 stellt ein Ablaufdiagramm eines Verfahrens zur Bildung einer Halbleitervorrichtung mit einem vertikal ausgerichteten komplementären Transistor nach einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
    • 2 bis 39 stellen bruchstückhafte Querschnittansichten eines Werkstücks während eines Herstellungsprozesses gemäß dem Verfahren von 1 nach einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
    • 40 stellt eine alternative Ausführungsform der Halbleitervorrichtung nach einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend sind spezifische Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen keine Beschränkung darstellen. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in einem direkten Kontakt gebildet werden, und auch Ausführungsformen umfassen, bei denen zwischen dem ersten und dem zweiten Element zusätzliche Elemente gebildet werden können, so dass das erste und das zweite Element möglicherweise nicht in einem direkten Kontakt stehen. Zudem können in der vorliegenden Offenbarung Bezugszeichen und/oder -buchstaben bei den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Aufbauten vor.
  • Ferner können räumlich bezogene Ausdrücke wie etwa „darunter“, „unterhalb“, „unter“, „darüber“, „über“ und dergleichen hier zur Vereinfachung der Beschreibung verwendet werden, um die in den Figuren dargestellte Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben. Die räumlich bezogenen Ausdrücke sollen zusätzlich zu der in den Figuren dargestellten Ausrichtung verschiedene Ausrichtungen der Vorrichtung in Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht sein oder sich in anderen Ausrichtungen befinden), und die hier verwendeten räumlich bezogenen Ausdrücke können ebenfalls entsprechend interpretiert werden.
  • Wenn eine Zahl oder ein Zahlenbereich mit „etwa“, „ungefähr“ und dergleichen beschrieben ist, soll der Ausdruck, wie ein Durchschnittsfachmann verstehen wird, Zahlen umfassen, die im Hinblick auf Schwankungen, die während der Herstellung von Natur aus auftreten, innerhalb eines angemessenen Bereichs liegen. Zum Beispiel umfasst die Zahl oder der Zahlenbereich auf Basis bekannter Herstellungstoleranzen, die mit der Herstellung eines Merkmals, das eine mit der Zahl verbundene Eigenschaft aufweist, verbunden sind, einen angemessenen Bereich einschließlich der beschriebenen Zahl wie etwa ± 10 % der beschriebenen Zahl. Zum Beispiel kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Abmessungsbereich von 4,25 nm bis 5,75 nm umfassen, wenn einem Durchschnittsfachmann bekannt ist, dass Herstellungstoleranzen, die mit dem Abscheiden der Materialschicht verbunden sind, ± 15 % betragen. Und zudem können in der vorliegenden Offenbarung Bezugszeichen und/oder -buchstaben bei den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt an sich keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Aufbauten vor.
  • Komplementäre Metalloxid-Halbleiter-Feldeffekttransistoren (CMOSFETs oder CFETs) dominieren die Halbleiterindustrie aufgrund ihrer hohen Rauschimmunität und ihres geringen statischen Stromverbrauchs. Ein herkömmlicher CFET weist einen n-FET (NFET) und einen p-FET (PFET) auf, die nebeneinander auf dem gleichen Substrat angeordnet sind, wobei sich der NFET und der PFET die gleiche Struktur teilen. Zum Beispiel ist bei einigen herkömmlichen Gestaltungen sowohl der NFET als auch der PFET eine flache Vorrichtung, ein FinFET oder ein MBC-Transistor. Mit dem fortdauernden Schrumpfen der Vorrichtungsabmessungen zur Weiterentwicklung von Technologieknoten treten wenigstens zwei Herausforderungen auf. Erstens weist ein koplanarer CFET einen größeren Platzbedarf als ein NFET oder ein PFET auf. Zweitens bleiben die Defektelektronenmobilitäten in PFETs weiterhin hinter Elektronenmobilitäten in NFETs zurück.
  • Die vorliegende Offenbarung stellt Prozesse und Strukturen von vertikal ausgerichteten Hybrid-CFETs bereit, um den beiden oben angegebenen Herausforderungen zu begegnen. Da er vertikal ausgerichtet ist, weist ein CFET nach der vorliegenden Offenbarung einen unteren Transistor und einen oberen Transistor, der über dem unteren Transistor angeordnet ist, auf. In einigen Fällen ist der untere Transistor ein p-Transistor und der obere Transistor ein n-Transistor. In anderen Fällen ist der untere Transistor ein n-Transistor und der obere Transistor ein p-Transistor. Da er ein Hybrid ist, weist ein CFET nach der vorliegenden Offenbarung einen p-Typ-FinFET (p-FinFET) und einen n-Typ-MBC-Transistor (n-MBC-Transistor) auf. Ein CFET der vorliegenden Offenbarung weist daher einen p-FinFET als unteren Transistor und einen n-MBC-Transistor als oberen Transistor auf, oder umgekehrt. Bei einigen Ausführungsformen sind ein Source-Element und ein Drain-Element des oberen Transistors im Wesentlichen vertikal mit einem Source-Element und einem Drain-Element des unteren Transistors ausgerichtet. Diese vertikale Ausrichtung ermöglicht das Koppeln eines Source/Drain-Elements der unteren Vorrichtung durch ein leitendes Element mit einem Source/Drain-Element der oberen Vorrichtung. Bei einigen Ausführungsformen kann sich das leitende Merkmal zur Kopplung vertikal in die Source-Elemente und die Drain-Elemente erstrecken. Ein rückseitiger Source-Kontakt und eine rückseitige Stromschiene können ebenfalls mit dem CFET der vorliegenden Offenbarung integriert werden.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun unter Bezugnahme auf die Figuren detaillierter beschrieben werden. In diesem Zusammenhang ist 1 ein Ablaufdiagramm, das ein Verfahren 100 zur Bildung einer Halbleitervorrichtung aus einem Werkstück nach Ausführungsformen der vorliegenden Offenbarung darstellt. Das Verfahren 100 ist lediglich ein Beispiel und soll die vorliegende Offenbarung nicht auf das beschränken, was bei dem Verfahren 100 ausdrücklich dargestellt ist. Vor, während und nach dem Verfahren 100 können zusätzliche Schritte bereitgestellt werden, und für zusätzliche Ausführungsformen der Verfahren können einige beschriebene Schritte ersetzt, beseitigt oder verschoben werden. Der Einfachheit halber sind in diesem Dokument nicht alle Schritte ausführlich beschrieben. Das Verfahren 100 wird nachstehend in Verbindung mit 2 bis 39 beschrieben, die bruchstückhafte Schnittansichten eines Werkstück 200 in verschiedenen Stadien der Herstellung nach Ausführungsformen des Verfahrens 100 sind. Um Zweifel zu vermeiden, verläuft die X-Richtung über alle Figuren hinweg rechtwinkelig zu der Y-Richtung und verläuft die Z-Richtung sowohl zu der X-Richtung als auch zu der Y-Richtung rechtwinkelig. Da das Werkstück 200 zu einer Halbleitervorrichtung weiterverarbeitet werden kann, wird angemerkt, dass das Werkstück 200 je nach Kontext als Halbleitervorrichtung 200 bezeichnet sein kann. Über die vorliegende Offenbarung hinweg bezeichnen gleiche Bezugszeichen in der vorliegenden Offenbarung gleiche Merkmale.
  • Unter Bezugnahme auf 1 und 2 umfasst das Verfahren 100 einen Block 102, bei dem ein Werkstück 200 bereitgestellt wird. Das Werkstück 200 kann ein erstes Substrat 202 aufweisen. Bei einer Ausführungsform kann das erste Substrat 202 ein Silizium-Substrat (Si-Substrat) sein. Bei einigen anderen Ausführungsformen kann das erste Substrat 202 andere Halbleiter wie etwa Germanium (Ge), Siliziumgermanium (SiGe) oder ein III-V-Halbleitermaterial aufweisen. Beispielhafte III-V-Halbleitermaterialien können Gallium-Arsenid (GaAs), Indiumphosphid (InP), Galliumphosphid (GaP), Galliumnitrid (GaN), Galliumarsenidphosphid (GaAsP), Aluminiumindiumarsenid (AlInAs), Aluminiumgalliumarsenid (AlGaAs), Galliumindiumphosphid (GaInP) und Indiumgalliumarsenid (InGaAs) umfassen.
  • Wie in 2 gezeigt ist, weist das Werkstück 200 auch einen über dem Substrat 202 angeordneten Stapel 204 auf. Der Stapel 204 weist mehrere Kanalschichten 208 auf, die von mehreren Opferschichten 206 durchsetzt sind. Die Kanalschichten 208 und die Opferschichten 206 können unterschiedliche Halbleiterzusammensetzungen aufweisen. Bei einer Ausführungsform sind die Kanalschichten 208 aus Silizium (Si) gebildet und sind die Opferschichten 206 aus Siliziumgermanium (SiGe) gebildet. Bei diesen Ausführungen gestattet der zusätzliche Germaniumgehalt in den Opferschichten 206 ein selektives Beseitigen oder Vertiefen der Opferschichten 206 ohne wesentliche Beschädigungen an den Kanalschichten 208. Bei einigen alternativen Ausführungsformen können die Kanalschichten 208 Germanium (Ge), Siliziumgermanium (SiGe) oder ein zweidimensionales Material (2D-Material) wie etwa Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) aufweisen. Bei Ausführungsformen, bei denen die Kanalschichten 208 und die Opferschichten 206 aus Siliziumgermanium (SiGe) gebildet sind, weisen die Kanalschichten 208 einen niedrigeren Germaniumgehalt als die Opferschichten 206 auf, um ein selektives Beseitigen/Vertiefen der Opferschichten 206 zu gestatten. Bei einigen Ausführungsformen sind die Opferschichten 206 und die Kanalschichten 208 Epitaxieschichten und können unter Verwendung eines Epitaxieprozesses abgeschieden werden. Geeignete Epitaxieprozesse umfassen die Dampfphasenepitaxie (vapor-phase epitaxy, VPE), die chemische Abscheidung aus der Dampfphase mit ultrahohem Vakuum (ultra-high vacuum chemical vapor deposition, UHV-CVD), die Molekularstrahlepitaxie (molecular beam epitaxy, MBE) und/oder andere geeignete Prozesse. Wie in 2 gezeigt ist, werden die Opferschichten 206 und die Kanalschichten 208 abwechselnd nacheinander abgeschieden, um den Stapel 204 zu bilden. Es ist zu beachten, dass wie in 2, die nur zu Erläuterungszwecken dient und nicht über die spezifischen Angaben in den Ansprüchen hinaus beschränkend sein soll, dargestellt, drei (3) Schichten der Opferschichten 206 und drei (3) Schichten der Kanalschichten 208 abwechselnd und vertikal angeordnet werden. Es versteht sich, dass in dem Stapel 204 jede beliebige Anzahl von Opferschichten 206 und Kanalschichten 208 gebildet werden kann. Die Anzahl der Schichten hängt von der gewünschten Anzahl der Kanalelemente für die Vorrichtung 200 ab. Bei einigen Ausführungsformen liegt die Anzahl der Kanalschichten 208 zwischen zwei und zehn.
  • Unter Bezugnahme auf 1 und 3 umfasst das Verfahren 100 einen Block 104, bei dem aus dem Stapel 204 eine finnenförmige Struktur 210 gebildet wird. Bei einigen Ausführungsformen werden der Stapel 204 und ein Teil des ersten Substrats 202 strukturiert, um die finnenförmige Struktur 210 zu bilden. Zum Zweck der Strukturierung kann eine Hartmaskenschicht über dem Stapel 204 abgeschieden werden. Die Hartmaskenschicht kann eine Einzelschicht oder eine Mehrfachschicht sein. Bei einem Beispiel weist die Hartmaskenschicht eine Siliziumoxidschicht und eine Siliziumnitridschicht über der Siliziumoxidschicht auf. Wie in 3 gezeigt ist, erstreckt sich die finnenförmige Struktur 210 vertikal entlang der Z-Richtung von dem ersten Substrat 202 und längsgerichtet entlang der Y-Richtung. Die finnenförmige Struktur 210 kann einen Basisabschnitt 210B, der aus dem ersten Substrat 202 gebildet ist, und einen Stapelabschnitt 210SP, der aus dem Stapel 204 gebildet ist, aufweisen. Die finnenförmige Struktur 210 kann unter Verwendung geeigneter Prozesse einschließlich von Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- und Mehrfachstrukturierungsprozesse Photolithographie- und selbstausrichtende Prozesse, was gestattet, dass Strukturen erzeugt werden, die zum Beispiel kleinere Abstände aufweisen, als ansonsten unter Verwendung eines einzelnen direkten Photolithographieprozesses erhalten werden können. Zum Beispiel wird bei einer Ausführungsform eine Materialschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Entlang der strukturierten Materialschicht werden Abstandshalter unter Verwendung eines selbstausrichtenden Prozesses gebildet. Dann wird die Materialschicht beseitigt und können die verbliebenen Abstandshalter oder Dorne verwendet werden, um die finnenförmige Struktur 210 durch Ätzen des Stapels 204 und des ersten Substrats 202 zu strukturieren. Der Ätzprozess kann ein Trockenätzen, ein Nassätzen, ein reaktives Ionenätzen (reactive ion etching, RIE) und/oder andere geeignete Prozesse umfassen.
  • Unter Bezugnahme auf 1, 4 und 5 umfasst das Verfahren 100 einen Block 106, bei dem ein erster Dummy-Gate-Stapel 214 über einem Kanalbereich der finnenförmigen Struktur 210 gebildet wird. Bei einigen Ausführungsformen, die in 4 dargestellt sind, wird nach der Bildung der finnenförmigen Struktur 210 ein Isolationselement 212 so gebildet, dass es den Basisabschnitt 210B umgibt. Das Isolationselement 212 kann auch als flaches Grabenisolationselement (shallow trench isolation, STI-Merkmal) 212 bezeichnet werden. Bei einem beispielhaften Prozess wird ein dielektrisches Material für das Isolationselement 212 unter Verwendung einer CVD, einer subatmosphärischen CVD (SACVD), einer fließfähigen CVD (flowable CVD, FCVD), einer Atomlagenabscheidung (atomic layer deposition, ALD), einer physikalischen Abscheidung aus der Dampfphase (physical vapor deposition, PVD), einer Aufschleuderbeschichtung und/oder eines anderen geeigneten Prozesses über der finnenförmigen Struktur 210 abgeschieden. Dann wird das abgeschiedene dielektrische Material planarisiert und vertieft, bis wenigstens der Stapelabschnitt 210SP der finnenförmigen Struktur 210 über das Isolationselement 212 hochsteht. Das heißt, nach dem Vertiefen des Isolationselements 212 ist der Basisabschnitt 210B der finnenförmigen Struktur 210 von dem Isolationselement 212 umgeben. Das dielektrische Material für das Isolationselement 212 kann Siliziumoxid, Siliziumoxinitrid, fluordotiertes Silikatglas (FSG), ein Dielektrikum mit einem niedrigen k-Wert, Kombinationen davon und/oder andere geeignete Materialien aufweisen.
  • Bei einigen Ausführungsformen, bei denen ein Gate-Austauschprozess (oder ein Gate-last-Prozess) eingesetzt wird, dient der über der finnenförmigen Struktur 210 gebildete erste Dummy-Gate-Stapel 214 als Platzhalter für eine funktionelle Gate-Struktur. Es sind andere Prozesse und Gestaltungen möglich. Zum Bilden des ersten Dummy-Gate-Stapels 214 werden eine Dummy-Dielektrikumsschicht 216, eine Dummy-Gate-Elektrodenschicht 218 und eine Gateoberseiten-Hartmaskenschicht (nicht gezeigt) über dem Werkstück 200 abgeschieden. Das Abscheiden dieser Schichten kann die Verwendung einer Niederdruck-CVD (low pressure CVD, LPVD), einer CVD, einer plasmaunterstützten CVD (plasma-enhanced CVD, PECVD), einer PVD, einer ALD, einer thermischen Oxidation, einer E-Strahl-Verdampfung oder anderer geeigneter Abscheidungstechniken oder Kombinationen davon umfassen. Die Dummy-Dielektrikumsschicht 216 kann Siliziumoxid aufweisen, die Dummy-Gate-Elektrodenschicht 218 kann Polysilizium aufweisen, und die Gateoberseiten-Hartmaskenschicht kann eine Mehrfachschicht sein, die Siliziumoxid und Siliziumnitrid aufweist. Die Gateoberseiten-Hartmaskenschicht wird unter Verwendung eines Photolithogaphie- und eines Ätzprozesses strukturiert. Der Photolithographieprozess kann eine Beschichtung mit einem Photoresist (z.B. eine Aufschleuderbeschichtung), ein Weichbrennen, ein Maskenausrichten, eine Belichtung, ein Brennen nach der Belichtung, ein Entwickeln des Photoresists, ein Spülen, ein Trocknen (z.B. ein Schleudertrocknen und/oder ein Hartbrennen), andere geeignete Lithographietechniken und/oder Kombinationen davon umfassen. Der Ätzprozess kann ein Trockenätzen (z.B. ein RIE-Ätzen), ein Nassätzen und/oder andere Ätzverfahren umfassen. Danach werden die Dummy-Dielektrikumsschicht 216 und die Dummy-Gate-Elektrodenschicht 218 unter Verwendung der strukturierten Gateoberseiten-Hartmaskenschicht als Ätzmaske geätzt, um den ersten Dummy-Gate-Stapel 214 zu bilden. Wie in 4 gezeigt ist, ist der erste Dummy-Gate-Stapel 214 über dem Isolationselement 212 und einem Teil der finnenförmigen Struktur 210 gebildet. Der erste Dummy-Gate-Stapel 214 erstreckt sich längsgerichtet so entlang der X-Richtung, dass er sich um die finnenförmige Struktur 210 wickelt. Unter Bezugnahme auf 5 ist der Teil der finnenförmigen Struktur 210, der unter dem ersten Dummy-Gate-Stapel 214 liegt, ein erster Kanalbereich 210C. Der erste Kanalbereich 210C und der erste Dummy-Gate-Stapel 214 definieren auch einen ersten Source-Bereich 210S und einen ersten Drain-Bereich 210D, die nicht vertikal von dem ersten Dummy-Gate-Stapel 214 überlappt sind. Der erste Kanalbereich 210C ist entlang der Y-Richtung zwischen dem ersten Source-Bereich 210S und dem ersten Drain-Bereich 210D angeordnet oder dazwischen eingefügt.
  • Wie in 5 stellvertretend dargestellt ist, können die Operationen bei Block 106 die Bildung einer ersten Gate-Abstandshalterschicht 220 über Seitenwänden der ersten Dummy-Gate-Stapels 214 umfassen. Bei einigen Ausführungsformen umfasst die Bildung der ersten Gate-Abstandshalterschicht 220 ein formangepasstes Abscheiden einer oder mehrerer dielektrischer Schichten über dem Werkstück 200. Bei einem beispielhaften Prozess werden die eine oder die mehreren dielektrischen Schichten unter Verwendung einer CVD, einer SACVD oder einer ALD abgeschieden. Die eine oder die mehreren dielektrischen Schichten können Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxinitrid, Siliziumcarbonitrid, Siliziumoxicarbid, Siliziumoxicarbonitrid und/oder Kombinationen davon aufweisen.
  • Unter Bezugnahme auf 1 und 5 umfasst das Verfahren 100 einen Block 108, bei dem der erste Source-Bereich 210S und der erste Drain-Bereich 210D der finnenförmigen Struktur 210 vertieft werden, um eine erste Source-Vertiefung 222S und eine erste Drain-Vertiefung 222D zu bilden. Bei einem beispielhaften Prozess wird das Werkstück 200 nach dem Abscheiden der ersten Gate-Abstandshalterschicht 220 in einem Ätzprozess geätzt, welcher den ersten Source-Bereich 210S und den ersten Drain-Bereich 210D der finnenförmigen Struktur 210 selektiv vertieft. Das selektive Vertiefen des ersten Source-Bereichs 210S und des ersten Drain-Bereichs 210D führt zu der ersten Source-Vertiefung 222S und der ersten Drain-Vertiefung 222D. Der Ätzprozess bei Block 108 kann ein Trockenätzprozess oder ein geeigneter Ätzprozess sein. Ein beispielhafter Trockenätzprozess kann ein sauerstoffhaltiges Gas, Wasserstoff, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon einsetzen. Wie in 5 gezeigt ist, werden Seitenwände der Opferschichten 206 und der Kanalschichten 208 in dem ersten Kanalbereich 210C in der ersten Source-Vertiefung 222s und der ersten Drain-Vertiefung 222D freigelegt.
  • Unter Bezugnahme auf 1, 6 und 7 umfasst das Verfahren 100 einen Block 110, bei dem innere Abstandshalterelemente 226 gebildet werden. Zuerst wird auf 6 Bezug genommen. Bei Block 110 werden die Opferschichten 206, die in der ersten Source-Vertiefung 222S und der ersten Drain-Vertiefung 222D freigelegt wurden, selektiv und teilweise vertieft, um innere Abstandshaltervertiefungen 224 zu bilden, während die freigelegten Kanalschichten 208 im Wesentlichen nicht geätzt werden. Bei einer Ausführungsform, bei der die Kanalschichten 208 im Wesentlichen aus Silizium bestehen und die Opferschichten 206 im Wesentlichen aus Siliziumgermanium (SiGe) bestehen, kann das selektive und teilweise Vertiefen der Opferschichten 206 einen SiGe-Oxidationsprozess gefolgt von einer SiGe-Oxidbeseitigung umfassen. Bei diesen Ausführungsformen kann der SiGe-Oxidationsprozess eine Verwendung von Ozon (O3) umfassen. Bei einigen anderen Ausführungsformen kann das selektive Vertiefen ein selektiver isotroper Ätzprozess (z.B. ein selektiver Trockenätzprozess oder ein selektiver Nassätzprozess) sein, und wird das Ausmaß, in dem die Opferschichten 206 vertieft werden, durch die Dauer des Ätzprozesses gesteuert. Der selektive Trockenätzprozess kann die Verwendung eines oder mehrerer fluorbasierter Ätzmittel wie etwa Fluorgas oder von Fluorkohlenwasserstoffen umfassen. Der selektive Nassätzprozess kann ein AMP-Ätzen (zum Beispiel ein Ammoniumhydroxid-Wasserstoffperoxid-Wasser-Gemisch) umfassen.
  • Nun wird auf 7 Bezug genommen. Nach der Bildung der inneren Abstandshaltervertiefungen 224 wird eine innere Abstandshaltermaterialschicht über dem Werkstück 200, einschließlich in den inneren Abstandshaltervertiefungen 224, abgeschieden. Die innere Abstandshaltermaterialschicht kann Siliziumoxid, Siliziumnitrid, Siliziumoxicarbid, Siliziumoxicarbonitrid, Siliziumcarbonitrid, Metallnitrid oder ein geeignetes dielektrisches Material aufweisen. Die abgeschiedene innere Abstandshaltermaterialschicht wird dann rückgeätzt, um die überschüssige innere Abstandshaltermaterialschicht über der ersten Gate-Abstandshalterschicht 220 und Seitenwänden der Kanalschichten 208 zu beseitigen, wodurch die wie in 7 gezeigten inneren Abstandshalterelemente 226 gebildet werden. Bei einigen Ausführungsformen kann der Rückätzprozess bei Block 110 ein Trockenätzprozess sein, der die Verwendung eines sauerstoffhaltigen Gases, von Wasserstoff, eines fluorhaltigen Gases (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (z.B. HBr und/oder CHBR3), eines jodhaltigen Gases (z.B. CF3J), anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen davon umfasst.
  • Unter Bezugnahme auf 1 und 8 umfasst das Verfahren einen Block 112, bei dem ein erstes Source-Element 228S und ein erstes Drain-Element 228D in der ersten Source-Vertiefung 222S und der ersten Drain-Vertiefung 222D gebildet werden. Bei einigen Ausführungsformen können das erste Source-Element 228S und das erste Drain-Element 228D unter Verwendung eines epitaktischen Prozesses wie etwa VPE, UHV-CVD, MBE und/oder anderer geeigneter Prozesse gebildet werden. Der epitaktische Wachstumsprozess kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung des Substrats 202 wie auch der Kanalschichten 208 wechselwirken. Das erste Source-Element 228S und das erste Drain-Element 228D werden dadurch an die Kanalschichten gekoppelt. Bei einigen Ausführungsformen können das erste Source-Element 228S und das erste Drain-Element 228D n-Source/Drain-Elemente sein. Beispielhafte n-Source/Drain-Elemente können Si, GaAs, GaAsP, SiP oder ein anderes geeignetes Material aufweisen und können während des epitaktischen Prozesses durch Einbringen eines n-Dotierstoffs wie etwa Phosphor (P), Arsen (As) in situ dotiert werden oder unter Verwendung eines Implantationsprozesses (z.B. eines Übergangsimplantationsprozesses) ex situ dotiert werden. Bei einer Ausführungsform weisen das erste Source-Element 228S und das erste Drain-Element 228D phosphordotiertes Silizium (Si:P) auf.
  • Unter Bezugnahme auf 1, 9, 10 und 11 umfasst das Verfahren 100 einen Block 114, bei dem der erste Dummy-Gate-Stapel 214 durch eine erste Gate-Struktur 240 ersetzt wird. Die Tätigkeiten bei Block 114 umfassen ein Abscheiden einer ersten Kontaktätzstoppschicht (contact etch stop layer, CESL) 230 (in 9 gezeigt), ein Abscheiden einer ersten dielektrischen Zwischenschicht (interlayer dielectric layer, ILD-Schicht) 232 (in 9 gezeigt), ein Beseitigen des ersten Dummy-Gate-Stapels 214 (in 10 gezeigt), ein selektives Beseitigen der Opferschicht 206, um die Kanalschichten 208 als Kanalelemente 2080 (in 10 gezeigt) freizugeben, ein Bilden der ersten Gate-Struktur 240 (in 10 gezeigt) und ein Planarisieren des Werkstücks 200, um überschüssiges Material zu beseitigen. Die erste CESL 230 kann Siliziumnitrid, Siliziumoxinitrid und/oder andere in der Technik bekannte Materialien aufweisen und kann durch eine ALD, einen plasmaunterstützten chemischen Abscheidungsprozess aus der Dampfphase (plasma-enhanced chemical vapor deposition, PECVD) und/oder andere geeignete Abscheidungs- oder Oxidationsprozesse gebildet werden. Wie in 9 gezeigt kann die erste CESL 230 auf oberen Flächen des ersten Source-Elements 228S und des ersten Drain-Elements 228D abgeschieden werden. Danach wird die erste ILD-Schicht 232 über der ersten CESL 230 abgeschieden. Die erste ILD-Schicht 232 kann Materialien wie etwa Tetramethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid wie etwa Borphosphosilikatglas (BPSG), geschmolzenes Quarzglas (fused silica glass, FSG), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Die erste ILD-Schicht 232 kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidetechnik abgeschieden werden. Bei einigen Ausführungsformen kann das Werkstück 200 nach der Bildung der ersten ILD-Schicht 232 getempert werden, um die Integrität der ersten ILD-Schicht 232 zu verbessern. Um überschüssige Materialien zu beseitigen und obere Flächen des ersten Dummy-Gate-Stapels 214 freizulegen, kann ein Planarisierungsprozess wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess) durchgeführt werden.
  • Bei einigen Ausführungen weist jedes der Kanalelemente 2080 eine Breite (entlang der X-Richtung) auf, die größer als seine Dicke (entlang der Z-Richtung) ist, und kann als Nanoblatt bezeichnet werden. Bei einigen Ausführungsformen kann die Breite eines Kanalelements 2080 zwischen etwa 8 nm und etwa 60 nm liegen und die Dicke eines Kanalelements 2080 zwischen etwa 3 nm und etwa 9 nm liegen. Was jedes Kanalelement 2080 betrifft, sind die Hauptflächen die obere Fläche und die untere Fläche. Wenn das erste Substrat 202 aus Silizium gebildet ist und eine obere Fläche an der (100)-Fläche aufweist, befinden sich auch die Hauptflächen der Kanalelemente 2080 an der (100)-Fläche, was für eine hervorragende Elektronenmobilität zu anderen Flächen sorgt.
  • Nun wird auf 10 Bezug genommen. Wenn der erste Dummy-Gate-Stapel 214 freigelegt ist, geht der Block 114 zu der Beseitigung des ersten Dummy-Gate-Stapels 214 über. Die Beseitigung des ersten Dummy-Gate-Stapels 214 kann einen oder mehrere Ätzprozesse umfassen, die für das Material in dem ersten Dummy-Gate-Stapel 214 selektiv sind. Zum Beispiel kann die Beseitigung des ersten Dummy-Gate-Stapels 214 unter Verwendung eines selektiven Nassätzens, eines selektiven Trockenätzens oder einer Kombination davon durchgeführt werden. Nach der Beseitigung des ersten Dummy-Gate-Stapels 214 sind die Seitenwände der Kanalschichten 208 und der Opferschichten 206 in dem ersten Kanalbereich 210C freigelegt. Danach werden die Opferschichten 206 in dem ersten Kanalbereich 210C selektiv beseitigt, um die Kanalschichten 208 als die Kanalelemente 2080 freizugeben. Da die Abmessungen der Kanalelemente 2080 im Nanometerbereich liegen, können die Kanalelemente hier auch als Nanostrukturen bezeichnet werden. Die selektive Beseitigung der Opferschichten 206 kann durch ein selektives Trockenätzen, ein selektives Nassätzen oder andere selektive Ätzprozesse ausgeführt werden. Bei einigen Ausführungsformen umfasst das selektive Nassätzen ein AMP-Ätzen (z.B. ein Ammoniumhydroxid-Wasserstoffperoxid-Wasser-Gemisch). Bei einigen Ausführungsform umfasst die selektive Beseitigung eine SiGe-Oxidation gefolgt von einer Siliziumgermaniumoxidbeseitigung. Zum Beispiel kann die Oxidation durch eine Ozonreinigung bereitgestellt werden und dann das Siliziumgermaniumoxid durch ein Ätzmittel wie etwa NH4OH beseitigt werden.
  • Nun wird auf 11 Bezug genommen. Wenn die Kanalelemente 2080 freigegeben sind, wird die erste Gate-Struktur 240 so abgeschieden, dass sie jedes der Kanalelemente 2080 in dem ersten Kanalbereich 210C umwickelt. Die erste Gate-Struktur 240 weist eine erste Gate-Dielektrikumsschicht 236 und eine erste Gate-Elektrodenschicht 238 auf. Die erste Gate-Dielektrikumsschicht 236 kann eine Grenzflächenschicht und eine dielektrische Schicht mit einem hohen k-Wert aufweisen. Hier bezieht sich eine dielektrische Schicht mit einem hohen k-Wert auf eine Schicht, die aus einem dielektrischen Material gebildet ist, das eine höhere Dielektrizitätskonstante als Siliziumdioxid, die bei etwa 3,9 liegt, aufweist. Bei einigen Ausführungsformen weist die Grenzflächenschicht Siliziumoxid auf und kann sie in einem Vorreinigungsprozess gebildet werden. Ein beispielhafter Vorreinigungsprozess kann eine Verwendung von RCA SC-1 (Ammoniak, Wasserstoffperoxid und Wasser) und/oder von RCA SC-2 (Salzsäure, Wasserstoffperoxid und Wasser) umfassen. Die dielektrische Schicht mit einem hohen k-Wert wird dann unter Verwendung einer ALD, einer CVD und/oder anderer geeigneter Verfahren über der Grenzflächenschicht abgeschieden. Die dielektrische Schicht mit einem hohen k-Wert kann Hafniumoxid aufweisen. Alternativ kann die dielektrische Schicht mit einem hohen k-Wert andere Dielektrika mit einem hohen k-Wert wie etwa Titanoxid (TiO2), Hafniumzirconiumoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsiliziumoxid (HfSi04), Zirconiumoxid (ZrO2) Zirconiumsiliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafniumlanthanoxid (HfLaO), Lanthansiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), (Ba2Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxinitrid (SiON), Kombinationen davon oder ein anderes geeignetes Material aufweisen.
  • Unter weiterer Bezugnahme auf 11 wird dann die erste Gate-Elektrodenschicht 238 unter Verwendung einer ALD, einer PVD, einer CVD, einer E-Strahl-Verdampfung oder anderer geeigneter Verfahren über der ersten Gate-Dielektrikumsschicht 236 abgeschieden. Die erste Gate-Elektrodenschicht 238 kann eine Einzelschicht oder alternativ eine mehrschichtige Struktur wie etwa verschiedene Kombinationen aus einer Metallschicht mit einer gewählten Austrittsarbeit, um die Vorrichtungsleistungsfähigkeit zu steigern (Austrittsarbeits-Metallschicht), einer Verkleidungsschicht, einer Benetzungsschicht, einer Haftschicht, einer Metalllegierung oder einem Metallsilizid aufweisen. Beispielsweise kann die erste Gate-Elektrodenschicht 238 Titannitrid (TiN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Tantalnitrid (TaN), Tantalaluminium (TaAl), Tantalaluminiumnitrid (TaAlN), Tantalaluminiumcarbid (TaAlC), Tantalcarbonitrid (TaCN), Aluminium (AI), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt), Tantalcarbid (TaC), Tantalsiliziumnitrid (TaSiN), Kupfer (Cu), andere Refraktärmetalle oder andere geeignete Metallmaterialien oder eine Kombination davon aufweisen.
  • Unter Bezugnahme auf 1, 12 und 13 umfasst das Verfahren 100 einen Block 116, bei dem ein unterer Drain-Kontakt 244 gebildet wird. Bei einem beispielhaften Prozess, der in 12 gezeigt ist, werden Lithographieprozesse verwendet, um eine Kontaktöffnung zu bilden, die das erste Drain-Element 228D freilegt. Um den Kontaktwiderstand zu verringern, kann auf dem ersten Drain-Element 228D eine Silizidschicht 242 gebildet werden, indem eine Metallschicht über dem ersten Drain-Element 228D abgeschieden wird und ein Temperprozess vorgenommen wird, um zwischen der Metallschicht und dem ersten Drain-Element 228D eine Silizidierung herbeizuführen. Eine geeignete Metallschicht kann Titan (Ti), Tantal (Ta), Nickel (Ni), Kobalt (Co) oder Wolfram (W) aufweisen. Die Silizidschicht 242 kann Titansilizid (TiSi), Titansiliziumnitrid (TiSiN), Tantalsilizid (TaSi), Wolframsilizid (WSi), Kobaltsilizid (CoSi) oder Nickelsilizid (NiSi) aufweisen. Nach der Bildung der Silizidschicht 242 kann eine Metallfüllschicht in die Kontaktöffnung abgeschieden werden. Die Metallfüllschicht kann Titannitrid (TiN), Titan (Ti), Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Kupfer (Cu), Molybdän (Mo), Wolfram (W), Tantal (Ta) oder Tantalnitrid (TaN) aufweisen. Ein Planarisierungsprozess kann folgen, um überschüssige Materialien zu beseitigen, wodurch der untere Drain-Kontakt 244 gebildet wird. Aufgrund des Planarisierungsprozesses sind die oberen Flächen des unteren Drain-Kontakts 244, der ersten CESL 230 und der ersten ILD-Schicht 232 koplanar.
  • Bei einigen Ausführungsformen, die in 13 dargestellt sind, ragt der untere Drain-Kontakt 244 entlang der X-Richtung über das erste Drain-Element 228D hinaus. Bei diesen Ausführungsformen weist der untere Drain-Kontakt 244 einen ersten Überhangabschnitt 2440 auf, der um etwa 2 nm und etwa 20 nm über das erste Drain-Element 228D hinaus ragt. Das heißt, der erste Überhangabschnitt 2440 ist weder direkt noch durch die Silizidschicht 242 indirekt auf dem ersten Drain-Element 228D angeordnet.
  • Die Operationen bei Block 116 sind optional und können zur Gänze weggelassen werden. Wie nachstehend beschrieben werden wird, kann es bei einigen Ausführungsformen, bei denen sich ein leitendes Element in das erste Drain-Element 228D erstreckt, um das erste Drain-Element 228D mit einem anderen Drain-Element darüber zu koppeln, sein, dass der untere Drain-Kontakt 244 nicht benötigt wird und weggelassen werden kann.
  • Unter Bezugnahme auf 1, 14 und 15 umfasst das Verfahren 100 einen Block 118, bei dem eine epitaktische Schicht 250 an das Werkstück 200 gebunden wird. Die Tätigkeiten bei Block 118 umfassen das Abscheiden einer ersten Passivierungsschicht 246 über der ersten Gate-Struktur 240 (in 14 gezeigt), das Bereitstellen der epitaktischen Schicht 250 auf einem zweiten Substrat 251 (in 15 gezeigt), das Abscheiden einer zweiten Passivierungsschicht 248 über der epitaktischen Schicht 250 (in 15 gezeigt) und das Binden der zweiten Passivierungsschicht 248 an die erste Passivierungsschicht 246 (in 15 gezeigt). Unter Bezugnahme auf 14 wird bei Block 118 die erste Passivierungsschicht 246 deckend über dem Werkstück 200 abgeschieden. Bei einer Ausführungsform weist die erste Passivierungsschicht 246 Siliziumoxid auf. Bei einigen alternativen Ausführungsformen kann die erste Passivierungsschicht 246 Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxicarbonitrid, Aluminiumoxid oder Hafniumoxid aufweisen. Wie in 14 gezeigt ist, kann die erste Passivierungsschicht 246 auf der ersten CESL 230, der ersten ILD-Schicht 232, der ersten Gate-Struktur 240 und der ersten Gate-Abstandshalterschicht 220 abgeschieden werden. Unter Bezugnahme auf 15 kann das zweite Substrat 251 dem ersten Substrat 202 gleich sein, weshalb der Kürze halber auf seine ausführliche Beschreibung verzichtet wird. Bei einer Ausführungsform ist sowohl das erste Substrat 202 als auch das zweite Substrat 251 ein Siliziumsubstrat mit einer oberen Fläche auf der (100)-Kristallfläche. Die epitaktische Schicht 250 wird unter Verwendung der Dampfphasenepitaxie (vapor phase epitaxy, VPE), der chemischen Abscheidung aus der Dampfphase mit ultrahohem Vakuum (ultra-high vacuum chemical vapor deposition, UHV-CVD), der Molekularstrahlepitaxie (molecular beam epitaxy, MBE) und/oder anderer geeigneter Prozesse epitaktisch auf dem zweiten Substrat 251 abgeschieden. Die epitaktische Schicht 250 wird aus einem Halbleitermaterial gebildet, das dazu geeignet ist, als Kanäle von p-Vorrichtungen zu dienen. Bei einer Ausführungsform kann die epitaktische Schicht 250 Siliziumgermanium (SiGe) mit einem Germaniumgehalt zwischen etwa 15 % und etwa 60 % aufweisen. Bei einigen alternativen Ausführungsformen kann die epitaktische Schicht 250 Germanium (Ge), Siliziumgermanium (SiGe) oder ein zweidimensionales Material (2D-Material) wie etwa Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) aufweisen. Dann wird die zweite Passivierungsschicht 248 auf der epitaktischen Schicht 250 abgeschieden. Bei einer Ausführungsform weist die zweite Passivierungsschicht 248 Siliziumoxid auf. Bei einigen alternativen Ausführungsformen kann die zweite Passivierungsschicht 248 Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxicarbonitrid, Aluminiumoxid oder Hafniumoxid aufweisen.
  • Die epitaktische Schicht 250 wird durch ein direktes Binden oder ein Schmelzbinden zwischen der ersten Passivierungsschicht 246 und der zweiten Passivierungsschicht 248 an das Werkstück 200 gebunden. Bei einem beispielhaften direkten Bindeprozess wird sowohl die erste Passivierungsschicht 246 als auch die zweite Passivierungsschicht 248 unter Verwendung von RCA SC-1 (Ammoniak, Wasserstoffperoxid und Wasser) und/oder RCA SC-2 (Salzsäure, Wasserstoffperoxid und Wasser) gereinigt. Die gereinigte ersten Passivierungsschicht 246 und die gereinigte zweite Passivierungsschicht 248 werden dann bei Raumtemperatur zusammengefügt und aneinander gepresst. Das direkte Binden kann durch einen Temperprozess verstärkt werden. Obwohl in 15 keine ausdrückliche Darstellung vorgenommen wurde, wird das zweite Substrat 251 beseitigt, nachdem die erste Passivierungsschicht 246 und die zweite Passivierungsschicht 248 aneinander gebunden wurden, um die epitaktische Schicht 250 an der oberen Fläche freizulegen. An diesem Punkt werden die epitaktische Schicht 250 und die zweite Passivierungsschicht 248 Teil des Werkstücks 200.
  • Unter Bezugnahme auf 1 und 16 umfasst das Verfahren 100 einen Block 120, bei dem aus der epitaktischen Schicht 250 ein Finnenelement 252 gebildet wird. Wenn das zweite Substrat 251 beseitigt ist, wird die epitaktische Schicht 250 strukturiert, um ein oder mehr Finnenelemente 252 zu bilden. Bei einigen Ausführungsformen, bei denen die Halbleitervorrichtung 200 Doppel-Finnen-Transistoren aufweist, werden zwei Finnenelemente 252 direkt über dem vertikalen Stapel der Kanalelemente 2080 gebildet, wie in 16 gezeigt ist. Es sind andere Gestaltungen möglich. Zum Zweck der Strukturierung kann über der epitaktischen Schicht 250 eine Hartmaskenschicht abgeschieden werden. Die Hartmaskenschicht kann eine Einzelschicht oder eine Mehrfachschicht sein. Bei einem Beispiel weist die Hartmaskenschicht eine Siliziumoxidschicht und eine Siliziumnitridschicht über der Siliziumoxidschicht auf. Wie in 16 gezeigt ist, erstreckt sich das Finnenelement 252 vertikal entlang der Z-Richtung von der zweiten Passivierungsschicht 248 und erstreckt es sich längsgerichtet entlang der Y-Richtung. Das Finnenelement 252 kann unter Verwendung geeigneter Prozesse einschließlich von Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- und Mehrfachstrukturierungsprozesse Photolithographie- und selbstausrichtende Prozesse, was gestattet, dass Strukturen erzeugt werden, die zum Beispiel kleinere Abstände aufweisen, als ansonsten unter Verwendung eines einzelnen direkten Photolithographieprozesses erhalten werden können. Zum Beispiel wird bei einer Ausführungsform eine Materialschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Entlang der strukturierten Materialschicht werden Abstandshalter unter Verwendung eines selbstausrichtenden Prozesses gebildet. Dann wird die Materialschicht beseitigt und können die verbliebenen Abstandshalter oder Dorne verwendet werden, um das Finnenelement 252 durch Ätzen der epitaktischen Schicht 250 zu strukturieren. Der Ätzprozess kann ein Trockenätzen, ein Nassätzen, ein reaktives Ionenätzen (reactive ion etching, RIE) und/oder andere geeignete Prozesse umfassen.
  • Bei einigen Ausführungen weist das Finnenelement 252 eine Höhe (entlang der Z-Richtung) auf, die größer als seine Breite (entlang der Y-Richtung) ist. Bei einigen Ausführungsformen kann die Höhe des Finnenelements 252 zwischen etwa 10 nm und etwa 70 nm betragen und kann die Breite des Finnenelements 252 zwischen etwa 3 nm und etwa 12 nm betragen. Wenn die Halbleitervorrichtung 200 mehrere Finnenelemente 252 aufweist, weisen die Finnenelemente 252 einen Finnenabstand zwischen etwa 10 nm und etwa 50 nm auf. Im Hinblick auf jedes Finnenelement 252 sind die Hauptflächen die Seitenwände. Wenn das zweite Substrat 251 aus Silizium gebildet ist und eine obere Fläche an der (100)-Fläche aufweist, befinden sich die Hauptflächen des Finnenelements an der (110)-Fläche, was für eine hervorragende Defektelektronenmobilität zu anderen Flächen sorgt.
  • Unter Bezugnahme auf 1, 16 und 17 umfasst das Verfahren 100 einen Block 122, bei dem ein zweiter Dummy-Gate-Stapel 258 über einem Kanalbereich des Finnenelements 252 abgeschieden wird. Bei einigen Ausführungsformen, bei denen ein Gate-Austauschprozess (oder ein Gate-last-Prozess) eingesetzt wird, dient der über dem Finnenelement 252 gebildete zweite Dummy-Gate-Stapel 258 als Platzhalter für eine funktionelle Gate-Struktur. Es sind andere Prozesse und Gestaltungen möglich. Zum Bilden des zweiten Dummy-Gate-Stapels 258 werden eine Dummy-Dielektrikumsschicht 254, eine Dummy-Gate-Elektrodenschicht 256 und eine Gateoberseiten-Hartmaskenschicht (nicht gezeigt) über dem Werkstück 200 einschließlich über dem Finnenelement 252 abgeschieden. Das Abscheiden dieser Schichten kann die Verwendung einer Niederdruck-CVD (low pressure CVD, LPVD), einer CVD, einer plasmaunterstützten CVD (plasma-enhanced CVD, PECVD), einer PVD, einer ALD, einer thermischen Oxidation, einer E-Strahl-Verdampfung oder anderer geeigneter Abscheidungstechniken oder Kombinationen davon umfassen. Die Dummy-Dielektrikumsschicht 254 kann Siliziumoxid aufweisen, die Dummy-Gate-Elektrodenschicht 256 kann Polysilizium aufweisen, und die Gateoberseiten-Hartmaskenschicht kann eine Mehrfachschicht sein, die Siliziumoxid und Siliziumnitrid aufweist. Die Gateoberseiten-Hartmaskenschicht wird unter Verwendung eines Photolithogaphie- und eines Ätzprozesses strukturiert. Der Photolithographieprozess kann eine Beschichtung mit einem Photoresist (z.B. eine Aufschleuderbeschichtung), ein Weichbrennen, ein Maskenausrichten, eine Belichtung, ein Brennen nach der Belichtung, ein Entwickeln des Photoresists, ein Spülen, ein Trocknen (z.B. ein Schleudertrocknen und/oder ein Hartbrennen), andere geeignete Lithographietechniken und/oder Kombinationen davon umfassen. Der Ätzprozess kann ein Trockenätzen (z.B. ein RIE-Ätzen), ein Nassätzen und/oder andere Ätzverfahren umfassen. Danach werden die Dummy-Dielektrikumsschicht 254 und die Dummy-Gate-Elektrodenschicht 256 unter Verwendung der strukturierten Gateoberseiten-Hartmaskenschicht als Ätzmaske geätzt, um den zweiten Dummy-Gate-Stapel 258 zu bilden. Wie in 16 gezeigt ist, wickelt sich der zweite Dummy-Gate-Stapel 258 über das Finnenelement 252 und ist er auf der zweiten Passivierungsschicht 248 angeordnet. Unter Bezugnahme auf 17 ist der Teil des Finnenelements 252, der unter dem zweiten Dummy-Gate-Stapel 258 liegt, ein zweiter Kanalbereich 252C. Der zweite Kanalbereich 252C und der zweite Dummy-Gate-Stapel 258 definieren auch einen zweiten Source-Bereich 252S und einen zweiten Drain-Bereich 252D, die nicht vertikal von dem zweiten Dummy-Gate-Stapel 258 überlappt sind. Der zweite Kanalbereich 252C ist entlang der Y-Richtung zwischen dem zweiten Source-Bereich 252S und dem zweiten Drain-Bereich 252D angeordnet oder dazwischen eingefügt.
  • Wie in 17 stellvertretend dargestellt ist, können die Tätigkeiten bei Block 122 die Bildung einer zweiten Gate-Abstandshalterschicht 260 über Seitenwänden der zweiten Dummy-Gate-Stapels 258 umfassen. Bei einigen Ausführungsformen umfasst die Bildung der zweiten Gate-Abstandshalterschicht 260 ein formangepasstes Abscheiden einer oder mehrerer dielektrischer Schichten über dem Werkstück 200. Bei einem beispielhaften Prozess werden die eine oder die mehreren dielektrischen Schichten unter Verwendung einer CVD, einer SACVD oder einer ALD abgeschieden. Die eine oder die mehreren dielektrischen Schichten können Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxinitrid, Siliziumcarbonitrid, Siliziumoxicarbid, Siliziumoxicarbonitrid und/oder Kombinationen davon aufweisen.
  • Bei einigen Ausführungsformen, die in 17 dargestellt sind, befindet sich der zweite Kanalbereich 252C direkt über dem ersten Kanalbereich 210C, befindet sich der zweite Source-Bereich 252S direkt über dem ersten Source-Bereich 210S, und befindet sich der zweite Drain-Bereich 252D direkt über dem ersten Drain-Bereich 210D. Mit anderen Worten ist es möglich, dass entlang der Z-Richtung der zweite Kanalbereich 252C den ersten Kanalbereich 210C im Wesentlichen überlappt, der zweite Source-Bereich 252S den ersten Source-Bereich 210S im Wesentlichen überlappt, und der zweite Drain-Bereich 252D den ersten Drain-Bereich 210D im Wesentlichen überlappt.
  • Unter Bezugnahme auf 1 und 17 umfasst das Verfahren einen Block 124, bei dem die Source/Drain-Bereiche des Finnenelements 252 vertieft werden, um eine zweite Source-Vertiefung 262S und eine zweite Drain-Vertiefung 262D zu bilden. Bei einem beispielhaften Prozess wird das Werkstück 200 nach dem Abscheiden der zweiten Gate-Abstandshalterschicht 260 in einem Ätzprozess geätzt, welcher den zweiten Source-Bereich 252S und den zweiten Drain-Bereich 252D des Finnenelements 252 selektiv vertieft. Das selektive Vertiefen des zweiten Source-Bereichs 252S und des zweiten Drain-Bereichs 252D führt zu der zweiten Source-Vertiefung 262S und der zweiten Drain-Vertiefung 262D. Der Ätzprozess bei Block 124 kann ein Trockenätzprozess oder ein geeigneter Ätzprozess sein. Ein beispielhafter Trockenätzprozess kann ein sauerstoffhaltiges Gas, Wasserstoff, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon einsetzen. Wie in 17 gezeigt wird die zweite Passivierungsschicht 248 in dem zweiten Source-Bereich 252S und in dem zweiten Drain-Bereich 252D in der zweiten Source-Vertiefung 262S und der zweiten Drain-Vertiefung 262D freigelegt.
  • Unter Bezugnahme auf 1 und 18 umfasst das Verfahren einen Block 126, in dem ein zweites Source-Element 264S und ein zweites Drain-Element 264D gebildet werden. Bei einigen Ausführungsformen können das zweite Source-Element 264S und das zweite Drain-Element 264D unter Verwendung eines epitaktischen Prozesses wie etwa einer VPE, einer UHV-CVD, einer MBE und/oder anderer geeigneter Prozesse gebildet werden. Der epitaktische Wachstumsprozess kann gasförmige und/oder flüssige Vorläufer verwenden, die mit der Zusammensetzung des Finnenelements 252 wechselwirken. Das zweite Source-Element 264S und das zweite Drain-Element 264D werden dadurch an das Finnenelement 252 gekoppelt. Bei einigen Ausführungsformen können das zweite Source-Element 264S und das zweite Drain-Element 264D p-Source/Drain-Elemente sein. Beispielhafte p-Source/Drain-Elemente können Si, Ge, AlGaAs, SiGe oder ein anderes geeignetes Material aufweisen und können während des epitaktischen Prozesses durch Einbringen eines p-Dotierstoffs wie etwa Bor (B) in situ dotiert werden oder unter Verwendung eines Implantationsprozesses (z.B. eines Übergangsimplantationsprozesses) ex situ dotiert werden. Bei einer Ausführungsform weisen das zweite Source-Element 264S und das zweite Drain-Element 264D bordotiertes Siliziumgermanium (SiGe:B) auf.
  • Unter Bezugnahme auf 1, 19, 20, 21 und 22 umfasst das Verfahren 100 einen Block 128, bei dem der zweite Dummy-Gate-Stapel 258 durch eine zweite Gate-Struktur 274 ersetzt wird. Die Tätigkeiten bei Block 128 umfassen ein Abscheiden einer zweiten Kontaktätzstoppschicht (CESL) 266 (in 19 gezeigt), ein Abscheiden einer zweiten dielektrischen Zwischenschicht (ILD) 268 (in 19 gezeigt), ein Beseitigen des zweiten Dummy-Gate-Stapels 258 (in 20 gezeigt), ein Abscheiden einer zweiten Gate-Dielektrikumsschicht 270 (in 20) gezeigt, ein Freilegen der ersten Gate-Struktur 240 (in 21 gezeigt), ein Abscheiden einer zweiten Gate-Elektroden-Schicht 272 (in 22 gezeigt) und ein Planarisieren des Werkstücks 200, um überschüssiges Material zu beseitigen (in 22 gezeigt). Die zweite CESL 266 kann Siliziumnitrid, Siliziumoxinitrid und/oder andere in der Technik bekannte Materialien aufweisen und kann durch eine ALD, einen plasmaunterstützten chemischen Abscheidungsprozess aus der Dampfphase (PECVD) und/oder andere geeignete Abscheidungs- oder Oxidationsprozesse gebildet werden. Wie in 19 gezeigt kann die zweite CESL 266 auf oberen Flächen des zweiten Source-Elements 264S und des zweiten Drain-Elements 264D abgeschieden werden. Danach wird die zweite ILD-Schicht 268 über der zweiten CESL 266 abgeschieden. Wie die erste ILD-Schicht 232 kann die zweite ILD-Schicht 268 Materialien wie etwa Tetramethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid wie etwa Borphosphosilikatglas (BPSG), geschmolzenes Quarzglas (fused silica glass, FSG), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Die zweite ILD-Schicht 268 kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidetechnik abgeschieden werden. Bei einigen Ausführungsformen kann das Werkstück 200 nach der Bildung der zweiten ILD-Schicht 268 getempert werden, um die Integrität der zweiten ILD-Schicht 268 zu verbessern. Um überschüssige Materialien zu beseitigen und obere Flächen des zweiten Dummy-Gate-Stapels 258 freizulegen, kann ein Planarisierungsprozess wie etwa ein chemischmechanischer Polierprozess (CMP-Prozess) durchgeführt werden.
  • Nun wird auf 20 Bezug genommen. Wenn der zweite Dummy-Gate-Stapel 258 freigelegt ist, geht der Block 128 zu der Beseitigung des zweiten Dummy-Gate-Stapels 258 über. Die Beseitigung des zweiten Dummy-Gate-Stapels 258 kann einen oder mehrere Ätzprozesse umfassen, die für das Material in dem zweiten Dummy-Gate-Stapel 258 selektiv sind. Zum Beispiel kann die Beseitigung des zweiten Dummy-Gate-Stapels 258 unter Verwendung eines selektiven Nassätzens, eines selektiven Trockenätzens oder einer Kombination davon durchgeführt werden. Nach der Beseitigung des zweiten Dummy-Gate-Stapels 258 wird die zweite Gate-Dielektrikumsschicht 270 über dem zweiten Kanalbereich 252C des Finnenelements 252 abgeschieden. Die zweite Gate-Dielektrikumsschicht 270 kann eine Grenzflächenschicht und eine dielektrische Schicht mit einem hohen k-Wert aufweisen. Bei einigen Ausführungsformen weist die Grenzflächenschicht Siliziumoxid auf und kann sie in einem Vorreinigungsprozess gebildet werden. Ein beispielhafter Vorreinigungsprozess kann eine Verwendung von RCA SC-1 (Ammoniak, Wasserstoffperoxid und Wasser) und/oder von RCA SC-2 (Salzsäure, Wasserstoffperoxid und Wasser) umfassen. Die dielektrische Schicht mit einem hohen k-Wert wird dann unter Verwendung einer ALD, einer CVD und/oder anderer geeigneter Verfahren über der Grenzflächenschicht abgeschieden. Die dielektrische Schicht mit einem hohen k-Wert kann Hafniumoxid aufweisen. Alternativ kann die dielektrische Schicht mit einem hohen k-Wert andere Dielektrika mit einem hohen k-Wert wie etwa Titanoxid (TiO2), Hafniumzirconiumoxid (HfZrO), Tantaloxid (Ta2O3), Hafniumsiliziumoxid (HfSiO4), Zirconiumoxid (ZrO2) Zirconiumsiliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirconiumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafniumlanthanoxid (HfLaO), Lanthansiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), (Ba2Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxinitrid (SiON), Kombinationen davon oder ein anderes geeignetes Material aufweisen.
  • Unter Bezugnahme auf 21 wird das Werkstück 200 nach dem Abscheiden der zweiten Gate-Dielektrikumsschicht 270 einem anisotropen Ätzprozess unterzogen, um die zweite Passivierungsschicht 248 und die erste Passivierungsschicht 246, die nicht durch das Finnenelement 252 überlappt sind, zu beseitigen, bis die erste Gate-Struktur 240 zwischen den Finnenelementen 252 freigelegt ist. Wie in 21 gezeigt können die Teile der zweiten Passivierungsschicht 248 und der ersten Passivierungsschicht 246 unter den Finnenelementen 252 im Wesentlichen ungeätzt sein und bestehen bleiben. Ein Teil der zweiten Gate-Dielektrikumsschicht 270 ist auf der verbliebenen zweiten Passivierungsschicht 248 angeordnet. Danach wird wie in 22 dargestellt die zweite Gate-Elektrodenschicht 272 unter Verwendung einer ALD, einer PVD, einer CVD, einer E-Strahl-Verdampfung oder anderer geeigneter Verfahren über der zweiten Gate-Dielektrikumsschicht 270, der ersten Gate-Struktur 240 und den Finnenelementen 252 abgeschieden. Die zweite Gate-Elektrodenschicht 272 kann eine Einzelschicht oder alternativ eine mehrschichtige Struktur wie etwa verschiedene Kombinationen aus einer Metallschicht mit einer gewählten Austrittsarbeit, um die Vorrichtungsleistungsfähigkeit zu steigern (Austrittsarbeits-Metallschicht), einer Verkleidungsschicht, einer Benetzungsschicht, einer Haftschicht, einer Metalllegierung oder einem Metallsilizid aufweisen. Beispielsweise kann die zweite Gate-Elektrodenschicht 272 Titannitrid (TiN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Tantalnitrid (TaN), Tantalaluminium (TaAl), Tantalaluminiumnitrid (TaAlN), Tantalaluminiumcarbid (TaAlC), Tantalcarbonitrid (TaCN), Aluminium (AI), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt), Tantalcarbid (TaC), Tantalsiliziumnitrid (TaSiN), Kupfer (Cu), andere Refraktärmetalle oder andere geeignete Metallmaterialien oder eine Kombination davon aufweisen. Wie in 22 gezeigt ist, bilden die zweite Gate-Dielektrikumsschicht 270 und die zweite-Gate-Elektrodenschicht 272 gemeinsam die zweite Gate-Struktur 274. Da die zweite Gate-Elektrodenschicht 272 in einen direkten Kontakt mit der ersten Gate-Elektrodenschicht 238 gelangt, koppelt die Tätigkeiten bei Block 128 die zweite Gate-Struktur 274 elektrisch mit der ersten Gate-Struktur 240.
  • Unter Bezugnahme auf 1, 23 bis 25, 32, 33, 38 und 39 umfasst das Verfahren 100 einen Block 130, bei dem ein oberer Source-Kontakt 280 und ein oberer Drain-Kontakt 282 gebildet werden. Bei einem beispielhaften Prozess, der in 23 gezeigt ist, werden Lithographieprozesse verwendet, um Kontaktöffnungen zu bilden, die das zweite Source-Element 264S und das zweite Drain-Element 264D freilegen. Um den Kontaktwiderstand zu verringern, kann auf dem zweiten Source-Element 264S und dem zweiten Drain-Element 264D eine Silizidschicht 281 gebildet werden, indem eine Metallschicht über dem zweiten Source-Element 264S und dem zweiten Drain-Element 264D abgeschieden wird und ein Temperprozess vorgenommen wird, um zwischen der Metallschicht und dem zweiten Source-Element 264S und dem zweiten Drain-Element 264D eine Silizidierung herbeizuführen. Eine geeignete Metallschicht kann Titan (Ti), Tantal (Ta), Nickel (Ni), Kobalt (Co) oder Wolfram (W) aufweisen. Die Silizidschicht 281 kann Titansilizid (TiSi), Titansiliziumnitrid (TiSiN), Tantalsilizid (TaSi), Wolframsilizid (WSi), Kobaltsilizid (CoSi) oder Nickelsilizid (NiSi) aufweisen. Nach der Bildung der Silizidschicht 281 kann eine Metallfüllschicht in die Kontaktöffnung abgeschieden werden. Die Metallfüllschicht kann Titannitrid (TiN), Titan (Ti), Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Kupfer (Cu), Molybdän (Mo), Wolfram (W), Tantal (Ta) oder Tantalnitrid (TaN) aufweisen. Ein Planarisierungsprozess kann folgen, um überschüssige Materialien zu beseitigen, wodurch der obere Source-Kontakt 280 über dem zweiten Source-Element 264S und der obere Drain-Kontakt 282 über dem zweiten Drain-Element 264D gebildet wird. Aufgrund des Planarisierungsprozesses sind die oberen Flächen des oberen Source-Kontakts 280, des oberen Drain-Kontakts 282, der zweiten CESL 266 und der zweiten ILD-Schicht 268 koplanar.
  • Bei einigen Ausführungsformen, die in 24 dargestellt sind, ist der obere Source-Kontakt 280 über dem zweiten Source-Element 264S angeordnet und ragt er nicht über das zweite Source-Element 264S hinaus. Im Gegensatz ragt wie in 25 gezeigt der obere Drain-Kontakt 282 entlang der X-Richtung über das erste Drain-Element 228D hinaus. Der obere Drain-Kontakt 282 weist einen zweiten Überhangabschnitt 2820, der um etwa 2 nm und etwa 20 nm über das zweite Drain-Element 264D hinaus ragt. Bei einigen Ausführungsformen ist der zweite Überhangabschnitt 2820 direkt über dem ersten Überhangabschnitt angeordnet, und gestattet diese Gestaltung, dass ein erstes leitendes Element 284 gebildet wird, um den unteren Drain-Kontakt 244 und den oberen Drain-Kontakt 282 zu koppeln. Bei einigen Ausführungsformen wird nach der Bildung der Kontaktöffnung über dem zweiten Drain-Element 264D eine Durchkontaktierungsöffnung durch die zweite ILD-Schicht 268, die zweite Passivierungsschicht 248 und die erste Passivierungsschicht 246 gebildet, um den unteren Drain-Kontakt 244 freizulegen. Vor dem Abscheiden der Metallfüllschicht in die Kontaktöffnung kann das erste leitende Merkmal 284 gemäß einem Bottom-Up-Verfahren abgeschieden werden Ein beispielhaftes Bottom-Up-Abscheiden kann die Verwendung von metallorganischen Vorläufern, die vorzugsweise auf Metalloberflächen abgeschieden werden, umfassen. Wie in 25 gezeigt ist, kann sich das leitende Merkmal 284 neben einer Seitenwand des zweiten Drain-Elements 264D erstrecken. Das erste leitende Merkmal 284 kann mit dem zweiten Drain-Element 264D in Kontakt gelangen, durchdringt aber das zweite Drain-Element 264D vertikal nicht.
  • Bei einigen alternativen Ausführungsformen, die in 32 und 33 dargestellt sind, ragt ein alternativer unterer Drain-Kontakt 244' nicht über das erste Drain-Element 228D hinaus und ragt ein alternativer oberer Drain-Kontakt 282' nicht über das zweite Drain-Element 264D hinaus. Die Kontaktöffnung für den alternativen oberen Drain-Kontakt 282' weist ferner eine erste Durchkontaktierungskontaktöffnung 302 auf, die sich durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248 und die erste Passivierungsschicht 246 erstreckt, um den alternativen unteren Drain-Kontakt 244' freizulegen. Wenn bei diesen alternativen Ausführungsformen die Metallfüllschicht in die Kontaktöffnung und die erste Durchkontaktierungskontaktöffnung 302 abgeschieden wird, wird zusammen mit dem oberen Drain-Kontakt 280 ein zweites leitendes Element 306 gebildet. Bei einigen Ausführungsformen kann zwischen dem oberen Drain-Kontakt 280 und den zweiten-Drain-Element 264D wie auch zwischen dem zweiten leitenden Merkmal 306 und dem zweiten Drain-Element 264D eine Silizidschicht 304 abgeschieden werden. Die Zusammensetzung und die Bildung der Silizidschicht 304 können jenen der Silizidschicht 242 gleich sein. Die Silizidschicht 304 wird nicht zwischen dem zweiten leitenden Merkmal 306 und der zweiten Passivierungsschicht 248 oder zwischen dem zweiten leitenden Merkmal 306 und der ersten Passivierungsschicht 246 gebildet. Das zweite leitende Merkmal 306 erstreckt sich vertikal durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248 und die erste Passivierungsschicht 246.
  • Bei einigen alternativen Ausführungsformen, die in 32 und 33 dargestellt sind, ragt ein alternativer unterer Drain-Kontakt 244' nicht über das erste Drain-Element 228D hinaus und ragt ein alternativer oberer Drain-Kontakt 282' nicht über das zweite Drain-Element 264D hinaus. Die Kontaktöffnung für den alternativen oberen Drain-Kontakt 282' weist ferner eine erste Durchkontaktierungskontaktöffnung 302 auf, die sich durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248, und die erste Passivierungsschicht 246 erstreckt, um den alternativen unteren Drain-Kontakt 244' freizulegen. Wenn bei diesen alternativen Ausführungsformen die Metallfüllschicht in die Kontaktöffnung und die erste Durchkontaktierungskontaktöffnung 302 abgeschieden wird, wird zusammen mit dem alternativen oberen Drain-Kontakt 282' ein zweites leitendes Element 306 gebildet. Bei einigen Ausführungsformen kann zwischen dem alternativen oberen Drain-Kontakt 282' und dem zweiten Drain-Element 264D wie auch zwischen dem zweiten leitenden Merkmal 306 und dem zweiten Drain-Element 264D eine Silizidschicht 304 angeordnet werden. Die Zusammensetzung und die Bildung der Silizidschicht 304 können jenen der Silizidschicht 242 gleich sein. Die Silizidschicht 304 wird nicht zwischen dem zweiten leitenden Element 306 und der zweiten Passivierungsschicht 248 oder zwischen dem zweiten leitenden Element 306 und der ersten Passivierungsschicht 246 gebildet. Das zweite leitende Merkmal 306 erstreckt sich vertikal durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248 und die erste Passivierungsschicht 246.
  • Bei einigen weiteren alternativen Ausführungsformen, die in 38 und 39 gezeigt sind, wird auf den unteren Drain-Kontakt 244 verzichtet und ragt der alternative obere Drain-Kontakt 282' nicht über das zweite Drain-Element 264D hinaus. Statt dessen weist die Kontaktöffnung für den alternativen oberen Drain-Kontakt 282' ferner eine zweite Durchkontaktierungskontaktöffnung 332 auf, die sich durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248, die erste Passivierungsschicht 246, die erste ILD-Schicht 232, die erste CESL 230 und das erste Drain-Element 228D erstreckt. Wenn bei diesen alternativen Ausführungsformen die Metallfüllschicht in die Kontaktöffnung und die zweite Durchkontaktierungskontaktöffnung 332 abgeschieden wird, wird zusammen mit dem alternativen oberen Drain-Kontakt 282' ein drittes leitendes Element 336 gebildet. Bei einigen Ausführungsformen kann zwischen dem alternativen oberen Drain-Kontakt 282' und dem zweiten Drain-Element 264D wie auch zwischen dem dritten leitenden Merkmal 336 und dem zweiten Drain-Element 264D eine Silizidschicht 334 angeordnet werden. Zudem wird zwischen dem dritten leitenden Merkmal 336 und dem dritten Drain-Element 228D eine Silizidschicht 338 gebildet. Die Zusammensetzung und die Bildung der Silizidschichten 334 und 338 können jenen der Silizidschicht 242 gleich sein. Das dritte leitende Merkmal 336 erstreckt sich vertikal durch das zweite Drain-Element 264D, die zweite Passivierungsschicht 248, die erste Passivierungsschicht 246, die erste ILD-Schicht 232 und die erste CESL 230. Das dritte leitende Merkmal 336 kann mit dem ersten Substrat 202 in Kontakt gelangen oder auch nicht.
  • Nach der Bildung des oberen Source-Kontakts 280 und des oberen Drain-Kontakts 282 (oder des alternativen oberen Drain-Kontakts 282') kann über dem Werkstück 200 eine Interconnect-Struktur 275 gebildet werden. Obwohl in den Figuren keine ausdrückliche Darstellung vorgenommen wurde, kann die Interconnect-Struktur 275 mehrere Interconnect-Schichten aufweisen, die jeweils Leiterbahnen und leitende Durchkontaktierungen, die in eine dielektrische Schicht eingebettet sind, aufweisen. Die dielektrische Schicht kann als Intermetallschicht (IMD) bezeichnet werden und kann Materialien wie etwa Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid wie etwa Borphosphosilikatglas (BPSG), geschmolzenes Quarzglas (fused silica glass, FSG), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Unter Bezugnahme auf 23, 24 und 25 wird auf der Interconnect-Struktur 275 eine dritte Passivierungsschicht 276 abgeschieden, um das Binden des Werkstücks 200 an weitere Strukturen zu erleichtern. Bei einer Ausführungsform weist die dritte Passivierungsschicht 276 Siliziumoxid auf. Bei einigen alternativen Ausführungsformen kann die dritten Passivierungsschicht 276 Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxicarbonitrid, Aluminiumoxid oder Hafniumoxid aufweisen.
  • Unter Bezugnahme auf 1, 26 bis 31 und 34 bis 37 umfasst das Verfahren 100 einen Block 132, bei dem ein rückseitiger Source-Kontakt 296 so gebildet wird, dass er mit dem ersten Source-Element 228S gekoppelt ist. Die Tätigkeiten bei Block 134 können ein Abscheiden einer vierten Passivierungsschicht 286 auf einem Trägersubstrat 288 (in 26 gezeigt), ein Binden der vierten Passivierungsschicht 286 an die dritte Passivierungsschicht 276 (in 26 gezeigt), ein Umdrehen des Werkstücks 200, um das erste Substrat 202 nach oben zu richten (in 27 gezeigt), ein Beseitigen eines Teils des ersten Substrats 202 (in 28 gezeigt) und ein Bilden des rückseitigen Source-Kontakts 296 über dem ersten Source-Element 228S (in 28 gezeigt) umfassen. Das Trägersubstrat 288 kann Silizium oder Siliziumcarbid sein. Bei einer Ausführungsform weist die vierte Passivierungsschicht 286 Siliziumoxid auf. Bei einigen alternativen Ausführungsformen kann die vierte Passivierungsschicht 286 Siliziumnitrid, Siliziumcarbonitrid, Siliziumoxicarbonitrid, Aluminiumoxid oder Hafniumoxid aufweisen. Die vierte Passivierungsschicht 286 kann unter Verwendung einer CVD oder eines geeigneten Abscheidungsprozesses auf dem Trägersubstrat 288 abgeschieden werden. Das Trägersubstrat 288 wird durch ein direktes Binden zwischen der dritten Passivierungsschicht 276 und der vierten Passivierungsschicht 286 an das Werkstück 200 gebunden. Ein beispielhafter direkter Bindeprozess wurde oben beschrieben und wird hier nicht wiederholt werden. Nachdem das Trägersubstrat 288 an das Werkstück 200 gebunden wurde, wird das Werkstück 200 umgedreht, wobei das erste Substrat noch oben weist, wie in 27 gezeigt ist. Das erste Substrat 200 wird durch einen Schleifprozess und/oder einen chemischmechanischen Polierprozess (CMP-Prozess) geschliffen und/oder planarisiert, bis das Isolationselement 212, das erste Source-Element 228S und das erste Drain-Element 228D an der oberen Fläche freigelegt sind.
  • Unter Bezugnahme auf 28 wird eine rückseitige Kontaktätzstoppschicht (backside contact etch stop layer, BCESL) 290 über dem Isolationselement 212, dem ersten Source-Element 228S und dem ersten Drain-Element 228D, die freigelegt wurden, abgeschieden. Wie die erste CESL 230 und die zweite CESL 266 kann die BCESL 290 Siliziumnitrid, Siliziumoxinitrid und/oder andere in der Technik bekannte Materialien aufweisen und kann sie durch eine ALD, einen plasmaunterstützten chemischen Abscheideprozess aus der Dampfphase (PECVD) und oder andere geeignete Abscheide- oder Oxidationsprozesse gebildet werden. Danach wird eine rückseitige dielektrische Schicht 292 über der BCESL 290 abgeschieden. Die rückseitige dielektrische Schicht 292 kann Materialien wie etwa Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Silikatglas wie etwa Borphosphosilikatglas (BPSG), geschmolzenes Quarzglas (FSG), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien aufweisen. Die rückseitige dielektrische Schicht 292 kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidetechnik abgeschieden werden.
  • Es wird weiterhin auf 28 Bezug genommen. Um den rückseitigen Source-Kontakt 296 zu bilden, wird eine rückseitige Kontaktöffnung gebildet, um das erste Source-Element 228S freizulegen. In der rückseitigen Kontaktöffnung werden eine rückseitige Silizidschicht 294 und ein rückseitiger Source-Kontakt 296 gebildet. Die rückseitige Silizidschicht 294 kann Titansilizid (TiSi), Titansiliziumnitrid (TiSiN), Tantalsilizid (TaSi), Wolframsilizid (WSi), Kobaltsilizid (CoSi) oder Nickelsilizid (NiSi) aufweisen. Der rückseitige Source-Kontakt 296 kann Titannitrid (TiN), Titan (Ti), Ruthenium (Ru), Nickel (Ni), Kobalt (Co), Kupfer (Cu), Molybdän (Mo), Wolfram (W), Tantal (Ta) oder Tantalnitrid (TaN) aufweisen. 29 und 30 stellen eine bruchstückhafte Schnittansicht des zweiten Source-Bereichs 252S und des zweiten Drain-Bereichs 252D, wobei das Werkstück 200 nun auf den Kopf gestellt ist, nach einigen Ausführungsformen der vorliegenden Offenbarung dar.
  • Alternative Ausführungsformen des rückseitigen Source-Kontakts 296 sind in 34 bis 37 gezeigt. Bei einer ersten alternativen Ausführungsform, die in 34 und 35 dargestellt ist, kann nach der Bildung der rückseitigen Kontaktöffnung eine erste Durchkontaktierungsöffnung 312 gebildet werden und wird in der ersten Durchkontaktierungsöffnung 312 ein viertes leitendes Element 314 abgeschieden. Wie in 34 und 35 gezeigt ist, erstrecken sich die erste Durchkontaktierungsöffnung 312 und das vierte leitende Element 314 zur Kopplung mit dem oberen Source-Kontakt 280 durch das erste Source-Element 228s, die erste CESL 230, die erste ILD-Schicht 232, die erste Passivierungsschicht 246, die zweite Passivierungsschicht 248, das zweite Source-Element 264S und die Silizidschicht 281. Bei einigen Ausführungen, die in 35 dargestellt sind, kann zwischen dem vierten leitenden Element 314 und dem ersten Source-Element 228S eine Silizidschicht 318 gebildet werden und zwischen dem vierten leitenden Element 314 und dem zweiten Source-Element 264S eine Silizidschicht 318 gebildet werden. Die Zusammensetzung der Silizidschichten 316 und 318 kann jener der Silizidschicht 242 gleich sein, und der Kürze halber wird auf ihre ausführliche Beschreibung verzichtet. Ebenso ist das vierte leitende Merkmal 314 dem rückseitigen Source-Kontakt 296 gleich, was die Materialien betrifft, und wird der Kürze halber ebenfalls auf eine ausführliche Beschreibung verzichtet.
  • Bei einer zweiten alternativen Ausführungsform, die in 36 und 37 dargestellt ist, kann nach der Bildung der rückseitigen Kontaktöffnung eine zweite Durchkontaktierungsöffnung 322 gebildet werden und wird in der zweiten Durchkontaktierungsöffnung 322 ein fünftes leitendes Element 324 abgeschieden. Anders als bei der ersten alternativen Ausführungsform, die in 34 und 35 gezeigt ist, wird auf den oberen Source-Kontakt 280 verzichtet. Wie in 36 und 37 gezeigt ist, erstrecken sich die zweite Durchkontaktierungsöffnung 322 und das fünfte leitende Merkmal 324 zur Kopplung mit dem zweiten Source-Element 264S durch das erste Source-Element 228S, die erste CESL 230, die erste ILD-Schicht 323, die erste Passivierungsschicht 246 und die zweite Passivierungsschicht 248. Bei einigen Ausführungsformen, die in 37 dargestellt sind, kann zwischen dem fünften leitenden Merkmal 324 und dem ersten Source-Element 228S eine Silizidschicht 326 gebildet werden und zwischen dem fünften leitenden Merkmal 324 und dem zweiten Source-Element 264S eine Silizidschicht 328 gebildet werden. Die Zusammensetzung der Silizidschichten 326 und 328 kann jener der Silizidschicht 242 gleich sein, und der Kürze halber wird auf ihre ausführliche Beschreibung verzichtet. Ebenso ist das fünfte leitende Merkmal 324 dem rückseitigen Source-Kontakt 296 gleich, was die Materialien betrifft, und wird der Kürze halber ebenfalls auf eine ausführliche Beschreibung verzichtet.
  • Unter Bezugnahme auf 1 umfasst das Verfahren 100 einen Block 134, bei dem weitere Prozesse durchgeführt werden. Diese weiteren Prozesse können ein Abscheiden einer dritten ILD-Schicht über dem rückseitigen Source-Kontakt 296 und eine Bildung einer rückseitigen Stromschiene in der dritten ILD-Schicht umfassen.
  • Nun wird auf 31 Bezug genommen. Nach dem Abschluss der Operationen des Verfahrens 100 weist die Halbleitervorrichtung 200 einen n-MBC-Transistor 1000 als unteren Transistor und einen p-FinFET 2000 als obere Vorrichtung auf. In 31 ist der p-FinFET 2000 über dem n-MBC-Transistor 1000 angeordnet. Der n-MBC-Transistor 1000 weist mehrere Kanalelemente 2080 auf, die entlang der Z-Richtung vertikal gestapelt sind. Entlang der Y-Richtung erstrecken sich die Kanalelemente 2080 zwischen dem ersten Source-Element 228S und dem ersten Drain-Element 228D. Die erste Gate-Struktur 240 umwickelt jedes der Kanalelemente 2080. Der p-FinFET 2000 weist ein oder mehr Finnenelemente 252 (in 31 ist eines gezeigt) auf, die von der zweiten Passivierungsschicht 248 hochstehen. Entlang der Y-Richtung erstreckt sich das Finnenelement 252 zwischen dem zweiten Source-Element 264S und dem zweiten Drain-Element 264D. Die zweite Gate-Struktur 274 wickelt sich über das Finnenelement 252. Das erste Source-Element 228S des n-MBC-Transistors 1000 und das zweite Source-Element 264S des p-FinFET 2000 sind entlang der Z-Richtung ausgerichtet. Das erste Drain-Element 228D des n-MBC-Transistors 1000 und das zweite Drain-Element 264D des p-FinFET 2000 sind entlang der Z-Richtung ausgerichtet. Diese vertikale Ausrichtung gestattet eine Bildung von leitenden Merkmalen, die das erste Source-Element 228S und das zweite Source-Element 264S oder das erste Drain-Element 228D und das zweite Drain-Element 264D elektrisch koppeln.
  • Bei Ausführungsformen, bei denen das zweite Substrat 251 ein Siliziumsubstrat mit einer (100)-Fläche ist, verbessert die Halbleitervorrichtung 200 in 31 die Defektelektronenmobilität für den p-FinFET 2000. Bei diesen Ausführungsformen folgt die epitaktische Schicht 250, die auf dem zweiten Substrat 251 abgeschieden ist, der Kristallorientierung des zweiten Substrats 251. Wenn die epitaktische Schicht 250 strukturiert wird, um das Finnenelement 252 zu bilden, befinden sich die Seitenwände des Finnenelements 252 an der (110)-Fläche, die eine größere Defektelektronenmobilität als die (110)-Fläche bietet. Da die Seitenwände des Finnenelements 252 die Hauptflächen des Finnenelements 252 sind, besitzt das Finnenelement 252 eine verbesserte Defektelektronenmobilität und weist der p-FinFET 2000 einen verbesserten Antriebsstrom auf.
  • Obwohl der Großteil der vorliegenden Offenbarung Prozesse und Strukturen für die in 31 gezeigte Halbleitervorrichtung 200 beschreibt, sind Ausführungsformen der vorliegenden Offenbarung nicht auf jene, die einen p-FinFET über einem n-MBC aufweisen, beschränkt. 40 veranschaulicht eine alternative Gestaltung bei einer Halbleitervorrichtung 400. Anders als die Halbleitervorrichtung 200 in 31 weist die Halbleitervorrichtung 400 den p-Fin-FET 2000 als unteren Transistor und den n-MBC-Transistor 1000 als obere Vorrichtung auf. Das heißt, bei der Halbleitervorrichtung 400 ist der n-MBC-Transistor 1000 über dem p-FinFET 2000 angeordnet. Da der p-FinFET 2000 nun der untere Transistor ist, wird der obere Source-Kontakt 280 weggelassen und ein alternativer Source-Kontakt 245 zur Kopplung mittels einer Silizidschicht an das erste Source-Element 228S gebildet. Da der alternative Source-Kontakt 245 dem unteren Drain-Kontakt 244 gleich ist, wird der Kürze halber auf eine ausführliche Beschreibung des alternativen Source-Kontakts 245 verzichtet. Wie bei der Halbleitervorrichtung 200 in 31 sind das erste Drain-Element 228D des n-MBC-Transistors 1000 und das zweite Drain-Element 264D des p-FinFET 2000 entlang der Z-Richtung ausgerichtet. Diese vertikale Ausrichtung gestattet eine Bildung von leitenden Merkmalen, die das erste Source-Element 228S und das zweite Source-Element 264S oder das erste Drain-Element 228D und das zweite Drain-Element 264D elektrisch koppeln. Sowohl bei der Halbleitervorrichtung 200 als auch bei der alternativen Halbleitervorrichtung 400 ist das Finnenelement 252 auf der zweiten Passivierungsschicht 248 angeordnet, um eine bessere Gate-Steuerung bereitzustellen.
  • Bei einem beispielhaften Aspekt richtet sich die vorliegende Offenbarung auf eine Halbleitervorrichtung. Die Halbleitervorrichtung weist einen ersten Transistor und einen über dem ersten Transistor angeordneten zweiten Transistor auf. Der erste Transistor weist mehrere Kanalelemente, die vertikal übereinander gestapelt sind, und ein erstes Source/Drain-Element, das an die mehreren Kanalelemente angrenzt, auf. Der zweite Transistor weist eine Finnenstruktur und ein zweites Source/Drain-Element, das an die Finnenstruktur angrenzt, auf. Die Halbleitervorrichtung weist ferner ein leitendes Element, das das erste Source/Drain-Element und das zweite Source/Drain-Element elektrisch verbindet, auf.
  • Bei einigen Ausführungsformen weist der erste Transistor ferner eine erste Gate-Struktur auf, die jedes der mehreren Kanalelement umwickelt. Der zweite Transistor weist ferner eine zweite Gate-Struktur auf, die sich über die Finnenstruktur wickelt. Die erste Gate-Struktur steht mit der zweiten Gate-Struktur in Kontakt. Bei einigen Ausführungsformen weisen die mehreren Kanalelemente Silizium (Si) auf und weist die Finnenstruktur Siliziumgermanium (SiGe) auf. Bei einigen Ausführungen weisen die mehreren Kanalelemente Silizium (Si), Germanium (Ge), Siliziumgermanium (SiGe), Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) auf und weist die Finnenstruktur Silizium (Si), Germanium (Ge), Siliziumgermanium (SiGe), Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) auf. In einigen Fällen erstreckt sich das leitende Merkmal durch das zweite Source/Drain-Element. Bei einigen Ausführungsformen erstreckt sich das leitende Merkmal durch das erste Source/Drain-Element. In einigen Fällen weist der erste Transistor ferner einen ersten Source/Drain-Kontakt auf, der über dem ersten Source/Drain-Element angeordnet ist, weist der zweite Transistor ferner einen zweiten Source/Drain-Kontakt auf, der über dem zweiten Source/Drain-Element angeordnet ist, und steht das leitende Merkmal in einem direkten Kontakt mit dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt. Bei einigen Ausführungsformen weist der erste Transistor ferner einen dritten Source/Drain-Kontakt auf, der unter dem ersten Source/Drain-Element angeordnet ist, weist der zweite Transistor ferner einen vierten Source/Drain-Kontakt auf, der über dem zweiten Source/Drain-Element angeordnet ist, und steht das leitende Merkmal in einem direkten Kontakt mit dem dritten Source/Drain-Kontakt und dem vierten Source/Drain-Kontakt.
  • Bei einem anderen beispielhaften Aspekt richtet sich die vorliegende Offenbarung auf eine Halbleitervorrichtung. Die Halbleitervorrichtung weist einen ersten Transistor und einen über dem zweiten Transistor angeordneten zweiten Transistor auf. Der erste Transistor weist ein erstes Source-Element und ein erstes Drain-Element und mehrere Kanalelemente, die vertikal übereinander gestapelt sind und sich zwischen dem ersten Source-Element und dem ersten Drain-Element erstrecken, auf. Der zweite Transistor weist ein zweites Source-Element und ein zweites Drain-Element und eine Finnenstruktur, die sich zwischen dem zweiten Source-Element und dem zweiten Drain-Element erstreckt, auf. Das zweite Source-Element befindet sich direkt über dem ersten Source-Element, und das zweite Drain-Element befindet sich direkt über dem ersten Drain-Element.
  • Bei einigen Ausführungsformen weist der erste Transistor ferner einen ersten Drain-Kontakt auf, der über dem ersten Drain-Element angeordnet ist, und weist der zweite Transistor ferner einen zweiten Drain-Kontakt auf, der über dem zweiten Drain-Element angeordnet ist. Bei einigen Ausführungen weist das erste Drain-Element mit einem n-Dotierstoff dotiertes Silizium auf, weist das zweite Drain-Element mit einem p-Dotierstoff dotiertes Siliziumgermanium auf, und weisen der erste Drain-Kontakt und der zweite Drain-Kontakt ein Metall auf. Bei einigen Ausführungen ragt der erste Drain-Kontakt über das erste Drain-Element hinaus und ragt der zweite Drain-Kontakt über das zweite Drain-Element hinaus. Bei einigen Ausführungsformen kann die Halbleitervorrichtung ferner ein erstes leitendes Element aufweisen, das den ersten Drain-Kontakt und den zweiten Drain-Kontakt elektrisch koppelt. In einigen Fällen erstreckt sich das erste leitende Merkmal durch das zweite Drain-Element. Bei einigen Ausführungen kann der erste Transistor ferner einen ersten Source-Kontakt aufweisen, der unter dem ersten Drain-Element angeordnet ist, und kann der zweite Transistor ferner einen zweiten Source-Kontakt aufweisen, der über dem zweiten Drain-Element angeordnet ist.
  • Bei noch einem anderen beispielhaften Aspekt richtet sich die vorliegende Offenbarung auf ein Verfahren. Das Verfahren umfasst das Bilden eines ersten Transistors auf einem ersten Substrat, wobei der erste Transistor ein erstes Source-Element und ein erstes Drain-Element, mehrere Kanalelemente, die vertikal übereinander gestapelt sind und sich zwischen dem ersten Source-Element und dem ersten Drain-Element erstrecken, und eine erste Gate-Struktur, die jedes der mehrere Kanalelemente umwickelt, aufweist. Das Verfahren kann ferner das Abscheiden einer ersten Passivierungsschicht über dem ersten Transistor, das Bilden einer epitaktischen Schicht über einem zweiten Substrat, das Abscheiden einer zweiten Passivierungsschicht über der epitaktischen Schicht, das Binden der zweiten Passivierungsschicht an die erste Passivierungsschicht, nach dem Binden das Beseitigen des zweiten Substrats, das Strukturieren der epitaktischen Schicht, um über den mehreren Kanalelementen eine Finnenstruktur zu bilden, und das derartige Bilden einer zweiten Gate-Struktur, dass sich diese über die Finnenstruktur wickelt, wobei die zweite Gate-Struktur mit der ersten Gate-Struktur in Kontakt steht, umfassen.
  • Bei einigen Ausführungsformen weist die epitaktische Schicht Siliziumgermanium auf. Bei einigen Ausführungen kann das Verfahren ferner vor dem Bilden der zweiten Gate-Struktur das Bilden eines Dummy-Gate-Stapels über einem Kanalbereich der Finnenstruktur, das Vertiefen eines Source-Bereichs und eines Drain-Bereichs der Finnenstruktur, um eine Source-Vertiefung und eine Drain-Vertiefung zu bilden, wobei der Source-Bereich und der Drain-Bereich den Kanalbereich einschließen, das Bilden eines zweiten Source-Elements in dem Source-Bereich und eines zweiten Drain-Elements in der Drain-Vertiefung, das Abscheiden einer dielektrischen Schicht über dem zweiten Source-Element und dem zweiten Drain-Element, und das Beseitigen des Dummy-Gate-Stapels umfassen. In einigen Fällen kann das Verfahren ferner nach dem Bilden der zweiten Gate-Struktur das Bilden einer Drain-Kontaktöffnung durch das zweite Drain-Element und das erste Drain-Element und das Bilden eines leitenden Merkmals in der Drain-Kontaktöffnung umfassen. In einigen Fällen kann das Verfahren ferner nach dem Bilden der zweiten Gate-Struktur das Abscheiden einer dritten Passivierungsschicht über der zweiten Gate-Struktur, das Abscheiden einer vierten Passivierungsschicht über einem dritten Substrat, das Binden der vierten Passivierungsschicht an die dritte Passivierungsschicht, das Beseitigen des ersten Substrats, und das Bilden eines rückseitigen Source-Kontakts, der elektrisch mit dem ersten Source-Element gekoppelt ist, umfassen.
  • Im Vorhergehenden wurden Merkmale von mehreren Ausführungsformen erläutert, damit Durchschnittsfachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Durchschnittsfachleute sollten erkennen, dass sie die vorliegende Offenbarung leicht als Basis verwenden können, um andere Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder zur Erzielung der gleichen Vorteile wie die hier vorgestellten Ausführungsformen zu gestalten oder abzuwandeln. Durchschnittsfachleute sollten auch erkennen, dass derartige gleichwertige Aufbauten nicht von dem Geist und dem Umfang der vorliegenden Offenbarung abweichen, und dass sie hierin verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen können, ohne von dem Geist und dem Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/059011 [0001]

Claims (20)

  1. Halbleitervorrichtung, aufweisend: einen ersten Transistor, der mehrere Kanalelemente, die vertikal übereinander gestapelt sind, und ein erstes Source/Drain-Element, das an die mehreren Kanalelemente angrenzt, aufweist; einen zweiten Transistor, der über dem ersten Transistor angeordnet ist, wobei der zweite Transistor eine Finnenstruktur, und ein zweites Source/Drain-Element, das an die Finnenstruktur angrenzt, aufweist; und ein leitendes Element, das das erste Source/Drain-Element und das zweite Source/Drain-Element elektrisch verbindet.
  2. Halbleitervorrichtung nach Anspruch 1, wobei der erste Transistor ferner eine erste Gate-Struktur aufweist, die sich um jedes der mehreren Kanalelemente wickelt, wobei der zweite Transistor ferner eine zweite Gate-Struktur aufweist, die sich über die Finnenstruktur wickelt, wobei die erste Gate-Struktur mit der zweiten Gate-Struktur in Kontakt steht.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei die mehreren Kanalelemente Silizium (Si) aufweisen, wobei die Finnenstruktur Siliziumgermanium (SiGe) aufweist.
  4. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei die mehreren Kanalelemente Silizium (Si), Germanium (Ge), Siliziumgermanium (SiGe), Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) aufweisen, wobei die Finnenstruktur Silizium (Si), Germanium (Ge), Siliziumgermanium (SiGe), Molybdändisulfid (MoS2), Wolframdiselenid (WSe2) oder Hafniumditellurid (HfTe2) aufweist.
  5. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei sich das leitende Merkmal durch das zweite Source/Drain-Element erstreckt.
  6. Halbleitervorrichtung nach Anspruch 5, wobei sich das leitende Merkmal durch das erste Source/Drain-Element erstreckt.
  7. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste Transistor ferner einen ersten Source/Drain-Kontakt aufweist, der über dem ersten Source/Drain-Element angeordnet ist, wobei der zweite Transistor ferner einen zweiten Source/Drain-Kontakt aufweist, der über dem zweiten Source/Drain-Element angeordnet ist, wobei das leitende Merkmal in einem direkten Kontakt mit dem ersten Source/Drain-Kontakt und dem zweiten Source/Drain-Kontakt steht.
  8. Halbleitervorrichtung nach einem der vorhergehenden Ansprüche, wobei der erste Transistor ferner einen dritten Source/Drain-Kontakt aufweist, der unter dem ersten Source/Drain-Element angeordnet ist, wobei der zweite Transistor ferner einen vierten Source/Drain-Kontakt aufweist, der über dem zweiten Source/Drain-Element angeordnet ist, wobei das leitende Merkmal in einem direkten Kontakt mit dem dritten Source/Drain-Kontakt und dem vierten Source/Drain-Kontakt steht.
  9. Halbleitervorrichtung, aufweisend: einen ersten Transistor, der ein erstes Source-Element und ein erstes Drain-Element, und mehrere Kanalelemente, die vertikal übereinander gestapelt sind und sich zwischen dem ersten Source-Element und dem ersten Drain-Element erstrecken, aufweist; und einen zweiten Transistor, der über dem ersten Transistor angeordnet ist, wobei der zweite Transistor ein zweites Source-Element und ein zweites Drain-Element, und eine Finnenstruktur, die sich zwischen dem zweiten Source-Element und dem zweiten Drain-Element erstreckt, aufweist, wobei sich das zweite Source-Element direkt über dem ersten Source-Element befindet, wobei sich das zweite Drain-Element direkt über dem ersten Drain-Element befindet.
  10. Halbleitervorrichtung nach Anspruch 9, wobei der erste Transistor ferner einen ersten Drain-Kontakt aufweist, der über dem ersten Drain-Element angeordnet ist, wobei der zweite Transistor ferner einen zweiten Drain-Kontakt aufweist, der über dem zweiten Drain-Element angeordnet ist.
  11. Halbleitervorrichtung nach Anspruch 10, wobei das erste Drain-Element mit einem n-Dotierstoff dotiertes Silizium aufweist, wobei das zweite Drain-Element mit einem p-Dotierstoff dotiertes Siliziumgermanium aufweist, wobei der erste Drain-Kontakt und der zweite Drain-Kontakt ein Metall aufweisen.
  12. Halbleitervorrichtung nach Anspruch 10 oder 11, wobei der erste Drain-Kontakt über das erste Drain-Element hinausragt, wobei der zweite Drain-Kontakt über das zweite Drain-Element hinausragt.
  13. Halbleitervorrichtung nach einem der Ansprüche 10 bis 12, ferner aufweisend ein erstes leitendes Element, das den ersten Drain-Kontakt und den zweiten Drain-Kontakt elektrisch koppelt.
  14. Halbleitervorrichtung nach Anspruch 13, wobei sich das erste leitende Merkmal durch das zweite Drain-Element erstreckt.
  15. Halbleitervorrichtung nach einem der Ansprüche 10 bis 14, wobei der erste Transistor ferner einen ersten Source-Kontakt aufweist, der unter dem ersten Drain-Element angeordnet ist, wobei der zweite Transistor ferner einen zweiten Source-Kontakt aufweist, der über dem zweiten Drain-Element angeordnet ist.
  16. Verfahren, umfassend: Bilden eines ersten Transistors auf einem ersten Substrat, wobei der erste Transistor: ein erstes Source-Element und ein erstes Drain-Element, mehrere Kanalelemente, die vertikal übereinander gestapelt sind und sich zwischen dem ersten Source-Element und dem ersten Drain-Element erstrecken, und eine erste Gate-Struktur, die jedes der mehreren Kanalelemente umwickelt, aufweist; Abscheiden einer ersten Passivierungsschicht über dem ersten Transistor; Bilden einer epitaktischen Schicht über einem zweiten Substrat; Abscheiden einer zweiten Passivierungsschicht über der epitaktischen Schicht; Binden der zweiten Passivierungsschicht an die erste Passivierungsschicht; nach dem Binden, Beseitigen des zweiten Substrats; Strukturieren der epitaktischen Schicht, um über den mehreren Kanalelementen eine Finnenstruktur zu bilden; und Bilden einer zweiten Gate-Struktur, sodass sich diese über die Finnenstruktur wickelt, wobei die zweite Gate-Struktur mit der ersten Gate-Struktur in Kontakt steht.
  17. Verfahren nach Anspruch 16, wobei die epitaktische Schicht Siliziumgermanium aufweist.
  18. Verfahren nach Anspruch 16 oder 17, ferner umfassend: vor dem Bilden der zweiten Gate-Struktur, Bilden eines Dummy-Gate-Stapels über einem Kanalbereich der Finnenstruktur; Vertiefen eines Source-Bereichs und eines Drain-Bereichs der Finnenstruktur, um eine Source-Vertiefung und eine Drain-Vertiefung zu bilden, wobei der Source-Bereich und der Drain-Bereich den Kanalbereich einschließen; Bilden eines zweiten Source-Elements in dem Source-Bereich und eines zweiten Drain-Elements in der Drain-Vertiefung; Abscheiden einer dielektrischen Schicht über dem zweiten Source-Element und dem zweiten Drain-Element; und Beseitigen des Dummy-Gate-Stapels.
  19. Verfahren nach Anspruch 18, ferner umfassend: nach dem Bilden der zweiten Gate-Struktur, Bilden einer Drain-Kontaktöffnung durch das zweite Drain-Element und das erste Drain-Element; und Bilden eines leitenden Merkmals in der Drain-Kontaktöffnung.
  20. Verfahren nach Anspruch 18 oder 19, ferner umfassend: nach dem Bilden der zweiten Gate-Struktur, Abscheiden einer dritten Passivierungsschicht über der zweiten Gate-Struktur; Abscheiden einer vierten Passivierungsschicht über einem dritten Substrat; Binden der vierten Passivierungsschicht an die dritte Passivierungsschicht; Beseitigen des ersten Substrats; und Bilden eines rückseitigen Source-Kontakts, der elektrisch mit dem ersten Source-Element gekoppelt ist.
DE102020130964.3A 2020-07-30 2020-11-24 Vertikal ausgerichteter komplementärer transistor Pending DE102020130964A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063059011P 2020-07-30 2020-07-30
US63/059,011 2020-07-30
US17/094,904 US11735669B2 (en) 2020-07-30 2020-11-11 Vertically-oriented complementary transistor
US17/094,904 2020-11-11

Publications (1)

Publication Number Publication Date
DE102020130964A1 true DE102020130964A1 (de) 2022-02-03

Family

ID=77411535

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020130964.3A Pending DE102020130964A1 (de) 2020-07-30 2020-11-24 Vertikal ausgerichteter komplementärer transistor

Country Status (7)

Country Link
US (2) US11735669B2 (de)
EP (1) EP3945560A1 (de)
JP (1) JP2022027654A (de)
KR (1) KR102495803B1 (de)
CN (1) CN113675194A (de)
DE (1) DE102020130964A1 (de)
TW (1) TWI815151B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210081679A (ko) * 2019-12-24 2021-07-02 삼성전자주식회사 반도체 장치
EP4199056A1 (de) * 2021-12-15 2023-06-21 IMEC vzw Vertikal gestapelte transistorstrukturen
US20230197569A1 (en) * 2021-12-20 2023-06-22 Intel Corporation Frontside and backside epi contact
US20230197800A1 (en) * 2021-12-20 2023-06-22 Intel Corporation Non-reactive epi contact for stacked transistors
EP4283663A1 (de) * 2022-05-24 2023-11-29 Imec VZW Verfahren zur herstellung einer vorrichtung mit gestapelten transistoren
US20230411397A1 (en) * 2022-06-16 2023-12-21 International Business Machines Corporation Method and structure of forming sidewall contact for stacked fet

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9711501B1 (en) 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
US20190326286A1 (en) 2018-04-20 2019-10-24 Globalfoundries Inc. Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor
US20200006340A1 (en) 2018-06-29 2020-01-02 Intel Corporation Pedestal fin structure for stacked transistor integration
US20200006330A1 (en) 2018-06-29 2020-01-02 Intel Corporation Leave-behind protective layer having secondary purpose
US20200235134A1 (en) 2017-12-27 2020-07-23 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7214991B2 (en) * 2002-12-06 2007-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS inverters configured using multiple-gate transistors
US11923374B2 (en) * 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US10164032B2 (en) * 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10535680B2 (en) * 2017-06-29 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure and method with hybrid orientation for FinFET
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
US10249538B1 (en) * 2017-10-03 2019-04-02 Globalfoundries Inc. Method of forming vertical field effect transistors with different gate lengths and a resulting structure
US10381438B2 (en) * 2017-11-02 2019-08-13 International Business Machines Corporation Vertically stacked NFETS and PFETS with gate-all-around structure
US10867846B2 (en) 2017-11-15 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (finFET) device structure with protection layer and method for forming the same
US10304832B1 (en) 2017-11-16 2019-05-28 Globalfoundries Inc. Integrated circuit structure incorporating stacked field effect transistors and method
US10685887B2 (en) 2017-12-04 2020-06-16 Tokyo Electron Limited Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device
US10607892B2 (en) * 2017-12-26 2020-03-31 International Business Machines Corporation Junction formation in thick-oxide and thin-oxide vertical FETs on the same chip
US11616060B2 (en) * 2018-06-29 2023-03-28 Intel Corporation Techniques for forming gate structures for transistors arranged in a stacked configuration on a single fin structure
US11031395B2 (en) * 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US10748901B2 (en) * 2018-10-22 2020-08-18 International Business Machines Corporation Interlayer via contacts for monolithic three-dimensional semiconductor integrated circuit devices
US11411082B2 (en) 2018-10-31 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with selectable numbers of channel strips
EP3660891B1 (de) * 2018-11-27 2023-06-07 IMEC vzw Verfahren zur herstellung eines halbleiterbauelements
US10797061B2 (en) * 2018-12-17 2020-10-06 Sandisk Technologies Llc Three-dimensional memory device having stressed vertical semiconductor channels and method of making the same
US11676966B2 (en) * 2019-03-15 2023-06-13 Intel Corporation Stacked transistors having device strata with different channel widths

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9711501B1 (en) 2016-09-26 2017-07-18 International Business Machines Corporation Interlayer via
US20200235134A1 (en) 2017-12-27 2020-07-23 Intel Corporation Integrated circuits with stacked transistors and methods of manufacturing the same using processes which fabricate lower gate structures following completion of portions of an upper transistor
US20190326286A1 (en) 2018-04-20 2019-10-24 Globalfoundries Inc. Method, apparatus, and system for fin-over-nanosheet complementary field-effect-transistor
US20200006340A1 (en) 2018-06-29 2020-01-02 Intel Corporation Pedestal fin structure for stacked transistor integration
US20200006330A1 (en) 2018-06-29 2020-01-02 Intel Corporation Leave-behind protective layer having secondary purpose

Also Published As

Publication number Publication date
KR102495803B1 (ko) 2023-02-07
US20230369499A1 (en) 2023-11-16
KR20220016440A (ko) 2022-02-09
TW202205449A (zh) 2022-02-01
US11735669B2 (en) 2023-08-22
US20220037528A1 (en) 2022-02-03
EP3945560A1 (de) 2022-02-02
JP2022027654A (ja) 2022-02-10
CN113675194A (zh) 2021-11-19
TWI815151B (zh) 2023-09-11

Similar Documents

Publication Publication Date Title
DE102015114790B4 (de) Verfahren und Struktur für eine Halbleitervorrichtung mit einer Gatespacer-Schutzschicht
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102019116606B4 (de) Multi-gate-vorrichtung und zugehörige verfahren
DE102015100165A1 (de) Verfahren und struktur für finfet-isolierung
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017113681A1 (de) Halbleiter-bauelement mit luft-abstandshalter
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102017123047B4 (de) Herstellungsverfahren für FINFETs mit unterschiedlichen Finnenkanalhöhen
DE102012204455A1 (de) (110)-Oberflächenorientierung zum Reduzieren eines Fermi-Level-Pinnings zwischen einem HIGH-K Dielektrikum und einer Gruppe III-V Verbindungshalbleitervorrichtung
DE102019126920A1 (de) Verfahren zum herstellen einer halbleitervorrichtung und eine halbleitervorrichtung
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021113387A1 (de) Epitaktische merkmale
DE102020134644A1 (de) Rückseitenkontakt
DE102020130986A1 (de) Reparaturen von dielektrischen strukturelementen nach der herstellung
DE102021117896A1 (de) Halbleitungsvorrichtung mit gateisolationsstruktur und ausbildungsverfahren
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102018102685A1 (de) Kontaktbildungsverfahren und zugehörige Struktur
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102018114209A1 (de) Source -und-drain-struktur mit einem reduzierten kontaktwiderstand und einer verbesserten beweglichkeit
DE102019119807B4 (de) Herstellungsverfahren für ein halbleiter-bauelement und ein halbleiter-bauelement
DE102020119940A1 (de) Mehrfachgatetransistorstruktur
DE102020120265A1 (de) Bilden von Isolationsregionen zum Trennen von Finnen und Gate-Stapeln

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0023520000

Ipc: H01L0027088000

R016 Response to examination communication