DE102020119940A1 - Mehrfachgatetransistorstruktur - Google Patents

Mehrfachgatetransistorstruktur Download PDF

Info

Publication number
DE102020119940A1
DE102020119940A1 DE102020119940.6A DE102020119940A DE102020119940A1 DE 102020119940 A1 DE102020119940 A1 DE 102020119940A1 DE 102020119940 A DE102020119940 A DE 102020119940A DE 102020119940 A1 DE102020119940 A1 DE 102020119940A1
Authority
DE
Germany
Prior art keywords
gate
channel
along
feature
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020119940.6A
Other languages
English (en)
Inventor
Jhon Jhy Liaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/937,218 external-priority patent/US11195937B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020119940A1 publication Critical patent/DE102020119940A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82385Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Nitrogen Condensed Heterocyclic Rings (AREA)

Abstract

Eine Halbleitervorrichtung gemäß der vorliegenden Offenbarung weist ein erstes Kanalelement, das einen ersten Kanalabschnitt und einen ersten Verbindungsabschnitt aufweist, ein zweites Kanalelement, das einen zweiten Kanalabschnitt und einen zweiten Verbindungsabschnitt aufweist, eine Gate-Struktur, die um den ersten Kanalabschnitt und den zweiten Kanalabschnitt herum angeordnet ist, und ein inneres Abstandshaltermerkmal, das zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt angeordnet ist, auf. Die Gate-Struktur weist eine Gate-Dielektrikumsschicht und eine Gate-Elektrode auf. Die Gate-Dielektrikumsschicht erstreckt sich teilweise zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt. Die Gate-Elektrode erstreckt sich nicht zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/002,529 , eingereicht am 31. März 2020, mit dem Titel „MULTI-GATE TRANSISTOR STRUCTURE“ (Anwaltsaktenzeichennr. 2019-2413 / 24061-4161PV01), die durch Bezugnahme in die vorliegende Anmeldung aufgenommen wird.
  • ALLGEMEINER STAND DER TECHNIK
  • Die Industrie der integrierten Halbleiterschaltungen (Halbleiter-IC-Schaltungen) hat ein exponentielles Wachstum erfahren. Technologische Fortschritte bei IC-Materialien und der IC-Gestaltung haben Generationen von ICs hervorgebracht, wo jede Generation kleinere und komplexere Schaltungen als die vorherige Generation aufweist. Im Laufe der IC-Entwicklung hat die funktionelle Dichte (d. h., die Anzahl an verschalteten Vorrichtungen pro Chipfläche) allgemein zugenommen, während die Geometriegröße (d. h., die kleinste Komponente (oder Leitung), die unter Verwendung eines Herstellungsprozesses erzeugt werden kann) abgenommen hat. Dieser Abwärtsskalierungsprozess bietet allgemein Vorteile durch das Erhöhen der Produktionseffizienz und der Senkung der damit verbundenen Kosten. Solch eine Abwärtsskalierung hat auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Zum Beispiel sind mit der Entwicklung der integrierten Schaltungstechnologien (IC-Technologien) hin zu kleineren Technologieknoten Mehrfachgatevorrichtungen eingeführt worden, um die Gate-Steuerung durch Erhöhen der Gate-Kanal-Kopplung, Verringern des Sperrstroms und Verringern von Kurzkanaleffekten (SCEs, Short-Channel Effects) zu verbessern. Eine Mehrfachgatevorrichtung bezieht sich allgemein auf eine Vorrichtung, von der eine Gate-Struktur, oder ein Teil davon, über mehr als einer Seite einer Kanalregion angeordnet ist. Finnenartige Feldeffekttransistoren (FinFETs) und Mehrfachbrückenkanaltransistoren (MBC-Transistoren, multi-bridge-channel transistors) sind Beispiele für Mehrfachgatevorrichtungen, die beliebt und vielversprechende Kandidaten für Anwendungen mit hoher Leistung und geringer Leckage geworden sind. Ein FinFET weist einen erhöhten Kanal auf, der von einem Gate auf mehr als einer Seite umwickelt wird (zum Beispiel umwickelt das Gate eine Oberseite und Seitenwände einer „Finne“ aus Halbleitermaterial, die sich von einem Substrat erstreckt). Ein MBC-Transistor weist eine Gate-Struktur auf, die sich teilweise oder vollständig um eine Kanalregion herum erstrecken kann, um Zugang zu der Kanalregion auf zwei oder mehr Seiten bereitzustellen. Da seine Gate-Struktur die Kanalregionen umgibt, kann ein MBC-Transistor auch als ein umgebender Gate-Transistor (SGT, Surrounding Gate Transistor) oder ein Gate-all-around-Transistor (GAA-Transistor) bezeichnet werden. Die Kanalregion eines MBC-Transistors kann aus Nanodrähten, Nanoschichten oder sonstigen Nanostrukturen gebildet sein, und aus diesem Grund kann ein MBC-Transistor auch als ein Nanodrahttransistor oder ein Nanoschichttransistor bezeichnet werden.
  • Es sind innere Abstandshaltermerkmale in MBC-Transistoren implementiert worden, um eine Gate-Struktur von einem epitaxialen Source-/Drain-Merkmal zu beabstanden. Einige herkömmliche innere Abstandshaltermerkmale stellen jedoch möglicherweise keine ausreichende Beabstandung zwischen der Gate-Struktur und dem epitaxialen Source-/Drain-Merkmal bereit, was zu Leckagen oder einer erhöhten parasitären Kapazität führt. Wenngleich herkömmliche Mehrfachgate-Strukturen allgemein für ihre vorgesehenen Zwecke geeignet sein können, sind sie daher nicht in allen Aspekten zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht ein Flussdiagramm eines Verfahrens zum Bilden einer Halbleitervorrichtung gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 2-24 veranschaulichen fragmentäre Querschnittsansichten eines Werkstücks während eines Herstellungsprozesses gemäß dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 25-26 veranschaulichen fragmentäre Draufsichten eines Werkstücks nach einem Herstellungsprozess gemäß dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands bereit. Es werden nachfolgend spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele und sollen nicht einschränkend sein. Zum Beispiel kann das Bilden eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Merkmal in direktem Kontakt gebildet sind, und auch Ausführungsformen umfassen, in welchen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Zusätzlich kann die vorliegende Offenbarung Bezugszeichen und/oder Buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und gibt an sich keine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich bezogene Begriffe, wie etwa „darunterliegend“, „unterhalb“, „unterer“, „oberhalb“, „oberer“ und dergleichen hierin für eine bequemere Beschreibung zum Beschreiben der Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, verwendet werden. Die räumlich bezogenen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung im Gebrauch oder Betrieb zusätzlich zu der in den Figuren dargestellten Ausrichtung umfassen. Die Vorrichtung kann anders (um 90 Grad gedreht oder mit anderen Ausrichtungen) ausgerichtet sein und die räumlich bezogenen Deskriptoren, die hierin verwendet werden, können dementsprechend gleichermaßen interpretiert werden. Wenn ferner eine Anzahl oder ein Bereich von Zahlen mit „ungefähr“, „circa“ und dergleichen beschrieben wird, soll dieser Begriff Zahlen umfassen, die innerhalb von +/- 10% der beschriebenen Zahl liegen, soweit nicht das Gegenteil angegeben ist. Zum Beispiel umfasst der Ausdruck „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm.
  • Die vorliegende Offenbarung bezieht sich allgemein auf Mehrfachgatetransistoren und Herstellungsverfahren, und genauer auf innere Abstandshaltermerkmale eines MBC-Transistors.
  • Wie zuvor beschrieben wurde, können die MBC-Transistoren auch als SGTs, GAA-Transistoren, Nanoschichttransistoren oder Nanodrahttransistoren bezeichnet werden. Sie können entweder vom n-Typ oder vom p-Typ sein. Die MBC-Vorrichtungen gemäß der vorliegenden Offenbarung können Kanalregionen aufweisen, die in Nanodrahtkanalelementen, stabförmigen Kanalelementen, Nanoschichtkanalelementen, Nanostrukturkanalelementen, brückenförmigen Kanalelementen und/oder sonstigen geeigneten Kanalkonfigurationen angeordnet sind. Es sind innere Abstandshaltermerkmale zwischen Kanalelementen implementiert worden, um eine Gate-Struktur von einem Source-/Drain-Merkmal zu isolieren. Herkömmliche Abstandshaltermerkmale stellen möglicherweise keine ausreichende Trennung zwischen der Gate-Struktur und dem Source-/Drain-Merkmal bereit. Zusätzlich kann eine Distanz zwischen der Gate-Struktur und dem Source-/Drain-Merkmal an der Grenzfläche des inneren Abstandshaltermerkmals und der Kanalelemente verringert werden. Folglich können herkömmliche MBC-Transistoren Leckagen oder eine erhöhte parasitäre Kapazität zwischen der Gate-Struktur und dem Source-/Drain-Merkmal aufweisen.
  • Die vorliegende Offenbarung stellt Ausführungsformen einer Halbleitervorrichtung bereit. Die Halbleitervorrichtung weist eine Vielzahl an Kanalelementen auf, die sich zwischen zwei Source-/Drain-Merkmalen erstrecken. Jedes der Kanalelemente ist in einen Kanalabschnitt, der von einer Gate-Struktur umwickelt ist, und einen Verbindungsabschnitt, der entweder zwischen einer Gate-Abstandshalterschicht und einem inneren Abstandshaltermerkmal oder zwischen zwei inneren Abstandshaltermerkmalen eingefügt ist, aufgeteilt. Ein inneres Abstandshaltermerkmal gemäß der vorliegenden Offenbarung kann eine konvexe Form oder eine C-Form aufweisen, so dass sich eine Gate-Dielektrikumsschicht der Gate-Struktur teilweise zwischen einem Kanalelement und einem inneren Abstandshaltermerkmal erstreckt. In Ausführungsformen der vorliegenden Offenbarung dringt die Gate-Elektrode nicht zwischen einem Verbindungsabschnitt und einem inneren Abstandshaltermerkmal ein. Der Kanalabschnitt und der Verbindungsabschnitt können im Wesentlichen dieselbe Dicke entlang ihrer Längen aufweisen. In einigen Ausführungsformen kann eine Gate-Elektrode der Gate-Struktur einen obersten Abschnitt über einem obersten Kanalelement und einen Zwischenelementabschnitt zwischen zwei benachbarten Kanalelementen aufweisen. Eine Breite des obersten Abschnitts kann einer Breite des Zwischenelementabschnitts entsprechen oder größer als diese sein. Die strukturellen Anordnungen der vorliegenden Offenbarung können die Leckage und die parasitäre Kapazität zwischen der Gate-Struktur und den Source-/Drain-Merkmalen verringern.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun ausführlicher unter Bezugnahme auf die Figuren beschrieben werden. 1 veranschaulicht ein Flussdiagramm eines Verfahrens 100 zum Bilden einer Halbleitervorrichtung aus einem Werkstück gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung. Das Verfahren 100 ist nur ein Beispiel und soll die vorliegende Offenbarung nicht auf das beschränken, was explizit bei dem Verfahren 100 veranschaulicht ist. Es können zusätzliche Schritte vor, während und nach dem Verfahren 100 bereitgestellt werden, und einige Schritte können für zusätzliche Ausführungsformen der Verfahren ersetzt, entfernt oder verschoben werden. Aus Vereinfachungsgründen sind nicht alle Schritte hierin detailliert beschrieben. Das Verfahren 100 wird nachstehend in Verbindung mit fragmentären Querschnittsansichten des Werkstücks in verschiedenen Phasen der Herstellung gemäß Ausführungsformen des Verfahrens 100 beschrieben.
  • Unter Bezugnahme auf 1 und 2 umfasst das Verfahren 100 einen Block 102, wo ein Werkstück 200 bereitgestellt wird. Es sei darauf hingewiesen, dass das Werkstück 200 je nach Zusammenhang auch als die Halbleitervorrichtung 200 bezeichnet werden kann, da das Werkstück 200 zu einer Halbleitervorrichtung verarbeitet werden wird. Das Werkstück 200 kann ein Substrat 202 und eine Vielzahl an Wannenregionen auf dem Substrat 202 aufweisen. In einigen Ausführungsformen, die in 2 veranschaulicht sind, weist das Werkstück 200 eine n-Wannenregion 202N (oder n-Wanne 202N) und eine p-Wannenregion 202P (oder p-Wanne 202P) zur Herstellung von Transistoren verschiedener Leitfähigkeitsarten auf. In einer Ausführungsform kann das Substrat 202 ein Siliziumsubstrat (Si-Substrat) sein. In einigen anderen Ausführungsformen kann das Substrat 202 andere Halbleiter, wie etwa Germanium (Ge), Siliziumgermanium (SiGe), oder ein III-V-Halbleitermaterial aufweisen. Beispielhafte III-V-Halbleitermaterialien können Galliumarsenid (GaAs), Indiumphosphid (InP), Galliumphosphid (GaP), Galliumnitrid (GaN), Galliumarsenidphosphid (GaAsP), Aluminiumindiumarsenid (AllnAs), Aluminiumgalliumarsenid (AlGaAs), Galliumindiumphosphid (GaInP) und Indiumgalliumarsenid (InGaAs) aufweisen. Das Substrat 202 kann auch eine Isolierschicht aufweisen, wie etwa eine Siliziumoxidschicht, um eine Silizium-auf-Isolator-Struktur (SOI-Struktur, silicon-oninsulator structure) aufzuweisen. Jede der n-Wanne 202N und der p-Wanne 202P ist aus dem Substrat 202 gebildet und weist ein Dotierprofil auf. Die n-Wanne 202N weist ein Dotierprofil eines n-Dotiermittels, wie etwa Phosphor (P) oder Arsen (As), auf. Die p-Wanne 202P weist ein Dotierprofil eines p-Dotiermittels, wie etwa Bor (B), auf. Die Dotierung der n-Wanne 202N und der p-Wanne 202P kann unter Verwendung von Ionenimplantation oder thermischer Diffusion gebildet werden und kann als Abschnitte des Substrats 202 betrachtet werden. Um Zweifel zu beseitigen, sind die X-Richtung, die Y-Richtung und die Z-Richtung senkrecht zueinander.
  • Unter Bezugnahme auf 1 und 3 umfasst das Verfahren 100 einen Block 104, wo ein Stapel 204 auf dem Werkstück 200 abgeschieden wird. Wie in 3 gezeigt ist, weist der Stapel 204 eine Vielzahl an Kanalschichten 208 auf, die mit einer Vielzahl an Opferschichten 206 verschachtelt sind. Die Kanalschichten 208 und die Opferschichten 206 können unterschiedliche Halbleiterzusammensetzungen aufweisen. Bei einigen Implementierungen sind die Kanalschichten 208 aus Silizium gebildet und sind die Opferschichten 206 aus Siliziumgermanium gebildet. Bei diesen Implementierungen erlaubt der zusätzliche Germaniumgehalt in den Opferschichten 206 eine selektive Entfernung oder Aussparung der Opferschichten 206 ohne wesentliche Beschädigungen der Kanalschichten 208. In einigen Ausführungsformen können die Opferschichten 206 und die Kanalschichten 208 unter Verwendung eines epitaxialen Prozesses abgeschieden werden. Geeignete Epitaxieprozesse für den Block 104 umfassen CVD-Abscheidungstechniken (z. B. Dampfphasenepitaxie (VPE, Vapor-Phase Epitaxy) und/oder Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie (MBE, Molecular Beam Epitaxy) und/oder andere geeignete Prozesse. Wie in 3 gezeigt ist, werden bei Block 104 die Opferschichten 206 und die Kanalschichten 208 abwechselnd eine nach der anderen abgeschieden, um den Stapel 204 zu bilden. Es sei darauf hingewiesen, dass drei (3) Schichten der Opferschichten 206 und drei (3) Schichten der Kanalschichten 208 abwechselnd und vertikal angeordnet sind, wie in 3 veranschaulicht ist, was nur Veranschaulichungszwecken dient und nicht über das, was in den Ansprüchen spezifisch aufgeführt ist, hinaus einschränkend sein soll. Es ist ersichtlich, dass eine beliebige Anzahl an Opferschichten und Kanalschichten in dem Stapel 204 gebildet werden kann. Die Anzahl an Schichten hängt von der gewünschten Anzahl an Kanalelementen für die Vorrichtung 200 ab. In einigen Ausführungsformen beträgt die Anzahl der Kanalschichten 208 zwischen 2 und 10. Zu Strukturierungszwecken kann eine Hartmaskenschicht 210 über dem Stapel 204 abgeschieden werden. Die Hartmaskenschicht 210 kann eine einzelne Schicht oder eine Mehrfachschicht sein. In einem Beispiel weist die Hartmaskenschicht 210 eine Siliziumoxidschicht und eine Siliziumnitridschicht auf. Wie in 3 gezeigt ist, wird der Stapel 204 sowohl über der n-Wanne 202N als auch der p-Wanne 202P abgeschieden. In einigen Fällen kann jede der Opferschichten 206 eine Dicke (entlang der Z-Richtung) von zwischen ungefähr 6 nm und ungefähr 15 nm aufweisen und kann jede der Kanalschichten 208 eine Dicke (entlang der Z-Richtung) von zwischen ungefähr 4 nm und ungefähr 8 nm aufweisen. Unter Berücksichtigung der Dicken der Kanalschichten 208 und der Opferschichten 206 können die Kanalschichten 208 mit einem Abstand von zwischen ungefähr 10 nm und ungefähr 23 nm angeordnet werden.
  • Unter Bezugnahme auf 1 und 4 umfasst das Verfahren 100 einen Block 106, wo eine Vielzahl an finnenförmigen Strukturen 212 aus dem Stapel 204 gebildet werden. In 4 weisen die Vielzahl an finnenförmigen Strukturen 212 mindestens eine erste finnenförmige Struktur 212-1 über der n-Wanne 202N und eine zweite finnenförmige Struktur 212-2 über der p-Wanne 202P auf. In einigen Ausführungsformen werden der Stapel 204 und das Substrat 202 (einschließlich der n-Wanne 202N und der p-Wanne 202P) strukturiert, um die finnenförmigen Strukturen 212 zu bilden. Wie in 4 gezeigt ist, erstrecken sich die finnenförmigen Strukturen 212 vertikal entlang der Z-Richtung von dem Substrat 202. Jede der finnenförmigen Strukturen 212 weist einen unteren Abschnitt, der aus dem Substrat gebildet ist, und einen oberen Abschnitt, der aus dem Stapel 204 gebildet ist, auf. Die finnenförmigen Strukturen 212 können unter Verwendung geeigneter Prozesse einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen strukturiert werden. Allgemein kombinieren Doppelstrukturierungs- oder Mehrfachstrukturierungsprozesse Photolithographie- und selbstausgerichtete Prozesse, was das Erzeugen von Mustern ermöglicht, die zum Beispiel Abstände aufweisen, die kleiner als das, was ansonsten unter Verwendung eines einzigen direkten Photolithographieprozesses erhalten werden kann, sind. Zum Beispiel wird in einer Ausführungsform eine Materialschicht über einem Substrat gebildet und unter Verwendung eines Photolithographieprozesses strukturiert. Abstandshalter werden entlang der strukturierten Materialschicht unter Verwendung eines selbstausgerichteten Prozesses gebildet. Die Materialschicht wird dann entfernt und die verbleibenden Abstandshalter oder Dorne können dann verwendet werden, um die finnenförmigen Strukturen 212 durch Ätzen des Stapels 204 und des Substrats 202 zu strukturieren. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE, Reactive Ion Etching) und/oder sonstige geeignete Prozesse umfassen.
  • Weiter unter Bezugnahme auf 1 und 4 umfasst das Verfahren 100 einen Block 108, wo ein Isolationsmerkmal 214 gebildet wird. Nachdem die finnenförmigen Strukturen 212 gebildet sind, wird das Isolationsmerkmal 214 zwischen benachbarten finnenförmigen Strukturen 212 gebildet. Das Isolationsmerkmal 214 kann auch als ein Flachgrabenisolationsmerkmal (STI-Merkmal, shallow trench isolation feature) 214 bezeichnet werden. In einem beispielhaften Prozess wird zunächst eine Dielektrikumsschicht über dem Werkstück 200 abgeschieden, wobei die Gräben zwischen den finnenförmigen Strukturen 212 mit dem dielektrischen Material gefüllt werden. In einigen Ausführungsformen kann die Dielektrikumsschicht Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein low-k-Dielektrikum, Kombinationen davon und/oder sonstige geeignete Materialien aufweisen. In verschiedenen Beispielen kann die Dielektrikumsschicht durch einen CVD-Prozess, einen subatmosphärischen CVD-Prozess (SACVD-Prozess), einen fließfähigen CVD-Prozess, einen ALD-Prozess, einen physischen Dampfabscheidungsprozess (PVD-Prozess, physical vapor deposition process), Spin-On-Coating und/oder einen sonstigen geeigneten Prozess abgeschieden werden. Das abgeschiedene dielektrische Material wird dann verdünnt und planarisiert, zum Beispiel durch einen chemisch-mechanischen Polierprozess (CMP-Prozess). Die planarisierte Dielektrikumsschicht wird weiter durch einen Trockenätzprozess, einen Nassätzprozess und/oder eine Kombination davon ausgespart, um das Isolationsmerkmal 214 zu bilden. Wie in 4 gezeigt ist, erheben sich die oberen Abschnitte der finnenförmigen Strukturen 212 über das Isolationsmerkmal 214. Wenngleich es nicht explizit in 4 gezeigt ist, kann die Hartmaskenschicht 210 auch während der Bildung des Isolationsmerkmals 214 entfernt werden.
  • Unter Bezugnahme auf 1,5 und 6 umfasst das Verfahren 100 einen Block 110, wo ein Dummy-Gate-Stapel 220 aus den finnenförmigen Strukturen 212 gebildet wird. In einigen Ausführungsformen wird ein Gate-Ersetzungsprozess (oder Gate-Last-Prozess) aufgenommen, wo der Dummy-Gate-Stapel 220 als Platzhalter für eine funktionelle Gate-Struktur dient. Es sind andere Prozesse und Konfigurationen möglich. In einigen Ausführungsformen wird der Dummy-Gate-Stapel 220 über dem Isolationsmerkmal 214 gebildet und mindestens teilweise über den finnenförmigen Strukturen 212 angeordnet. Wie in 5 gezeigt ist, erstreckt sich der Dummy-Gate-Stapel 220 der Länge nach entlang der Y-Richtung, um sich über die erste finnenförmige Struktur 212-1 und die zweite finnenförmige Struktur 212-2 zu wickeln. Der Dummy-Gate-Stapel 220 weist eine Dummy-Dielektrikumsschicht 216 und eine Dummy-Gate-Elektrode 218 auf. Um zu veranschaulichen, wie der Dummy-Gate-Stapel 220 über den finnenförmigen Strukturen 212 angeordnet ist, ist eine Querschnittsansicht entlang des Querschnitts A-A' in 6 bereitgestellt. Wie in 6 gezeigt ist, sind der Abschnitt der finnenförmigen Strukturen 212, der unter dem Dummy-Gate-Stapel 220 liegt, Kanalregionen 202C. Die Kanalregionen 202C definieren auch die Source-/Drain-Regionen 202SD, die nicht vertikal von dem Dummy-Gate-Stapel 220 überlappt werden. Jede der Kanalregionen 202C ist zwischen zwei Source-/Drain-Regionen 202SD angeordnet. Es sei darauf hingewiesen, dass das Isolationsmerkmal 214 nicht in 6 gezeigt ist, da die Querschnittsansicht in 6 durch die erste finnenförmige Struktur 212-1 geht.
  • In einigen Ausführungsformen wird der Dummy-Gate-Stapel 220 durch verschiedene Prozessschritte, wie etwa Schichtabscheidung, Strukturierung, Ätzen sowie andere geeignete Verarbeitungsschritte gebildet. Beispielhafte Schichtabscheidungsprozesse umfassen Niedrigdruck-CVD, CVD, plasmaverstärkte CVD (PECVD, Plasma-Enhanced CVD), PVD, ALD, thermische Oxidation, Elektronenstrahlverdampfung oder sonstige geeignete Abscheidungstechniken oder Kombinationen davon. Zum Beispiel kann der Strukturierungsprozess einen Lithographieprozess (z. B. Photolithographie oder Elektronenstrahllithographie) umfassen, welcher ferner Photoresistbeschichtung (z. B. Spin-on-Coating), Weichbrennen, Maskenausrichtung, Belichtung, Nachbelichtungsbrennen, Photoresistentwicklung, Spülen, Trocknen (z. B. Spin-Trocknen und/oder Hartbrennen), sonstige geeignete Lithographietechniken und/oder Kombinationen davon umfassen kann. In einigen Ausführungsformen kann der Ätzprozess Trockenätzen (z. B. RIE-Ätzen), Nassätzen und/oder sonstige Ätzverfahren umfassen. In einem beispielhaften Prozess werden die Dummy-Dielektrikumsschicht 216, eine Dummy-Elektrodenschicht für die Dummy-Gate-Elektrode 218, und eine obere Gate-Hartmaskenschicht 219 der Reihe nach über dem Werkstück 200, einschließlich über den finnenförmigen Strukturen 212 (einschließlich der ersten finnenförmigen Struktur 212-1 und der zweiten finnenförmigen Struktur 212-2) abgeschieden. Die Abscheidung kann unter Verwendung eines CVD-Prozesses, eines subatmosphärischen CVD-Prozesses (SACVD-Prozesses), eines fließfähigen CVD-Prozesses, eines ALD-Prozesses, eines PVD-Prozesses oder eines sonstigen geeigneten Prozesses erfolgen. Die Dummy-Dielektrikumsschicht 216 und die Dummy-Elektrodenschicht werden dann unter Verwendung von Photolithographieprozessen strukturiert, um den Dummy-Gate-Stapel 220 zu bilden. In einigen Ausführungsformen kann die Dummy-Dielektrikumsschicht 216 Siliziumoxid aufweisen und kann die Dummy-Gate-Elektrode 218 polykristallines Silizium (Polysilizium) aufweisen. Die obere Gate-Hartmaskenschicht 219 kann eine Siliziumoxidschicht und eine Nitridschicht aufweisen. Beispielhaft kann die Dummy-Dielektrikumsschicht 216 verwendet werden, um Beschädigungen der finnenförmigen Strukturen 212 während darauffolgenden Prozessen (z. B. die Bildung des Dummy-Gate-Stapels) zu verhindern. In einigen Ausführungsformen kann die Gate-Abstandshalterschicht 222 eine Dicke (entlang der X-Richtung) von zwischen ungefähr 3 nm und ungefähr 12 nm aufweisen.
  • Unter Bezugnahme auf 6 wird nach der Bildung des Dummy-Gate-Stapels 220 die Dummy-Dielektrikumsschicht 216, die nicht von der Dummy-Gate-Elektrode 218 abgedeckt wird, entfernt. Der Entfernungsprozess kann ein Nassätzen, ein Trockenätzen und/oder eine Kombination davon umfassen. Der Ätzprozess wird gewählt, um selektiv die Dummy-Dielektrikumsschicht 216 zu ätzen, ohne im Wesentlichen die finnenförmigen Strukturen 212 und die Dummy-Gate-Elektrode 218 zu ätzen. Nachdem die überschüssige Dummy-Dielektrikumsschicht 216 über der finnenförmigen Struktur 212 entfernt ist, wird eine Gate-Abstandshalterschicht 222 entlang der Seitenwände des Dummy-Gate-Stapels 220 gebildet. In einigen Ausführungsformen umfasst die Bildung der Gate-Abstandshalterschicht 222 ein konformales Abscheiden von einer oder mehreren Dielektrikumsschichten über dem Werkstück 200 und ein Rückätzen der Gate-Abstandshalterschicht 222 von nach oben gerichteten Flächen des Werkstücks 200. In einem beispielhaften Prozess werden die eine oder die mehreren Dielektrikumsschichten unter Verwendung von CVD, SACVD oder ALD abgeschieden und in einem anisotropen Ätzprozess rückgeätzt, um die Gate-Abstandshalterschicht 222 zu bilden. Die Gate-Abstandshalterschicht 222 kann Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxynitrid, Siliziumcarbonitrid, Siliziumoxycarbid, Siliziumoxycarbonitrid und/oder Kombinationen davon aufweisen.
  • In einigen Ausführungsformen kann eine Isolationsgate-Struktur gebildet werden, um eine finnenförmige Struktur 212 in zwei Segmente aufzuteilen. Die Isolationsgate-Struktur kann vor dem Dummy-Gate-Stapel 220, jedoch nach der Bildung der Gate-Abstandshalterschicht 222 gebildet werden. Ein Beispiel ist in 19 veranschaulicht, welche eine Isolationsgate-Struktur 240 entlang eines Dummy-Gate-Stapels 220 veranschaulicht. Die Isolationsgate-Struktur 240 erstreckt sich der Länge nach entlang der Y-Richtung parallel zu dem Dummy-Gate-Stapel 220. Im Unterschied zu dem Dummy-Gate-Stapel 220 ist die Isolationsgate-Struktur 240 isolierend und aus einem dielektrischen Material, wie etwa Siliziumnitrid oder ein Metalloxid, gebildet. Beispielhafte Metalloxide umfassen Aluminiumoxid, Zirkoniumoxid, Titanoxid, Tantaloxid oder Hafniumoxid. Um eine Isolationsgate-Struktur zu bilden, kann zunächst die finnenförmige Struktur 212 geätzt werden, um einen Graben zu bilden, der sich durch den Stapel 204 erstreckt, und kann dann ein dielektrisches Material in den Graben abgeschieden werden, um die Isolationsgate-Struktur zu bilden. Für eine zufriedenstellende Isolierung sollten der Graben sowie die Isolationsgate-Struktur die finnenförmige Struktur vollständig durchtrennen. Wie in 19 gezeigt ist, erstreckt sich die Isolationsgate-Struktur 240 durch die erste finnenförmige Struktur 212-1 in die n-Wanne 202N hinein. Da die Gate-Abstandshalterschicht 222 nach der Bildung der Isolationsgate-Struktur 240 gebildet wird, wird sie auf Seitenwänden der Isolationsgate-Struktur 240 angeordnet.
  • Es sei darauf hingewiesen, dass 6 sowie die darauffolgenden 7-24 nur die Querschnittsansicht über die n-Wanne 202N entlang der Y-Richtung veranschaulichen. Es können ähnliche Prozesse bei den Strukturen über der p-Wanne 202P entweder der Reihe nach unter Verwendung verschiedener Gruppen von Photolithographiemasken oder gleichzeitig unter Verwendung dergleichen Gruppe der Photolithographiemaske durchgeführt werden.
  • Unter Bezugnahme auf 1,7 und 20 umfasst das Verfahren 100 einen Block 112, wo Source-/Drain-Gräben 224 in den finnenförmigen Strukturen 212 gebildet werden. In den Ausführungsformen, die in 7 und 20 dargestellt sind, werden die Source-/Drain-Regionen 202SD der ersten finnenförmigen Strukturen 212-1 ausgespart, um die Source-/Drain-Gräben 224 zu bilden. Wie in 7 gezeigt ist, werden die Source-/Drain-Regionen 202SD, die nicht von der oberen Gate-Hartmaskenschicht 219 oder der Gate-Abstandshalterschicht 222 maskiert werden, geätzt. Wie in 20 gezeigt ist, werden in Ausführungsformen, wo die Isolationsgate-Struktur 240 implementiert wird, die Source-/Drain-Regionen 202SD, die nicht von der Gate-Abstandshalterschicht 222, der Isolationsgate-Struktur 240 oder der oberen Gate-Hartmaskenschicht 219 maskiert werden, geätzt. In Beispielen, die in den 7 und 20 gezeigt sind, können sich die Source-/Drain-Gräben 224 teilweise in die Wannenregion (d. h., die n-Wanne 202N) hinein erstrecken. Der Ätzprozess bei Block 112 kann ein Trockenätzprozess oder ein geeigneter Ätzprozess sein. Zum Beispiel kann der Trockenätzprozess ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z. B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z. B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z. B. HBr und/oder CHBR3), ein iodinhaltiges Gas, sonstige geeignete Gase und/oder Plasmen und/oder Kombinationen davon implementieren. Wie in 7 und 20 gezeigt ist, sind Seitenwände der Opferschichten 206 und der Kanalschichten 208 in den Source-/Drain-Gräben 224 freigelegt.
  • Unter Bezugnahme auf 1, 8, 12 und 21 umfasst das Verfahren 100 einen Block 114, wo innere Abstandshalteraussparungen gebildet werden. Bei Block 114 werden die Opferschichten 206, die in den Source-/Drain-Gräben 224 freigelegt sind, selektiv und teilweise ausgespart, um erste innere Abstandshalteraussparungen 226-1 (in 8 und 21 gezeigt) oder zweite innere Abstandshalteraussparungen 226-2 (in 12 gezeigt) zu bilden, während die freigelegten Kanalschichten 208 im Wesentlichen ungeätzt sind. In einer Ausführungsform, wo die Kanalschichten 208 im Wesentlichen aus Silizium (Si) bestehen und die Opferschichten 206 im Wesentlichen aus Siliziumgermanium (SiGe) bestehen, kann die selektive und teilweise Aussparung der Opferschichten 206 einen SiGe-Oxidationsprozess gefolgt von einer SiGe-Oxid-Entfernung umfassen. In diesen Ausführungsformen kann der SiGe-Oxidationsprozess die Verwendung von Ozon umfassen. In einigen anderen Ausführungsformen kann die selektive Aussparung ein selektiver isotroper Ätzprozess (z. B. ein selektiver Trockenätzprozess oder ein selektiver Nassätzprozess) sein und wird das Maß, in dem die Opferschichten 206 ausgespart werden, durch die Dauer des Ätzprozesses gesteuert. Der selektive Trockenätzprozess kann die Verwendung von einem oder mehreren fluorbasierten Ätzmitteln, wie etwa Fluorgas oder Fluorwasserstoffkohlenstoffe, umfassen. Der selektive Nassätzprozess kann ein Fluorwasserstoffätzmittel (HF-Ätzmittel) oder ein NH4OH-Ätzmittel aufweisen.
  • In einigen Ausführungsformen unterscheidet sich eine erste innere Abstandshalteraussparung 226-1 in 8 und 21 von einer zweiten inneren Abstandshalteraussparung 226-2 in 12 hinsichtlich der Tiefe entlang der X-Richtung. Sowohl die ersten inneren Abstandshalteraussparungen 226-1 als auch die zweiten inneren Abstandshalteraussparungen 226-2 erstrecken sich seitlich entlang der X-Richtung in die Kanalregion 202C hinein. Wie durch die gestrichelten Linien in 8, 12 und 21 angezeigt wird, erstreckt sich die erste innere Abstandshalteraussparung 226-1 nicht unter die Dummy-Gate-Elektrode 218, während sich die zweite innere Abstandshalteraussparung 226-2 unter die Dummy-Gate-Elektrode 218 erstreckt. Mit anderen Worten liegt die erste innere Abstandshalteraussparung 226-1 vollständig unterhalb der Gate-Abstandshalterschicht 222 entlang der Z-Richtung, während die zweite innere Abstandshalteraussparung 226-2 sowohl unterhalb der Gate-Abstandshalterschicht 222 als auch der Dummy-Gate-Elektrode 218 entlang der Z-Richtung liegt. Entlang der Y-Richtung gesehen sind sowohl die erste innere Abstandshalteraussparung 226-1 als auch die zweite innere Abstandshalteraussparung 226-2 konkav und weisen ein C-förmiges Profil auf.
  • Unter Bezugnahme auf 1, 9, 13, 16 und 22 umfasst das Verfahren 100 einen Block 116, wo innere Abstandshaltermerkmale in den inneren Abstandshalteraussparungen gebildet werden. Die vorliegende Offenbarung stellt verschiedene beispielhafte Implementierungen der inneren Abstandshaltermerkmale bereit. 9 veranschaulicht ein Beispiel, wo erste innere Abstandshaltermerkmale 228-1 in erste innere Abstandshalteraussparungen 226-1 gebildet sind. Da sich die ersten inneren Abstandshalteraussparungen 226-1 nicht unter den Dummy-Gate-Stapel 220 erstrecken, tun dies die ersten inneren Abstandshaltermerkmale 228-1 auch nicht. 13 veranschaulicht ein Beispiel, wo zweite innere Abstandshaltermerkmale 228-2 in zweite innere Abstandshalteraussparungen 226-2 gebildet sind. Da sich die zweiten inneren Abstandshalteraussparungen 226-2 unter den Dummy-Gate-Stapel 220 erstrecken, erstrecken sich die zweiten inneren Abstandshaltermerkmale 228-2 auch unter den Dummy-Gate-Stapel 220. 16 veranschaulicht ein Beispiel, wo dritte innere Abstandshaltermerkmale 228-3 in erste innere Abstandshalteraussparungen 226-1 gebildet sind. Im Unterschied zu dem ersten inneren Abstandshaltermerkmal 228-1 und dem zweiten inneren Abstandshaltermerkmal 228-2 ist das dritte innere Abstandshaltermerkmal 228-3 eine Mehrfachschicht und weist eine Auskleidungsschicht 229 und eine Füllschicht 231 auf. Wenngleich die dritten inneren Abstandshaltermerkmale 228-3 derart gezeigt sind, dass sie in die ersten inneren Abstandshalteraussparungen 226-1 hinein gebildet sind, können sie auch in die zweiten inneren Abstandshalteraussparungen 226-2 hinein gebildet sein. 22 veranschaulicht ein Beispiel, wo erste innere Abstandshaltermerkmale 228-1 in erste innere Abstandshalteraussparungen 226-1 benachbart zu einer Isolationsgate-Struktur 240 gebildet sind.
  • In einem beispielhaften Prozess wird/werden die innere(n) Abstandshalterschicht(en) zunächst konformal unter Verwendung von CVD, PECVD, LPCVD, ALD oder eines sonstigen geeigneten Verfahrens über dem Werkstück 200 abgeschieden und dann rückgeätzt, um die innere(n) Abstandshalterschicht(en) außerhalb der inneren Abstandshalteraussparungen zu entfernen. In dem in 9 gezeigten Beispiel wird eine innere Abstandshalterschicht für das erste innere Abstandshaltermerkmal 228-1 zunächst über dem Werkstück 200 einschließlich der Flächen der n-Wanne 202N, der ersten inneren Abstandshalteraussparungen 226-1, der Kanalschichten 208 und der Gate-Abstandshalterschicht 222 abgeschieden. Die abgeschiedene innere Abstandshalterschicht wird dann rückgeätzt, um die innere Abstandshalterschicht auf den Kanalschichten 208 und der Gate-Abstandshalterschicht 222 zum Bilden der ersten inneren Abstandshaltermerkmale 228-1 zu entfernen. Die innere Abstandshalterschicht kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbid oder Siliziumoxycarbonitrid aufweisen. In dem in 13 gezeigten Beispiel wird eine innere Abstandshalterschicht für das zweite innere Abstandshaltermerkmal 228-2 zunächst über dem Werkstück 200 einschließlich der Flächen der n-Wanne 202N, der zweiten inneren Abstandshalteraussparungen 226-2, der Kanalschichten 208 und der Gate-Abstandshalterschicht 222 abgeschieden. Die abgeschiedene innere Abstandshalterschicht wird dann rückgeätzt, um die innere Abstandshalterschicht auf den Kanalschichten 208 und der Gate-Abstandshalterschicht 222 zu entfernen, um die zweiten inneren Abstandshaltermerkmale 228-2 zu bilden. Das zweite innere Abstandshaltermerkmal 228-2 und das erste innere Abstandshaltermerkmal 228-1 können dieselbe Zusammensetzung aufweisen.
  • Bei dem in 16 gezeigten Beispiel werden die Auskleidungsschicht 229 und die Füllschicht 231 der Reihe nach und konformal über dem Werkstück 200, einschließlich der Flächen der n-Wanne 202N, der ersten inneren Abstandshalteraussparungen 226-1, der Kanalschichten 208 und der Gate-Abstandshalterschicht 222 abgeschieden. Die abgeschiedene Auskleidungsschicht 229 und die abgeschiedene Füllschicht 231 werden dann rückgeätzt, um die überschüssige Auskleidungsschicht 229 und die überschüssige Füllschicht 231 auf den Kanalschichten 208 und der Gate-Abstandshalterschicht 222 zu entfernen, um die dritten inneren Abstandshaltermerkmale 228-3 zu bilden. Wenngleich die Auskleidungsschicht 229 und die Füllschicht 231 aus einer Gruppe ausgewählt werden können, die aus Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbid und Siliziumoxycarbonitrid besteht, unterscheidet sich eine Zusammensetzung der Auskleidungsschicht 229 von der Füllschicht 231. In einigen Fällen ist eine dielektrische Konstante der Auskleidungsschicht 229 größer als eine dielektrische Konstante der Füllschicht 231. In einem Beispiel ist die dielektrische Konstante der Auskleidungschicht 229 größer als 4,6 und ist die dielektrische Konstante der Füllschicht 231 kleiner als 4,6. In einigen Fällen ist ein Stickstoffgehalt der Auskleidungsschicht 229 größer als ein Stickstoffgehalt der Füllschicht 231. Es ist beobachtet worden, dass die dielektrische Konstante und Stickstoffkontakt für eine höhere Ätzresistenz stehen können. Die Auskleidungsschicht 229 steht in direktem Kontakt mit den Kanalschichten 208 und erfordert eine höhere Ätzresistenz, um die Kanalschichten 208 zu schützen. Zusätzlich neigt der höhere Stickstoffgehalt dazu, zu einer dichteren Konstruktion und einem geringeren Sauerstoffgehalt zu führen, was beides beim Schützen der Kanalschichten vor einer Oxidation vorteilhaft ist.
  • Bei dem in 22 gezeigten Beispiel wird eine innere Abstandshalterschicht für das erste innere Abstandshaltermerkmal 228-1 zunächst über dem Werkstück 200 einschließlich der Flächen der n-Wanne 202N, der ersten inneren Abstandshalteraussparungen 226-1, der Kanalschichten 208 und der Gate-Abstandshalterschicht 222 abgeschieden. Die abgeschiedene innere Abstandshalterschicht wird dann rückgeätzt, um die innere Abstandshalterschicht auf den Kanalschichten 208 und der Gate-Abstandshalterschicht 222 zu entfernen, um die ersten inneren Abstandshaltermerkmale 228-1 zu bilden. Wie in 22 gezeigt ist, kann das erste innere Abstandshaltermerkmal 228-1 benachbart zu der Isolationsgate-Struktur 240 sein oder diese berühren. Die teilweise ausgesparten Opferschichten 206 benachbart zu der Isolationsgate-Struktur 240 können von dem ersten inneren Abstandshaltermerkmal 228-1 bedeckt oder abgedichtet werden. Für eine bessere Bezugnahme können die ausgesparten Opferschichten 206 benachbart zu der Isolationsgate-Struktur 240 als ein Bullhorn-Merkmal 2060 bezeichnet werden. Da die Isolationsgate-Struktur 240 nicht entfernt werden wird, wenn der Dummy-Gate-Stapel 220 entfernt wird, werden die Bullhorn-Merkmale 2060 in der Endstruktur der Halbleitervorrichtung 200 verbleiben. Wie in 22 gezeigt ist, ist entlang der Y-Richtung gesehen jedes der ersten inneren Abstandshaltermerkmale 228-1 konvex und jedes der Bullhorn-Merkmale 2060 konkav, um das konvexe erste innere Abstandshaltermerkmal 228-1 aufzunehmen. Die Spitzen oder Hörner des Bullhorn-Merkmals 2060 erstrecken sich zwischen dem ersten inneren Abstandshaltermerkmal 228-1 und der Kanalschicht 208.
  • Unter Bezugnahme auf 1, 10, 14, 17 und 23 umfasst das Verfahren 100 einen Block 118, wo die Source-/Drain-Merkmale 230 in den Source-/Drain-Gräben 224 gebildet sind. In einigen Ausführungsformen können die Source-/Drain-Merkmale 230 unter Verwendung eines epitaxialen Prozesses, wie etwa Dampfphasenepitaxie (VPE, Vapor-Phase Epitaxy), ultrahohe Vakuum-CVD (UHV-CVD), Molekularstrahlepitaxie (MBE, Molecular Beam Epitaxy) und/oder sonstiger geeigneter Prozesse gebildet werden. Der epitaxiale Züchtungsprozess kann gasförmige und/oder flüssige Vorläufer verwenden, welche mit der Zusammensetzung des Substrats 202 sowie der Kanalschichten 208 interagieren. In den Ausführungsformen, die in 10, 14, 17 und 23 dargestellt sind, sind die Source-/Drain-Merkmale 230, die über der n-Wanne 202N gebildet sind, p-Source-/Drain-Merkmale. Wenngleich es nicht explizit in den Zeichnungen gezeigt ist, sind die Source-/Drain-Merkmale 230, die über der p-Wanne 202P gebildet sind, n-Source-/Drain-Merkmale. Beispielhafte n-Source-/Drain-Merkmale können Si, GaAs, GaAsP, SiP oder ein sonstiges geeignetes Material aufweisen und können während dem epitaxialen Prozess durch Einbringen eines n-Dotiermittels, wie etwa Phosphor (P), Arsen (As), oder beides, vor Ort dotiert werden. Wenn die Source-/Drain-Merkmale 230 nicht vor Ort mit einem n-Dotiermittel dotiert werden, kann ein Implantationsprozess (d. h., ein Übergangsimplantierprozess) durchgeführt werden, um die Source-/Drain-Merkmale 230 mit einem n-Dotiermittel zu dotieren. Beispielhafte p-Source-/Drain-Merkmale können Si, Ge, AlGaAs, SiGe, bordotiertes SiGe oder ein sonstiges geeignetes Material aufweisen und können während dem epitaxialen Prozess durch Einbringen eines n-Dotiermittels, wie etwa Phosphor (P), Arsen (As), oder beides, vor Ort dotiert werden. Wenn die Source-/Drain-Merkmale 230 nicht vor Ort mit einem p-Dotiermittel dotiert werden, kann ein Implantationsprozess (z. B. ein Übergangsimplantierprozess) durchgeführt werden, um die Source-/Drain-Merkmale 230 mit einem p-Dotiermittel zu dotieren.
  • Unter Bezugnahme auf 1, 11, 15, 18 und 24 umfasst das Verfahren 100 einen Block 120, wo eine Kontaktätzstoppschicht (CESL, Contact Etch Stop Layer) 233 über dem Werkstück 200 abgeschieden wird. Die CESL 233 kann Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid und/oder sonstige Materialien, die im Stand der Technik bekannt sind, aufweisen. Die CESL 233 kann durch ALD, einen plasmaverstärkten chemischen Dampfabscheidungsprozess (PECVD-Prozess) und/oder sonstige geeignete Abscheidungs- oder Oxidationsprozesse gebildet werden. Wie in 11, 15, 18 und 24 gezeigt ist, kann die CESL 233 auf oberen Flächen der Source-/Drain-Merkmale 230 und entlang von Seitenwänden der Gate-Abstandshalterschicht 222 abgeschieden werden. Wenngleich die CESL 233 auch über der oberen Fläche der Gate-Abstandshalterschicht 222, der oberen Gate-Hartmaskenschicht 219 und der Isolationsgate-Struktur 240 abgeschieden wird, veranschaulichen 11, 15, 18 und 24 nur Querschnittsansichten, nachdem der Dummy-Gate-Stapel 220 entfernt und durch eine Gate-Struktur 234 ersetzt ist.
  • Unter Bezugnahme auf 1, 11, 15, 18 und 24 umfasst das Verfahren 100 einen Block 122, wo eine Zwischenschichtdielektrikumsschicht (ILD-Schicht, interlayer dielectric layer) 232 über dem Werkstück 200 abgeschieden wird. Bei Block 122 wird die ILD-Schicht 232 über der CESL 233 abgeschieden. In einigen Ausführungsformen weist die ILD-Schicht 232 Materialien, wie etwa Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid, wie etwa Borphosphosilikatglas (BPSG), geschmolzenes Quarzglas (FSG, Fused Silica Glas), Phosphosilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder sonstige geeignete dielektrische Materialien auf. Die ILD-Schicht 232 kann durch einen PECVD-Prozess oder eine andere geeignete Abscheidungstechnik abgeschieden werden. In einigen Ausführungsformen kann nach der Bildung der ILD-Schicht 232 das Werkstück 200 getempert werden, um die Integrität der ILD-Schicht 232 zu verbessern. Um überschüssige Materialien zu entfernen und obere Fläche der Dummy-Gate-Stapel 220 freizulegen, kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanischer Polierprozess (CMP-Prozess), durchgeführt werden.
  • Unter Bezugnahme auf 1, 11, 15, 18 und 24 umfasst das Verfahren 100 einen Block 124, wo der Dummy-Gate-Stapel 220 entfernt wird. In einigen Ausführungsformen führt das Entfernen der Dummy-Gate-Stapel 220 zu Gate-Gräben über den Kanalregionen 202C. Es kann eine Gate-Struktur 234 (die nachstehend beschrieben werden wird) darauffolgend in den Gate-Gräben gebildet werden, wie nachstehend beschrieben werden wird. Das Entfernen der Dummy-Gate-Stapel 220 kann einen oder mehrere Ätzprozesse umfassen, die bezüglich des Materials in dem Dummy-Gate-Stapel 220 selektiv sind. Zum Beispiel kann das Entfernen des Dummy-Gate-Stapels 220 unter Verwendung eines selektiven Nassätzens, eines selektiven Trockenätzens oder einer Kombination davon durchgeführt werden. Nach dem Entfernen der Dummy-Gate-Stapel 220 sind Seitenwände der Kanalschichten 208 und Opferschichten 206 in den Kanalregionen 202C in den Gate-Gräben freigelegt.
  • Unter Bezugnahme auf 1, 11, 15, 18 und 24 umfasst das Verfahren 100 einen Block 126, wo die Opferschichten 206 in den Kanalregionen 202C selektiv entfernt werden, um die Kanalelemente 208 freizugeben. Nach dem Entfernen der Dummy-Gate-Stapel 220 kann das Verfahren 100 Operationen zum selektiven Entfernen der Opferschichten 206 zwischen den Kanalschichten 208 in den Kanalregionen 202C umfassen. Das selektive Entfernen der Opferschichten 206 gibt die Kanalschichten 208 frei, um die Kanalelemente 208 zu bilden. Es sei darauf hingewiesen, dass der Einfachheit wegen dasselbe Bezugszeichen 208 verwendet wird, um die Kanalelemente 208 zu bezeichnen. Das selektive Entfernen der Opferschichten 206 kann durch selektives Trockenätzen, selektives Nassätzen oder sonstige selektive Ätzprozesse implementiert werden. In einigen Ausführungsformen umfasst das selektive Nassätzen ein APM-Ätzmittel (z. B. eine Ammoniakhydroxid-Wasserstoffperoxid-Wasser-Mischung). In einigen Ausführungsformen umfasst das selektive Entfernen eine SiGe-Oxidation gefolgt von einem Siliziumgermaniumoxidentfernen. Zum Beispiel kann die Oxidation durch eine Ozonreinigung bereitgestellt werden und wird dann Siliziumgermaniumoxid durch ein Ätzmittel, wie etwa NH4OH, entfernt.
  • Unter Bezugnahme auf 1, 11, 15, 18 und 24 umfasst das Verfahren 100 einen Block 128, wo eine Gate-Struktur 234 über und um die Kanalelemente 208 herum gebildet wird. Bei Block 128 wird die Gate-Struktur 234 innerhalb der Gate-Gräben über dem Werkstück 200 gebildet und in dem Raum abgeschieden, der durch das Entfernen der Opferschichten 206 in den Kanalregionen 202C zurückgelassen wurde. Diesbezüglich umwickelt die Gate-Struktur 234 jedes der Kanalelemente 208 auf der Y-Z-Ebene. In einigen Ausführungsformen weist die Gate-Struktur 234 eine Gate-Dielektrikumsschicht 236 und eine Gate-Elektrode (einschließlich einer ersten Gate-Elektrode 238, die in den 11, 18 und 24 gezeigt ist, und einer zweiten Gate-Elektrode 238', die in 15 gezeigt ist), die über der Gate-Dielektrikumsschicht 236 gebildet ist, auf. Bei einem beispielhaften Prozess kann die Bildung der Gate-Struktur 234 die Abscheidung der Gate-Dielektrikumsschicht 236, die Abscheidung der Gate-Elektrode und einen Planarisierungsprozess zum Entfernen von überschüssigem Material umfassen.
  • In einigen Ausführungsformen kann die Gate-Dielektrikumsschicht 236 eine Grenzflächenschicht und eine high-k-Dielektrikumsschicht aufweisen. High-k-Gate-Dielektrika, wie sie hierin verwendet und beschrieben werden, weisen dielektrische Materialien auf, die eine hohe dielektrische Konstante aufweisen, die zum Beispiel größer als jene von thermischem Siliziumoxid (~3,9) ist. Die Grenzflächenschicht kann ein dielektrisches Material, wie etwa Siliziumoxid, Hafniumsilikat oder Siliziumoxynitrid, aufweisen. Die Grenzflächenschicht kann unter Verwendung von chemischer Oxidation, thermischer Oxidation, Atomschichtabscheidung (ALD), chemischer Dampfabscheidung (CVD) und/oder eines sonstigen geeigneten Verfahrens abgeschieden werden. Die high-k-Dielektrikumsschicht kann eine high-k-Dielektrikumsschicht, wie etwa Hafniumoxid, umfassen. Alternativ kann die high-k-Dielektrikumsschicht andere high-k-Dielektrika, wie etwa Titanoxid (Ti02), Hafniumzirkoniumoxid (HfZrO), Tantaloxid (Ta2O5), Hafniumsiliziumoxid (HfSiO4), Zirkoniumoxid (Zr02), Zirkoniumsiliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirkoniumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafniumlanthanoxid (HfLaO), Lanthansiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafniumtantaloxid (HfTaO), Hafniumtitanoxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Kombinationen davon, oder ein sonstiges geeignetes Material aufweisen. Die high-k-Dielektrikumsschicht kann durch ALD, physische Dampfabscheidung (PVD), CVD, Oxidation und/oder sonstige geeignete Verfahren gebildet werden.
  • Die Gate-Elektrode der Gate-Struktur 234 kann eine einzelne Schicht oder alternativ eine Mehrfachschichtstruktur aufweisen, wie etwa verschiedene Kombinationen einer Metallschicht mit einer ausgewählten Austrittsarbeit, um die Vorrichtungsleistung zu verbessern (Austrittsarbeitsmetallschicht), einer Auskleidungsschicht, einer Benetzungsschicht, einer Adhäsionsschicht, einer Metalllegierung oder eines Metallsilizids. Beispielhaft kann die Gate-Elektrode Titannitrid (TiN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Tantalnitrid (TaN), Tantalaluminium (TaAl) Tantalaluminiumnitrid (TaAlN), Tantalaluminiumcarbid (TaAlC), Tantalcarbonitrid (TaCN), Aluminium (AI), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt), Tantalcarbid (TaC), Tantalsiliziumnitrid (TaSiN), Kupfer (Cu), sonstige hitzebeständige Metalle oder sonstige geeignete metallische Materialien oder eine Kombination davon aufweisen. In verschiedenen Ausführungsformen kann die Gate-Elektrode der Gate-Struktur 234 durch ALD, PVD, CVD, Elektronenstrahlverdampfung oder einen sonstigen geeigneten Prozess gebildet werden. Ferner kann die Gate-Elektrode separat für p-Transistoren über der n-Wanne 202N und p-Transistoren über der p-Wanne 202P gebildet werden, welche verschiedene Metallschichten (z. B. zum Bereitstellen von verschiedenen n- und p-Austrittsarbeitsmetallschichten) verwenden können. In verschiedenen Ausführungsformen kann ein Planarisierungsprozess, wie etwa ein CMP-Prozess, durchgeführt werden, um überschüssiges Metall von der Gate-Elektrode der Gate-Struktur 234 zu entfernen, und daher eine im Wesentlichen planare obere Fläche der Gate-Struktur 234 bereitzustellen.
  • Je nach der Art der inneren Abstandshaltermerkmale, die verwendet werden, kann die Gate-Elektrode verschiedene Ausbildungen bezüglich benachbarter Strukturen aufweisen. Unter Bezugnahme zunächst auf 11, wenn das erste innere Abstandshaltermerkmal 228-1 implementiert wird, weist die Gate-Struktur 234 eine erste Gate-Elektrode 238 auf. Die erste Gate-Elektrode 238 weist einen obersten Abschnitt 238T oberhalb des obersten Kanalelements 208 und eine Vielzahl an Zwischenelementabschnitten 238L, die zwischen zwei benachbarten Kanalelementen 208 angeordnet sind, auf. Jedes der Kanalelemente 208 weist entlang der Z-Richtung einen Kanalabschnitt 208C, der unter dem obersten Abschnitt 238T liegt, und einen Verbindungsabschnitt 208K, der unter der Gate-Abstandshalterschicht 222 liegt, auf. Der Verbindungsabschnitt 208K ist mit dem Source-/Drain-Merkmal gekoppelt und ist zwischen dem Kanalabschnitt 208C und dem Source-/Drain-Merkmal 230 angeordnet. Wie in 11 gezeigt ist, weisen der oberste Abschnitt 238T und die Zwischenelementabschnitte 238L dieselbe erste Breite W1 entlang der X-Richtung auf, wenn das erste innere Abstandshaltermerkmal 228-1 implementiert wird. Die Gate-Dielektrikumsschicht 236 weist Spitzen 2360 auf, die sich ungefähr 0,5 nm bis ungefähr 3 nm zwischen einem Verbindungsabschnitt 208K und einem ersten inneren Abstandshaltermerkmal 228-1 erstrecken. Folglich ist eine Breite der Gate-Dielektrikumsschicht 236 entlang der X-Richtung größer als die erste Breite W1. Entlang der Y-Richtung gesehen wird jedes des ersten inneren Abstandshaltermerkmals 228-1 von zwei Spitzen 2360 der Gate-Dielektrikumsschicht 236 „umarmt“ oder umwickelt. Die Spitzen 2360 bieten Vorteile. Zum Beispiel füllen die Spitzen 2360 die Grenzfläche zwischen dem ersten inneren Abstandshaltermerkmal 228-1 und dem Verbindungsabschnitt 208K, wodurch verhindert wird, dass sich die Zwischenelementabschnitte 238L zwischen dem ersten inneren Abstandshaltermerkmal 228-1 und dem Verbindungsabschnitt 208K erstrecken. Mit anderen Worten helfen die Spitzen 2360, eine zufriedenstellende Trennung zwischen der Gate-Elektrode von den Source-/Drain-Merkmalen 230 beizubehalten. Bei einem anderen Beispiel können die Spitzen 2360, die das dielektrische high-k-Material aufweisen, die Gate-Steuerung oder das elektrische Feld entlang der X-Richtung ausdehnen. Folglich kann eine größere effektive Kanallänge erhalten werden, wenngleich die tatsächliche Kanallänge dieselbe bleibt. Die größere effektive Kanallänge kann zu einer Durchlassansteuerverbesserung sowie einer Sperrleckagestromverringerung (Ioff-Verringerung) beitragen. Zumindest aus diesen Gründen ist die konvexe Form oder C-Form des ersten inneren Abstandshaltermerkmals 228-1 nicht unbedeutend.
  • Unter Bezugnahme auf 15, wenn das zweite innere Abstandshaltermerkmal 228-2 implementiert wird, weist die Gate-Struktur 234 eine zweite Gate-Elektrode 238' auf. Die zweite Gate-Elektrode 238' weist einen obersten Abschnitt 238T oberhalb des obersten Kanalelements 208 und eine Vielzahl an kurzen Zwischenelementabschnitten 238S, die zwischen zwei benachbarten Kanalelementen 208 angeordnet sind, auf. Jedes der Kanalelemente 208 weist entlang der Z-Richtung einen Kanalabschnitt 208C, der unter dem obersten Abschnitt 238T liegt, und einen Verbindungsabschnitt 208K, der unter der Gate-Abstandshalterschicht 222 liegt, auf. Der Verbindungsabschnitt 208K ist mit dem Source-/Drain-Merkmal gekoppelt und ist zwischen dem Kanalabschnitt 208C und dem Source-/Drain-Merkmal 230 angeordnet. Wie in 15 gezeigt ist, weist der oberste Abschnitt 238T die erste Breite W1 auf, während die kurzen Zwischenelementabschnitte 238S eine geringere zweite Breite W2 aufweisen, wenn das zweite innere Abstandshaltermerkmal 228-2 implementiert wird. In einigen Ausführungsformen kann eine Differenz zwischen der ersten Breite W1 und der zweiten Breite W2 zwischen ungefähr 0,5 nm und ungefähr 3 nm betragen. Die kürzeren Zwischenelementabschnitte 238S sind kürzer, da sich das zweite innere Abstandshaltermerkmal 228-2 unter den obersten Abschnitt 238T erstreckt. Wenn das zweite innere Abstandshaltermerkmal 228-2 implementiert wird, weist die Gate-Dielektrikumsschicht 236 lange Spitzen 2362 auf, die sich um ungefähr 1,5 nm bis ungefähr 4 nm zwischen einem Verbindungsabschnitt 208K und einem zweiten inneren Abstandshaltermerkmal 228-2 erstrecken. Folglich kann in einigen Fällen eine Breite der Gate-Dielektrikumsschicht 236 entlang der X-Richtung größer als die erste Breite W1 sein. Entlang der Y-Richtung gesehen wird jedes des zweiten inneren Abstandshaltermerkmals 228-2 von zwei langen Spitzen 2362 der Gate-Dielektrikumsschicht 236 „umarmt“ oder umwickelt. Die langen Spitzen 2362 bieten ähnliche Vorteile wie die Spitzen 2360. Die längeren zweiten inneren Abstandshaltermerkmale 228-2 können eine größere Trennung zwischen der zweiten Gate-Elektrode 238' und den Source-/Drain-Merkmalen 230 erzeugen, um die parasitäre Kapazität weiter zu verringern.
  • Unter Bezugnahme zunächst auf 18, wenn das dritte innere Abstandshaltermerkmal 228-3 implementiert wird, weist die Gate-Struktur 234 eine erste Gate-Elektrode 238 auf. Die erste Gate-Elektrode 238 weist einen obersten Abschnitt 238T oberhalb des obersten Kanalelements 208 und eine Vielzahl an Zwischenelementabschnitten 238L, die zwischen zwei benachbarten Kanalelementen 208 angeordnet sind, auf. Jedes der Kanalelemente 208 weist entlang der Z-Richtung einen Kanalabschnitt 208C, der unter dem obersten Abschnitt 238T liegt, und einen Verbindungsabschnitt 208K, der unter der Gate-Abstandshalterschicht 222 liegt, auf. Der Verbindungsabschnitt 208K ist mit dem Source-/Drain-Merkmal gekoppelt und ist zwischen dem Kanalabschnitt 208C und dem Source-/Drain-Merkmal 230 angeordnet. Wie in 18 gezeigt ist, weisen der oberste Abschnitt 238T und die Zwischenelementabschnitte 238L dieselbe erste Breite W1 entlang der X-Richtung auf, wenn das dritte innere Abstandshaltermerkmal 228-3 implementiert wird. Die Gate-Dielektrikumsschicht 236 weist Spitzen 2360 auf, die sich zwischen einem Verbindungsabschnitt 208K und einem ersten inneren Abstandshaltermerkmal 228-1 erstrecken. Entlang der Y-Richtung gesehen wird jedes des dritten inneren Abstandshaltermerkmals 228-3 von zwei Spitzen 2360 der Gate-Dielektrikumsschicht 236 „umarmt“ oder umwickelt. Die Vorteile der Spitzen 2360 sind zuvor beschrieben worden und werden hier der Kürze wegen weggelassen werden.
  • Nunmehr wird auf 24 Bezug genommen. Die Ausbildungen der ersten inneren Abstandshaltermerkmale 228-1, der ersten Gate-Elektrode 238 und der Kanalelemente 208 sind zuvor unter Bezugnahme auf 11 beschrieben worden und werden hier der Kürze wegen weggelassen werden. Die teilweise ausgesparten Opferschichten 206 benachbart zu der Isolationsgate-Struktur 240 können von dem ersten inneren Abstandshaltermerkmal 228-1 bedeckt oder abgedichtet werden. Für eine bessere Bezugnahme können die ausgesparten Opferschichten 206 benachbart zu der Isolationsgate-Struktur 240 als ein Bullhorn-Merkmal 2060 bezeichnet werden. Da die Isolationsgate-Struktur 240 nicht entfernt werden wird, wenn der Dummy-Gate-Stapel 220 entfernt wird, werden die Bullhorn-Merkmale 2060 in der Endstruktur der Halbleitervorrichtung 200 verbleiben. Wie in 24 gezeigt ist, ist entlang der Y-Richtung gesehen jedes der ersten inneren Abstandshaltermerkmale 228-1 konvex und jedes der Bullhorn-Merkmale 2060 konkav, um das konvexe erste innere Abstandshaltermerkmal 228-1 aufzunehmen. Die Spitzen oder Hörner des Bullhorn-Merkmals 2060 erstrecken sich zwischen dem ersten inneren Abstandshaltermerkmal 228-1 und der Kanalschicht 208.
  • 25 veranschaulicht eine fragmentäre Draufsicht des Werkstücks 200, das in 11, 15 und 18 gezeigt ist. Der Querschnitt A-A' in 25 entspricht den Querschnittsebenen, die in 11, 15 und 18 gezeigt sind. 26 veranschaulicht eine fragmentäre Draufsicht des Werkstücks 200, das in 24 gezeigt ist. Der Querschnitt B-B' in 26 entspricht den Querschnittsebenen, die in 24 gezeigt sind. Im Vergleich zu der Draufsicht, die in 25 gezeigt ist, ist eine der Gate-Strukturen 234 in 26 durch die Isolationsgate-Struktur 240 ersetzt.
  • Unter Bezugnahme auf 1 umfasst das Verfahren 100 einen Block 130, wo weitere Prozesse durchgeführt werden. Solche weitere Prozesse können zum Beispiel die Abscheidung einer Abdeckschicht, die Abscheidung anderer ILD-Schichten, die Bildung von Source-/Drain-Kontakten, die Bildung von Gate-Kontakten und die Bildung von weiteren Interconnect-Strukturen umfassen.
  • Ausführungsformen der vorliegenden Offenbarung können Mittel zum Bilden von MBC-Transistoren mit verschiedenen Schwellenspannungen bereitstellen. Nunmehr wird auf 11 und 15 Bezug genommen. Bezüglich der Gate-Struktur 234 ist der kurze Zwischenelementabschnitt 238S der ersten Gate-Elektrode 238 schmaler als der Zwischenelementabschnitt 238L der zweiten Gate-Elektrode 238' entlang der X-Richtung. Hinsichtlich der Gate-Länge stellt der kurze Zwischenelementabschnitt 238S der ersten Gate-Elektrode 238 eine kürzere Gate-Länge als der Zwischenelementabschnitt 238L der zweiten Gate-Elektrode 238' bereit. Die verkürzte Gate-Länge des kurzen Zwischenelementabschnitts 238S kann die Schwellenspannung für eine Anwendung mit geringer Schwellenspannung oder mit hoher Geschwindigkeit verringern. Folglich können die ersten inneren Abstandshaltermerkmale 228-1 und die zweiten inneren Abstandshaltermerkmale 228-2 in verschiedenen Vorrichtungsregionen implementiert werden, um Vorrichtungen mit verschiedenen Schwellenspannungen bereitzustellen, selbst wenn dieselben Austrittsarbeitsmetallschichten in der Gate-Struktur implementiert werden.
  • Wenngleich sie nicht einschränkend sein sollen, bieten eine oder mehrere Ausführungsformen der vorliegenden Offenbarung viele Vorteile für eine Halbleitervorrichtung und die Bildung davon. Zum Beispiel stellen Ausführungsformen der vorliegenden Offenbarung innere Abstandshaltermerkmale bereit, die zwischen vertikal gestapelten Kanalelementen angeordnet sind. Die inneren Abstandshaltermerkmale weisen entlang der Länge der Gate-Struktur gesehen eine konvexe Form oder eine C-Form auf, und der Gate-Dielektrikumsschicht ist erlaubt, sich zwischen dem konvexen inneren Abstandshaltermerkmal und einem Verbindungsabschnitt des Kanalelements zu erstrecken. Diese strukturelle Anordnung verringert die Gate-Drain- und die Gate-Source-Kapazität und vergrößert die effektiven Gate-Längen. Bei einigen Implementierungen können sich die inneren Abstandshaltermerkmale der vorliegenden Offenbarung unter einen obersten Abschnitt der Gate-Elektrode erstrecken, um die Gate-Drain- oder die Gate-Source-Kapazität weiter zu verringern.
  • In einem beispielhaften Aspekt ist die vorliegende Offenbarung auf eine Halbleitervorrichtung gerichtet. Die Halbleitervorrichtung weist ein erstes Kanalelement, das einen ersten Kanalabschnitt und einen ersten Verbindungsabschnitt aufweist, ein zweites Kanalelement, das einen zweiten Kanalabschnitt und einen zweiten Verbindungsabschnitt aufweist, eine Gate-Struktur, die um den ersten Kanalabschnitt und den zweiten Kanalabschnitt herum angeordnet ist, wobei die Gate-Struktur eine Gate-Dielektrikumsschicht und eine Gate-Elektrode aufweist, und ein inneres Abstandshaltermerkmal, das zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt angeordnet ist, auf. Die Gate-Dielektrikumsschicht erstreckt sich teilweise zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt. Die Gate-Elektrode ist nicht zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt vorhanden.
  • In einigen Ausführungsformen entspricht eine Dicke des ersten Kanalabschnitts im Wesentlichen einer Dicke des ersten Verbindungsabschnitts. Bei einigen Implementierungen kann die Halbleitervorrichtung ferner ein Source-/Drain-Merkmal aufweisen und sind der erste Verbindungsabschnitt und der zweite Verbindungsabschnitt mit dem Source-/Drain-Merkmal gekoppelt. In einigen Fällen weist das innere Abstandshaltermerkmal eine erste innere Abstandshalterschicht benachbart zu der Gate-Dielektrikumsschicht und eine zweite innere Abstandshalterschicht benachbart zu dem Source-/Drain-Merkmal auf. Eine dielektrische Konstante der ersten inneren Abstandshalterschicht ist größer als eine dielektrische Konstante der zweiten inneren Abstandshalterschicht. In einigen Ausführungsformen erstrecken sich das erste Kanalelement und das zweite Kanalelement der Länge nach entlang einer ersten Richtung. Das zweite Kanalelement ist über dem ersten Kanalelement angeordnet, die Gate-Elektrode weist einen obersten Abschnitt, der über dem zweiten Kanalabschnitt angeordnet ist, und einen Zwischenelementabschnitt, der zwischen dem ersten Kanalabschnitt und dem zweiten Kanalabschnitt angeordnet ist, auf, und eine Länge des obersten Abschnitts entlang der ersten Richtung ist größer als eine Länge des Zwischenelementabschnitts entlang der ersten Richtung. In einigen Ausführungsformen kann die Halbleitervorrichtung ferner eine Gate-Abstandshalterschicht aufweisen, die entlang einer Seitenwand des obersten Abschnitts angeordnet ist. Der zweite Verbindungsabschnitt ist zwischen dem inneren Abstandshaltermerkmal und der Gate-Abstandshalterschicht angeordnet und eine Breite der Gate-Abstandshalterschicht entlang der ersten Richtung ist geringer als eine Breite des inneren Abstandshaltermerkmals entlang der ersten Richtung. In einigen Fällen erstreckt sich die Gate-Struktur der Länge nach entlang einer zweiten Richtung senkrecht zu der ersten Richtung und weist das innere Abstandshaltermerkmal entlang der zweiten Richtung gesehen eine C-Form auf. Bei einigen Implementierungen erstreckt sich die Gate-Struktur der Länge nach entlang einer zweiten Richtung senkrecht zu der ersten Richtung und erstreckt sich das innere Abstandshaltermerkmal entlang der zweiten Richtung gesehen unterhalb des obersten Abschnitts der Gate-Elektrode.
  • In einem anderen beispielhaften Aspekt ist die vorliegende Offenbarung auf eine Struktur gerichtet. Die Struktur weist eine Gate-Struktur, die sich der Länge nach entlang einer ersten Richtung erstreckt, eine Isolationsgate-Struktur, die sich parallel zu der Gate-Struktur erstreckt, ein Source-/Drain-Merkmal, das zwischen der Gate-Struktur und der Isolationsgate-Struktur entlang einer zweiten Richtung senkrecht zu der ersten Richtung angeordnet ist, und eine Vielzahl an ersten Halbleitermerkmalen, die zwischen der Isolationsgate-Struktur und dem Source-/Drain-Merkmal entlang der zweiten Richtung eingefügt sind, auf, wobei die Vielzahl an ersten Halbleitermerkmalen entlang einer dritten Richtung senkrecht zu der ersten Richtung und der zweiten Richtung übereinander gestapelt sind. Die Vielzahl an ersten Halbleitermerkmalen sind mit einer Vielzahl an inneren Abstandshaltermerkmalen verschachtelt.
  • In einigen Ausführungsformen weist jedes der Vielzahl an inneren Abstandshaltermerkmalen entlang der ersten Richtung gesehen eine C-Form auf. Bei einigen Implementierungen ist die Isolationsgate-Struktur isolierend und weist Siliziumnitrid oder ein Metalloxid auf. In einigen Ausführungsformen weisen die Vielzahl an inneren Abstandshaltermerkmalen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbid oder Siliziumoxycarbonitrid auf. Bei einigen Implementierungen kann die Struktur ferner eine Abstandshalterschicht aufweisen, die über einem obersten ersten Halbleitermerkmal der Vielzahl an ersten Halbleitermerkmalen angeordnet ist, und erstreckt sich die Abstandshalterschicht entlang einer Seitenwand der Isolationsgate-Struktur. In einigen Fällen sind die Vielzahl an ersten Halbleitermerkmalen ferner mit einer Vielzahl an zweiten Halbleitermerkmalen entlang der dritten Richtung verschachtelt und weisen die Vielzahl an ersten Halbleitermerkmalen Silizium auf und weisen die Vielzahl an zweiten Halbleitermerkmalen Siliziumgermanium auf. In einigen Ausführungsformen erstreckt sich jedes der Vielzahl an inneren Abstandshaltermerkmalen in eines der Vielzahl an zweiten Halbleitermerkmalen entlang der zweiten Richtung.
  • In noch einem anderen beispielhaften Aspekt ist die vorliegende Offenbarung auf eine Halbleitervorrichtung gerichtet. Die Halbleitervorrichtung weist ein erstes Source-/Drain-Merkmal und ein zweites Source-/Drain-Merkmal, eine Vielzahl an Kanalelementen, die vertikal gestapelt sind und sich zwischen dem ersten Source-/Drain-Merkmal und dem zweiten Source-/Drain-Merkmal entlang einer Richtung erstrecken, eine Vielzahl an inneren Abstandshaltermerkmalen, die das erste Source-/Drain-Merkmal berühren und mit der Vielzahl an Kanalelementen verschachtelt sind, und eine Gate-Struktur, die über der Vielzahl an Kanalelementen angeordnet ist und diese umwickelt, auf. Die Gate-Struktur weist eine Gate-Dielektrikumsschicht, die die Vielzahl an inneren Abstandshaltermerkmalen berührt, und eine Gate-Elektrode, die von der Vielzahl an inneren Abstandshaltermerkmalen beabstandet ist, auf. Die Gate-Dielektrikumsschicht erstreckt sich teilweise zwischen der Vielzahl an Kanalelementen und der Vielzahl an inneren Abstandshaltermerkmalen.
  • In einigen Ausführungsformen weist die Gate-Elektrode einen obersten Abschnitt oberhalb der Vielzahl an Kanalelementen und einen Zwischenelementabschnitt zwischen zwei der Vielzahl an Kanalelementen auf und ist eine Breite des obersten Abschnitts entlang der Richtung größer als eine Breite des Zwischenelementabschnitts entlang der Richtung. Bei einigen Implementierungen ist eine Breite der Gate-Dielektrikumsschicht entlang der Richtung größer als die Breite des obersten Abschnitts entlang der Richtung. In einigen Fällen weist jedes der Vielzahl an inneren Abstandshaltermerkmalen eine erste innere Abstandshalterschicht benachbart zu der Gate-Dielektrikumsschicht und eine zweite innere Abstandshalterschicht benachbart zu dem ersten Source-/Drain-Merkmal auf und ist eine dielektrische Konstante der ersten inneren Abstandshalterschicht größer als eine dielektrische Konstante der zweiten inneren Abstandshalterschicht. In einigen Ausführungsformen ist ein Stickstoffgehalt der ersten inneren Abstandshalterschicht größer als ein Stickstoffgehalt der zweiten inneren Abstandshalterschicht.
  • Das Vorherige erläutert Merkmale verschiedener Ausführungsformen, so dass ein Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Ein Fachmann sollte erkennen, dass er die vorliegende Offenbarung leicht als Grundlage zum Gestalten oder Abändern anderer Prozesse und Strukturen zum Erreichen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden kann. Ein Fachmann sollte auch realisieren, dass sich solche äquivalenten Konstruktionen nicht von dem Wesen und Umfang der vorliegenden Offenbarung entfernen und er verschiedene Änderungen, Ersetzungen und Abänderungen hierin vornehmen kann, ohne sich von dem Wesen und Umfang der vorliegenden Offenbarung zu entfernen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63002529 [0001]

Claims (20)

  1. Halbleitervorrichtung, die Folgendes aufweist: ein erstes Kanalelement, das einen ersten Kanalabschnitt und einen ersten Verbindungsabschnitt aufweist; ein zweites Kanalelement , das einen zweiten Kanalabschnitt und einen zweiten Verbindungsabschnitt aufweist; eine Gate-Struktur, die um den ersten Kanalabschnitt und den zweiten Kanalabschnitt herum angeordnet ist, wobei die Gate-Struktur eine Gate-Dielektrikumsschicht und eine Gate-Elektrode aufweist; und ein inneres Abstandshaltermerkmal , das zwischen dem ersten Verbindungsabschnitt und dem zweiten Verbindungsabschnitt angeordnet ist, wobei sich die Gate-Dielektrikumsschicht teilweise zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt erstreckt, wobei die Gate-Elektrode nicht zwischen dem inneren Abstandshaltermerkmal und dem ersten Verbindungsabschnitt und zwischen dem inneren Abstandshaltermerkmal und dem zweiten Verbindungsabschnitt vorhanden ist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei eine Dicke des ersten Kanalabschnitts im Wesentlichen einer Dicke des ersten Verbindungsabschnitts entspricht.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, die ferner Folgendes aufweist: ein Source-/Drain-Merkmal , wobei der erste Verbindungsabschnitt und der zweite Verbindungsabschnitt mit dem Source-/Drain-Merkmal gekoppelt sind.
  4. Halbleitervorrichtung nach Anspruch 3, wobei das innere Abstandshaltermerkmal eine erste innere Abstandshalterschicht benachbart zu der Gate-Dielektrikumsschicht und eine zweite innere Abstandshalterschicht benachbart zu dem Source-/Drain-Merkmal aufweist, wobei eine dielektrische Konstante der ersten inneren Abstandshalterschicht größer als eine dielektrische Konstante der zweiten inneren Abstandshalterschicht ist.
  5. Halbleitervorrichtung nach einem der vorherigen Ansprüche, wobei sich das erste Kanalelement und das zweite Kanalelement der Länge nach entlang einer ersten Richtung erstrecken, wobei das zweite Kanalelement über dem ersten Kanalelement angeordnet ist, wobei die Gate-Elektrode einen obersten Abschnitt, der über dem zweiten Kanalabschnitt angeordnet ist, und einen Zwischenelementabschnitt, der zwischen dem ersten Kanalabschnitt und dem zweiten Kanalabschnitt angeordnet ist, aufweist, wobei eine Länge des obersten Abschnitts entlang der ersten Richtung größer als eine Länge des Zwischenelementabschnitts entlang der ersten Richtung ist.
  6. Halbleitervorrichtung nach Anspruch 5, die ferner Folgendes aufweist: eine Gate-Abstandshalterschicht , die entlang einer Seitenwand des obersten Abschnitts angeordnet ist, wobei der zweite Verbindungsabschnitt zwischen dem inneren Abstandshaltermerkmal und der Gate-Abstandshalterschicht angeordnet ist, wobei eine Breite der Gate-Abstandshalterschicht entlang der ersten Richtung geringer als eine Breite des inneren Abstandshaltermerkmals entlang der ersten Richtung ist.
  7. Halbleitervorrichtung nach Anspruch 5 oder 6, wobei sich die Gate-Struktur der Länge nach entlang einer zweiten Richtung senkrecht zu der ersten Richtunerstreckt, wobei das innere Abstandshaltermerkmal entlang der zweiten Richtung gesehen eine C-Form aufweist.
  8. Halbleitervorrichtung nach einem der Ansprüche 5 bis 7, wobei sich die Gate-Struktur der Länge nach entlang einer zweiten Richtung senkrecht zu der ersten Richtung erstreckt, wobei sich das innere Abstandshaltermerkmal entlang der zweiten Richtung gesehen unterhalb des obersten Abschnitts der Gate-Elektrode erstreckt.
  9. Struktur, die Folgendes aufweist: eine Gate-Struktur, die sich der Länge nach entlang einer ersten Richtung erstreckt; eine Isolationsgate-Struktur, die sich parallel zu der Gate-Struktur erstreckt; ein Source-/Drain-Merkmal, das zwischen der Gate-Struktur und der Isolationsgate-Struktur entlang einer zweiten Richtung senkrecht zu der ersten Richtung angeordnet ist; und eine Vielzahl an ersten Halbleitermerkmalen, die zwischen der Isolationsgate-Struktur und dem Source-/Drain-Merkmal entlang der zweiten Richtung eingefügt sind, wobei die Vielzahl an ersten Halbleitermerkmalen entlang einer dritten Richtung senkrecht zu der ersten Richtung und der zweiten Richtung übereinander gestapelt sind, wobei die Vielzahl an ersten Halbleitermerkmalen mit einer Vielzahl an inneren Abstandshaltermerkmalen verschachtelt sind.
  10. Struktur nach Anspruch 9, wobei jedes der Vielzahl an inneren Abstandshaltermerkmalen entlang der ersten Richtung gesehen eine C-Form aufweist.
  11. Struktur nach Anspruch 9 oder 10, wobei die Isolationsgate-Struktur isolierend ist und Siliziumnitrid oder ein Metalloxid aufweist.
  12. Struktur nach einem der Ansprüche 9 bis 11, wobei die Vielzahl an inneren Abstandshaltermerkmalen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxycarbid oder Siliziumoxycarbonitrid aufweisen.
  13. Struktur nach einem der Ansprüche 9 bis 12, die ferner eine Abstandshalterschicht aufweist, die über einem obersten ersten Halbleitermerkmal der Vielzahl an ersten Halbleitermerkmalen angeordnet ist, wobei sich die Abstandshalterschicht entlang einer Seitenwand der Isolationsgate-Struktur erstreckt.
  14. Struktur nach einem der Ansprüche 9 bis 13, wobei die Vielzahl an ersten Halbleitermerkmalen ferner mit einer Vielzahl an zweiten Halbleitermerkmalen entlang der dritten Richtung verschachtelt sind, wobei die Vielzahl an ersten Halbleitermerkmalen Silizium aufweisen und die Vielzahl an zweiten Halbleitermerkmalen Siliziumgermanium aufweisen.
  15. Struktur nach Anspruch 14, wobei sich jedes der Vielzahl an inneren Abstandshaltermerkmalen in eines der Vielzahl an zweiten Halbleitermerkmalen entlang der zweiten Richtung erstreckt.
  16. Halbleitervorrichtung, die Folgendes aufweist: ein erstes Source-/Drain-Merkmal und ein zweites Source-/Drain-Merkmal; eine Vielzahl an Kanalelementen, die vertikal gestapelt sind und sich zwischen dem ersten Source-/Drain-Merkmal und dem zweiten Source-/Drain-Merkmal entlang einer Richtung erstrecken; eine Vielzahl an inneren Abstandshaltermerkmalen , die das erste Source-/Drain-Merkmal berühren und mit der Vielzahl an Kanalelementen verschachtelt sind; und eine Gate-Struktur, die über der Vielzahl an Kanalelementen angeordnet ist und diese umwickelt, wobei die Gate-Struktur eine Gate-Dielektrikumsschicht, die die Vielzahl an inneren Abstandshaltermerkmalen berührt, und eine Gate-Elektrode, die von der Vielzahl an inneren Abstandshaltermerkmalen beabstandet ist, aufweist, wobei sich die Gate-Dielektrikumsschicht teilweise zwischen der Vielzahl an Kanalelementen und der Vielzahl an inneren Abstandshaltermerkmalen erstreckt.
  17. Halbleitervorrichtung nach Anspruch 16, wobei die Gate-Elektrode einen obersten Abschnitt oberhalb der Vielzahl an Kanalelementen und einen Zwischenelementabschnitt zwischen zwei der Vielzahl an Kanalelementen aufweist, wobei eine Breite des obersten Abschnitts entlang der Richtung größer als eine Breite des Zwischenelementabschnitts entlang der Richtung ist.
  18. Halbleitervorrichtung nach Anspruch 17, wobei eine Breite der Gate-Dielektrikumsschicht entlang der Richtung größer als die Breite des obersten Abschnitts entlang der Richtung ist.
  19. Halbleitervorrichtung nach einem der Ansprüche 16 bis 18, wobei jedes der Vielzahl an inneren Abstandshaltermerkmalen eine erste innere Abstandshalterschicht benachbart zu der Gate-Dielektrikumsschicht und eine zweite innere Abstandshalterschicht benachbart zu dem ersten Source-/Drain-Merkmal aufweist, wobei eine dielektrische Konstante der ersten inneren Abstandshalterschicht größer als eine dielektrische Konstante der zweiten inneren Abstandshalterschicht ist.
  20. Halbleitervorrichtung nach Anspruch 19, wobei ein Stickstoffgehalt der ersten inneren Abstandshalterschicht größer als ein Stickstoffgehalt der zweiten inneren Abstandshalterschicht ist.
DE102020119940.6A 2020-03-31 2020-07-29 Mehrfachgatetransistorstruktur Pending DE102020119940A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063002529P 2020-03-31 2020-03-31
US63/002,529 2020-03-31
US16/937,218 2020-07-23
US16/937,218 US11195937B2 (en) 2020-03-31 2020-07-23 Multi-gate transistor structure

Publications (1)

Publication Number Publication Date
DE102020119940A1 true DE102020119940A1 (de) 2021-09-30

Family

ID=76710864

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020119940.6A Pending DE102020119940A1 (de) 2020-03-31 2020-07-29 Mehrfachgatetransistorstruktur

Country Status (4)

Country Link
US (2) US11764287B2 (de)
CN (1) CN113113468A (de)
DE (1) DE102020119940A1 (de)
TW (1) TWI776442B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114446883A (zh) * 2017-09-22 2022-05-06 蓝枪半导体有限责任公司 半导体元件及其制作方法
US20230110825A1 (en) * 2021-09-27 2023-04-13 International Business Machines Corporation Electrostatic discharge diode having dielectric isolation layer

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
KR102521379B1 (ko) * 2016-04-11 2023-04-14 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
KR102363250B1 (ko) * 2017-03-23 2022-02-16 삼성전자주식회사 반도체 소자
KR102400558B1 (ko) 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US11101300B2 (en) * 2017-07-26 2021-08-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of semiconductor device
US10529833B2 (en) 2017-08-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with a fin and gate structure and method making the same
US10403550B2 (en) * 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102465537B1 (ko) * 2017-10-18 2022-11-11 삼성전자주식회사 반도체 장치
US10355102B2 (en) * 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10553679B2 (en) * 2017-12-07 2020-02-04 International Business Machines Corporation Formation of self-limited inner spacer for gate-all-around nanosheet FET
KR102381197B1 (ko) * 2017-12-08 2022-04-01 삼성전자주식회사 반도체 소자
US10868027B2 (en) * 2018-07-13 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for preventing silicide contamination during the manufacture of micro-processors with embedded flash memory
US10529826B1 (en) * 2018-08-13 2020-01-07 Globalfoundries Inc. Forming self-aligned gate and source/drain contacts using sacrificial gate cap spacer and resulting devices
KR20200134404A (ko) * 2019-05-22 2020-12-02 삼성전자주식회사 반도체 장치
KR20210091478A (ko) * 2020-01-14 2021-07-22 삼성전자주식회사 반도체 장치
US11195937B2 (en) * 2020-03-31 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate transistor structure

Also Published As

Publication number Publication date
TWI776442B (zh) 2022-09-01
US20220093767A1 (en) 2022-03-24
TW202205619A (zh) 2022-02-01
CN113113468A (zh) 2021-07-13
US20240006513A1 (en) 2024-01-04
US11764287B2 (en) 2023-09-19

Similar Documents

Publication Publication Date Title
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102017114981A1 (de) Verfahren zum Herstellen einer Halbleitervorrichtung und Halbleitervorrichtung
DE102017103419A1 (de) Verfahren zum herstellen einer halbleitervorrichtung mit getrennter fusionierter struktur
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102021113387A1 (de) Epitaktische merkmale
DE102020121265A1 (de) Struktur und Verfahren zur Leckage-Verhinderung
DE102020130986A1 (de) Reparaturen von dielektrischen strukturelementen nach der herstellung
DE102020106231A1 (de) Vorrichtung mit niedrigem leckstrom
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021100270A1 (de) Epitaxialer Rückseitenkontakt
DE102021117896A1 (de) Halbleitungsvorrichtung mit gateisolationsstruktur und ausbildungsverfahren
DE102021104668A1 (de) Feldeffekttransistorvorrichtung mit gate-abstandshalterstruktur
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102020119940A1 (de) Mehrfachgatetransistorstruktur
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102020131030A1 (de) Siliziumkanal-anlassen
DE102020125336A1 (de) Pmosfet source drain
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication