DE102020124625A1 - Transistoren mit nanostrukturen - Google Patents

Transistoren mit nanostrukturen Download PDF

Info

Publication number
DE102020124625A1
DE102020124625A1 DE102020124625.0A DE102020124625A DE102020124625A1 DE 102020124625 A1 DE102020124625 A1 DE 102020124625A1 DE 102020124625 A DE102020124625 A DE 102020124625A DE 102020124625 A1 DE102020124625 A1 DE 102020124625A1
Authority
DE
Germany
Prior art keywords
channel
gate
elements
width
channel elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020124625.0A
Other languages
English (en)
Inventor
Cheng-Ting Chung
Ching-Wei Tsai
Kuan-Lun Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020124625A1 publication Critical patent/DE102020124625A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

Eine Halbleitervorrichtung im Einklang mit der vorliegenden Offenbarung weist einen ersten Transistor und einen zweiten Transistor auf. Der erste Transistor weist eine Mehrzahl erster Kanalelemente und eine erste Gate-Struktur, welche jedes der Mehrzahl erster Kanalelemente umhüllt, auf. Der zweite Transistor weist eine Mehrzahl zweiter Kanalelemente und eine zweite Gate-Struktur, welche über der Mehrzahl zweiter Kanalelemente angeordnet ist, auf. Jedes der Mehrzahl erster Kanalelemente weist eine erste Breite und eine erste Höhe, welche kleiner als die erste Breite ist, auf, Jedes der Mehrzahl zweiter Kanalelemente weist eine zweite Breite und eine zweite Höhe, welche größer als die zweite Breite ist, auf.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung Nr. 63/013,354 , eingereicht am 21. April 2020 unter dem Titel „TRANSISTOREN MIT NANOSTRUKTUREN“ (Aktenkennzahl des Anwalts Nr. 2020-1019 / 24061.4181PV01), deren Offenbarung durch Bezugnahme vollumfänglich in die vorliegende Anmeldung aufgenommen wird.
  • HINTERGRUND
  • Die Industrie integrierter Halbleiterschaltungen (Halbleiter-ICs) hat ein exponentielles Wachstum verzeichnet. Technologische Fortschritte bei IC-Materialien und - Design haben Generationen von ICs hervorgebracht, in welchen jede Generation kleinere und komplexere Schaltungen aufweist als die vorherige Generation. Im Zuge der IC-Weiterentwicklung hat die Funktionsdichte (das heißt die Anzahl miteinander verbundener Bauelemente pro Chipfläche) in der Regel zugenommen, während die geometrische Größe (das heißt die kleinste Komponente (oder Leitung), welche unter Verwendung eines Fertigungsprozesses erzeugt werden kann) abgenommen hat. Dieser Verkleinerungsprozess stellt in der Regel Vorteile durch eine Steigerung der Produktionseffizienz und eine Senkung der damit verbundenen Kosten bereit. Eine solche Verkleinerung hat jedoch auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Da sich integrierte Schaltungstechnologien (IC-Technologien) zum Beispiel zu kleineren Technologieknoten hin entwickeln, sind Mehrfach-Gate-Vorrichtungen eingeführt worden, um die Gate-Steuerung durch Erhöhen der Kopplung von Gate-Kanälen, Verringern des Stroms im Abschaltzustand und Verringern von Kurzkanaleffekten (SCEs) zu verbessern. Eine Mehrfach-Gate-Vorrichtung bezieht sich in der Regel auf eine Vorrichtung mit einer Gate-Struktur, oder einem Abschnitt einer solchen, welche über mehr als einer Seite eines Kanalbereichs angeordnet ist. Finnenartige Feldeffekttransistoren (FinFETs) und Mehrfachbrückenkanaltransistoren (MBC-Transistoren) sind Beispiele für Mehrfach-Gate-Vorrichtungen, welche zu beliebten und vielversprechenden Kandidaten für Anwendungen mit hoher Leistung und niedrigem Leckstrom geworden sind. Ein FinFET weist einen erhöhten Kanal, welcher auf meiner als einer Seite von einem Gate umhüllt ist (zum Beispiel umhüllt das Gate eine obere und seitliche Wände einer „Finne“ aus Halbleitermaterial, welche sich von einem Substrat weg erstreckt), auf. Ein MBC-Transistor weist eine Gate-Struktur auf, welche sich teilweise oder vollständig rund um einen Kanalbereich erstrecken kann, um auf zwei oder mehr Seiten Zugang zum Kanalbereich bereitzustellen. Da seine Gate-Struktur die Kanalbereiche umgibt, kann ein MBC-Transistor auch als ein umgebender Gate-Transistor (surrounding gate transistor oder SGT) oder ein Rundum-Gate-Transistor (GAA-Transistor) bezeichnet werden. Der Kanalbereich eines MBC-Transistors kann aus Nanodrähten, Nanoblättern oder anderen Nanostrukturen gebildet werden, weswegen ein MBC-Transistor auch als ein Nanodrahttransistor oder ein Nanoblatttransistor bezeichnet werden kann.
  • Ein Kanalbereich eines MBC-Transistors kann eine blattartige Form aufweisen, wobei die Breite des Kanalbereichs größer ist als seine Höhe, um den Ansteuerstrom des MBC-Transistors zu verbessern. Ein solcher MBC-Transistor kann für eine Anwendung mit hohem Ansteuerstrom geeignet sein, und kann für andere Anwendungen, bei welchen Packungsdichte und Zellengröße entscheidender sind, nicht ideal sein. Daher sind herkömmliche MBC-Transistoren in der Regel zwar für ihre vorgesehenen Zwecke ausreichend gewesen, sind aber nicht in jeglicher Hinsicht zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Elemente nicht maßstabsgetreu dargestellt sind und nur der Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Elemente zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 stellt kollektiv ein Flussdiagramm eines Verfahrens zum Bilden einer Halbleitervorrichtung mit mehreren Vorrichtungsbereichen im Einklang mit einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
    • Die 2A - 26A, 2B - 26B und 15C - 26C stellen Querschnittsansichten eines Werkstücks während eines Fertigungsprozesses im Einklang mit dem in 1 gezeigten Verfahren im Einklang mit einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
    • Die 27 - 29 stellen Querschnittsansichten von Kanalelementen im Einklang mit einem oder mehreren Aspekten der vorliegenden Offenbarung dar.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen, oder Beispiele, zur Umsetzung verschiedener Merkmale des bereitgestellten Gegenstands bereit. Nachfolgend sind spezifische Beispiele von Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dabei handelt es sich selbstverständlich nur um Beispiele, welche keinesfalls als Einschränkung auszulegen sind. Zum Beispiel kann die Bildung eines ersten Elements über oder auf einem zweiten Element in der folgenden Beschreibung Ausführungsformen umfassen, in welchen das erste und das zweite Element in direktem Kontakt miteinander gebildet sind, kann jedoch auch Ausführungsformen umfassen, in welchen zusätzliche Elemente derart zwischen dem ersten Element und dem zweiten Element gebildet sein können, dass das erste und das zweite Element nicht in direktem Kontakt miteinander sein können. Darüber hinaus kann die vorliegende Offenbarung Bezugsziffern und/oder -zeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit, und schreibt für sich selbst keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können Begriffe räumlicher Beziehungen, wie zum Beispiel „darunter“, „unterhalb“, „niedrig“, „oberhalb“, „obere/r/s“ und dergleichen hierin zum Zweck einer einfacheren Beschreibung der Beziehung eines in den Figuren dargestellten Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) verwendet werden. Die Begriffe räumlicher Beziehungen sollen dazu dienen, verschiedene Ausrichtungen der Vorrichtung bei der Verwendung oder im Betrieb zusätzlich zur in den Figuren abgebildeten Ausrichtung einzuschließen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad gedreht oder in anderen Ausrichtungen) angeordnet sein, und die hierin verwendeten Begriffe räumlicher Beziehungen können somit auch dementsprechend ausgelegt werden. Ist ferner eine Zahl oder ein Zahlenbereich mit „um“, „ungefähr“ und dergleichen beschrieben, soll der Ausdruck Zahlen einschließen, die innerhalb von +/- 10 % der beschriebenen Zahl liegen, sofern nicht anders angegeben. Zum Beispiel schließt der Ausdruck „ungefähr 5 nm“ den Abmessungsbereich von 4,5 nm bis 5,5 nm ein.
  • Die vorliegende Offenbarung betrifft Mehrfach-Gate-Transistoren und Fertigungsverfahren im Allgemeinen, und insbesondere eine Halbleitervorrichtung, welche mehr als eine Art von Kanalelementen aufweist, um verschiedene Vorrichtungsanforderungen zu erfüllen.
  • MBC-Transistoren sind vielversprechende Kandidaten für Vorrichtungen der nächsten Generation. Für Anwendungen, in welchen hoher Ansteuerstrom und hohe Schaltgeschwindigkeit erforderlich sind, können Kanalelemente eines MBC-Transistors eine blattartige Form aufweisen, und solche Kanalelementen können als Nanoblattkanalelemente bezeichnet werden. Ein Nanoblattkanalelement weist eine Breite auf, welche zur Verbesserung des Ansteuerstroms größer ist als seine Höhe. Für einige anderen Anwendungen, wie zum Beispiel System-auf-einem-Chip-Anwendungen (SoC-Anwendungen) und statische Direktzugriffsspeicheranwendungen (SRAM-Anwendungen), ist der Ansteuerstrom nicht die wichtigste Anforderung. Stattdessen sind niedrigerer Energieverbrauch und kleinere Zellenhöhe die Schlüsselwerte. Wenn MBC-Transistoren mit Nanodrahtkanalelementen für letztere Anwendungen verwendet werden, kann der Ansteuerstrom aufgrund der geringeren wirksamen Breite erheblich sinken. Hier bezieht sich der Begriff Nanodrahtkanalelement auf ein Kanalelemente, dessen Breite im Wesentlichen gleich seiner Höhe ist.
  • Die vorliegende Offenbarung stellt eine Halbleitervorrichtung bereit, welche vertikale Nanoblattkanalelemente in einer ersten Fläche und horizontale Nanoblattelemente in einer zweiten Fläche aufweist. Wenn die erste Vorrichtungsfläche für Anwendungen mit hohem Ansteuerstrom dient, und die zweite Vorrichtungsfläche für Anwendungen mit hoher Packungsdichte dient, so stellen die horizontalen Nanoblattkanalelemente erhöhten Ansteuerstrom und verbesserte Geschwindigkeit bereit. Die vertikalen Nanoblattkanalelemente stellen zur Erhöhung der Packungsdichte eine geringe Standfläche bereit, ermöglichen jedoch nach wie vor eine ausreichende wirksame Breite für zufriedenstellende Ansteuerstrompegel. Alternativ dazu kann die erste Fläche eine n-Vorrichtungsfläche sein, und die zweite Fläche kann eine p-Vorrichtungsfläche sein. Die horizontalen Nanoblattkanalelemente weisen primäre Oberflächen auf, welche für n-Ladungsträger geeignet sind, und die vertikalen Nanoblattkanalelemente weisen primäre Oberflächen auf, welche für p-Ladungsträger geeignet sind. Die vorliegende Offenbarung stellt Beispielprozesse zum Bilden einer solcher Halbleitervorrichtung bereit.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun in Bezug auf die Figuren ausführlicher beschrieben. Hierbei ist 1 ein Flussdiagramm, welches ein Verfahren 100 zum Fertigen eines Halbleiterbauelements im Einklang mit verschiedenen Ausführungsformen der vorliegenden Offenbarung darstellt. Das Verfahren 100 ist nur ein Beispiel und soll die vorliegende Offenbarung keinesfalls auf das beschränken, was im Verfahren 100 explizit dargestellt ist. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 bereitgestellt sein, und einige der beschriebenen Schritte können für weitere Ausführungsformen des Verfahrens ersetzt, weggelassen oder verschoben werden. Zur Vereinfachung sind hierin nicht alle Schritte ausführlich beschrieben. Das Verfahren 100 wird nachfolgend in Verbindung mit den 2A - 26A, 2B - 26B und 15C - 26C beschrieben, welche fragmentarische Querschnittsansichten eines Werkstücks in verschiedenen Phasen der Fertigung im Einklang mit Ausführungsformen der vorliegenden Offenbarung sind. Die 27 - 29 stellen Querschnittsansichten von Kanalelementen in Kanalbereichen im Einklang mit verschiedenen Aspekten der vorliegenden Offenbarung dar. Ein Werkstück 200 ist in den 2A - 26A, 2B - 26B, 15C - 26C und 27 - 29 dargestellt. Da aus dem Werkstück 200 eine Halbleitervorrichtung gebildet wird, kann das Werkstück 200 je nach Kontext als Halbleitervorrichtung 200 bezeichnet werden.
  • Bezugnehmend auf die 1, 2A und 2B umfasst das Verfahren 100 den Block 102, in welchem ein Werkstück 200 bereitgestellt wird. 2A stellt eine fragmentarische Querschnittsansicht des Werkstücks 200 entlang der Y-Richtung dar, und 2B stellt eine fragmentarische Querschnittsansicht entlang der X-Richtung dar. Wie in den 2A und 2B gezeigt, weist das Werkstück 200 ein Substrat 202 und einen Stapel 204, welcher über dem Substrat 202 angeordnet ist, auf. Der Stapel 204 weist Opferschichten 206 und die Kanalschichten 208 auf, welche vertikal in einer abwechselnden Anordnung gestapelt sind. Anders ausgedrückt weist der Stapel 204 eine Mehrzahl von Kanalschichten 208 auf, welche mit einer Mehrzahl von Opferschichten 206 durchsetzt ist. In einer Ausführungsform kann das Substrat 202 ein Halbleitersubstrat, wie zum Beispiel ein Siliziumsubstrat, sein. Das Substrat 202 kann verschiedene Schichten, wie zum Beispiel auf einem Halbleitersubstrat gebildete leitfähige oder isolierende Schichten, aufweisen. Abhängig von Designanforderungen kann das Substrat 202 verschiedene Dotierungsgestaltungen aufweisen, wie gemäß dem Stand der Technik bekannt ist. Zum Beispiel können verschiedene Dotierungsprofile (zum Beispiel n-Wannen, p-Wannen) auf dem Substrat 202 in Bereichen, welche für verschiedene Vorrichtungstypen (zum Beispiel n-Transistoren oder p-Transistoren) vorgesehen sind, gebildet werden. Die geeignete Dotierung kann Ionenimplantation von Dotierstoffen und/oder Diffusionsprozesse umfassen. Das Substrat 202 kann auch andere Halbleiter, wie zum Beispiel Germanium, Siliziumkarbid (SiC), Silizium-Germanium (SiGe) oder Diamant, enthalten. Alternativ dazu kann das Substrat 202 einen Verbundhalbleiter und/oder einen Legierungshalbleiter enthalten. Ferner kann das Substrat 202 optional eine epitaxiale Schicht (Epischicht) aufweisen, kann für eine Leistungsverbesserung verspannt sein, kann eine Silizium-auf-Isolator-Struktur (SOI-Struktur) aufweisen und/oder andere geeignete Verbesserungsmerkmale aufweisen.
  • Zusammensetzungen der Opferschichten 206 und der Kanalschichten 208 sind unterschiedlich. In einer Ausführungsform können die Opferschichten 206 aus Silizium-Germanium (SiGe) gebildet sein, und die Kanalschichten 208 sind aus Silizium (Si) gebildet. Es sind jedoch auch andere Halbleitermaterialkombinationen möglich, wie zum Beispiel jene, welche verschiedene Oxidationsgeschwindigkeiten und/oder Ätzselektivitäten zwischen den Opferschichten und den Kanalschichten bereitstellen. Zum Beispiel können entweder die Opferschichten 206 und/oder die Kanalschichten 208 andere Materialien enthalten, wie zum Beispiel Germanium, einen Verbundhalbleiter, wie zum Beispiel Siliziumkarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter, wie zum Beispiel SiGe, GaAsP, AlInAs, AlGaAs, InGaAs, GaInP und/oder GaInAsP oder Kombinationen davon. Als ein Beispiel kann das epitaxiale Aufwachsen der Schichten des Stapels 204 durch einen Molekularstrahlepitaxieprozess (MBE-Prozess), einen Dampfphasenepitaxieprozess (VPE-Prozess), einen metallorganischen chemischen Dampfabscheidungsprozess (MOCVD-Prozess) und/oder andere geeignete epitaxiale Aufwachsprozesse ausgeführt werden. In einigen Ausführungsformen können die Kanalschichten 208 dasselbe Material enthalten, wie das Substrat 202. In einigen Ausführungsformen sind die Opferschichten 206 und die Kanalschichten 208 im Wesentlichen frei von Dotierstoffen (das heißt, sie weisen eine extrinsische Dotierstoffkonzentration von ungefähr 0 cm-3 bis ungefähr 1×1017 cm-3 auf), wobei zum Beispiel während des epitaxialen Aufwachsprozesses keine absichtliche Dotierung vorgenommen wird.
  • Dabei ist festzuhalten, dass drei (3) Schichten der Opferschichten 206 und drei (3) Schichten der Kanalschichten 208 abwechselnd angeordnet sind, wie in den 2A und 2B sowie in anderen Figuren dargestellt, welche nur veranschaulichenden Zwecken und keinesfalls als Einschränkung über die in den Ansprüchen spezifisch genannten Angaben hinaus dienen sollen. Es versteht sich, dass eine beliebige Anzahl epitaxialer Schichten im epitaxialen Stapel 204 gebildet werden kann. Die Anzahl von Schichten hängt von der gewünschten Anzahl von Kanalelementen für die Vorrichtung 200 ab. In einigen Ausführungsformen beträgt eine Anzahl zweiter Halbleiterschichten 208 zwischen 2 und 8. In einigen Ausführungsformen ist eine erste Dicke T1 jeder der Kanalschichten 208 größer als die zweite Dicke T2 jeder der Opferschichten 206, um sowohl vertikale Nanoblattkanalelemente als auch horizontale Kanalelemente zu bilden. In einigen Fällen kann ein Verhältnis der ersten Dicke T1 zur zweiten Dicke T2 zwischen ungefähr 0,8 und ungefähr 4, zum Beispiel zwischen ungefähr 1,5 und ungefähr 4, betragen. Die erste Dicke T1 kann zwischen ungefähr 6 Nanometer (nm) und ungefähr 16 nm betragen, und die zweite Dicke T2 kann zwischen ungefähr 2 nm und ungefähr 6 nm betragen.
  • Bezugnehmend auf die 1, 3A, 3B, 4A und 4B umfasst das Verfahren 100 einen Block 104, bei welchem eine erste finnenförmige Struktur 210-1 in einer ersten Fläche 1000 (oder der ersten Vorrichtungsfläche 1000) des Substrats 202 gebildet wird und eine zweite finnenförmige Struktur 210-2 in 211 in einer zweiten Fläche 2000 (oder einer zweiten Vorrichtungsfläche 2000) des Substrats 202 gebildet wird. 3A stellt eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der Y-Richtung dar, und 3B stellt eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der X-Richtung dar. 4A stellt eine fragmentarische Querschnittsansicht der zweiten Fläche 2000 entlang der Y-Richtung dar, und 4B stellt eine fragmentarische Querschnittsansicht der zweiten Fläche 2000 entlang der X-Richtung dar. Wie in den 3B und 4B gezeigt, werden die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 aus dem Stapel 204 und einem Abschnitt des Substrats 202 gebildet. Die erste finnenförmige Struktur 210-1 weist eine erste Finnenbreite F1 entlang der Y-Richtung auf, und die zweite finnenförmige Struktur 210-2 weist eine zweite Finnenbreite F2 entlang der Y-Richtung auf. Die zweite Finnenbreite F2 ist kleiner als die erste Finnenbreite F1. In einigen Fällen kann die erste Finnenbreite F1 zwischen ungefähr 14 nm und ungefähr 64 nm betragen, und die zweite Finnenbreite F2 kann zwischen ungefähr 4 nm und ungefähr 8 nm betragen. Die kleinere zweite Finnenbreite F2 hilft dabei, die Standfläche des Transistors, welcher in der zweiten Fläche 2000 gebildet wird, zu verringern, wodurch die Packungsdichte der Transistoren in der zweiten Fläche 2000 erhöht wird.
  • Obwohl nicht gezeigt, kann eine Hartmaskenschicht an der Oberseite der Finnen über dem Stapel 204 angeordnet werden und kann derart strukturiert werden, dass sie eine Ätzmaske zum Strukturieren des Stapels 204 und des Substrats 202 in die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 bildet. Die Hartmaskenschicht an der Oberseite der Finnen kann eine Einzelschicht oder eine Mehrfachschicht sein. In einigen Umsetzungen kann die Hartmaskenschicht an der Oberseite der Finnen Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumoxykarbonitrid, Siliziumkarbid oder eine Kombination davon enthalten. In Ausführungsformen, in welchen die Hartmaskenschicht an der Oberseite der Finnen eine Mehrfachschicht ist, kann die Hartmaskenschicht an der Oberseite der Finnen eine Siliziumoxidschicht aufgebracht über dem Stapel 204 und eine Siliziumnitridschicht aufgebracht auf der Siliziumoxidschicht aufweisen. Vorgänge bei Block 104 können Fotolithografieprozesse und Ätzprozesse umfassen. Ein Beispielprozess kann Fotolackbeschichtung (zum Beispiel Aufschleuderbeschichtung) auf die Hartmaskenschicht an der Oberseite der Finnen, Weichbrennen, Maskenausrichtung, Belichtung, Nachbelichtungsbrennen, Fotolackentwicklung, Spülen, Trocknen (zum Beispiel Schleudertrocknen und/oder Hartbrennen), andere geeignete Lithografietechniken und/oder Kombinationen davon umfassen. In einigen Ausführungsformen kann der Ätzprozess Trockenätzen (zum Beispiel RIE-Ätzen), Nassätzen und/oder andere Ätzverfahren umfassen. In einigen Ausführungsformen, welche in den 3B und 4B gezeigt sind, ätzt das Strukturieren auch derart in das Substrat 202 hinein, dass sowohl die erste finnenförmige Struktur 210-1 als auch die zweite finnenförmige Struktur 210-2 einen Basisabschnitt 202B gebildet aus dem Substrat 202 und einem oberen, aus dem Stapel 204 gebildeten Abschnitt aufweist. Der obere Abschnitt weist die Opferschichten 206 und die Kanalschichten 208 des Stapels 204 auf. In einigen Ausführungsformen können die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 unter Verwendung von Doppelstrukturierungs- oder Mehrfachstrukturierungsprozessen gefertigt werden. In der Regel kombinieren Doppelstrukturierungs- und Mehrfachstrukturierungsprozesse Fotolithografie- und selbstausrichtende Prozesse, wodurch sie die Schaffung von Strukturen ermöglichen, welche zum Beispiel Abstandsmaße aufweisen, welche kleiner sind als jene, welche andernfalls unter Verwendung eines einzigen, direkten Fotolithografieprozesses erzielbar wären. Zum Beispiel wird in einer Ausführungsform eine Materialschicht über einem Substrat gebildet und unter Verwendung eines Fotolithografieprozesses strukturiert. Abstandselemente werden entlang der strukturierten Materialschicht unter Verwendung eines selbstausrichtenden Prozesses gebildet. Dann wird die Materialschicht entfernt, und die verbleibenden Abstandselemente oder Dorne können dazu verwendet werden, die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 durch Ätzen des Stapels 204 und des Substrats 202 zu strukturieren. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE) und/oder andere geeignete Prozesse umfassen. Wie in den 3B und 4B gezeigt, erstrecken sich die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 der Länge nach entlang der X-Richtung.
  • Es wird weiterhin Bezug genommen auf die 3B und 4B. Nachdem die erste finnenförmige Struktur 210-1 und die zweite finnenförmige Struktur 210-2 in der ersten Fläche 100 beziehungsweise in der zweiten Fläche 2000 gebildet worden sind, wird ein Isolationselement 212 zwischen benachbarten finnenförmigen Strukturen (zum Beispiel einer ersten finnenförmigen Struktur 210-1 und einer benachbarten ersten finnenförmigen Struktur 210-1 oder einer zweiten finnenförmigen Struktur 210-2 und einer benachbarten zweiten finnenförmigen Struktur 210-2) gebildet. Das Isolationselement 212 kann auch als Grabenisolationselement 212 (STI-Element) bezeichnet werden. Als Beispiel wird in einigen Ausführungsformen eine dielektrische Schicht zunächst über dem Werkstück 200 aufgebracht, um die Gräben zwischen den ersten finnenförmigen Strukturen 210-1 und den zweiten finnenförmigen Strukturen 210-2 mit dem dielektrischen Material zu füllen. In einigen Ausführungsformen kann die dielektrische Schicht Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein Dielektrikum mit niedrigem k-Wert, Kombinationen davon und/oder andere geeignete Materialien enthalten. In verschiedenen Beispielen kann die dielektrische Schicht durch einen CVD-Prozess, einen subatmosphärischen CVD-Prozess (SACVC-Prozess), einen fließbaren CVD-Prozess, einen ALD-Prozess, einen physikalischen Dampfabscheidungsprozess (PVD-Prozess) und/oder einen anderen geeigneten Prozess aufgebracht werden. Das aufgebrachte dielektrische Material wird dann gedünnt und planarisiert, zum Beispiel durch einen chemisch-mechanischen Polierprozess (CMP-Prozess). Die planarisierte dielektrische Schicht wird dann durch einen Trockenätzprozess, einen Nassätzprozess und/oder eine Kombination davon weiter vertieft, um die STI-Elemente 212 zu bilden. Nach dem Vertiefen ragen mindestens die oberen Abschnitt der ersten finnenförmigen Strukturen 210-1 und der zweiten finnenförmigen Strukturen 210-2 über die STI-Elemente 212 hinaus.
  • Weiterhin bezugnehmend auf die 1, 5A, 5B, 6A, 6B, 7A, 7B, 8A und 8B umfasst das Verfahren 100 einen Block 106, in welchem Dummy-Gate-Stapel 220 über Kanalbereichen 21CC der ersten finnenförmigen Struktur 210-1 und über Kanalbereichen 22CC der zweiten finnenförmigen Struktur 210-2 gebildet werden. In einigen Ausführungsformen wird ein Gate-Austauschprozess (oder Gate-Last-Prozess) angewendet, in welchem die Dummy-Gate-Stapel 220 als Platzhalter für funktionelle Gate-Strukturen dienen und in einem nachfolgenden Prozess zu entfernen und durch die funktionellen Gate-Strukturen zu ersetzen sind. Andere Prozesse und Gestaltungen sind ebenfalls möglich. Nunmehr wird Bezug genommen auf die 5A, 5B, 6A und 6B. Zum Bilden der Dummy-Gate-Stapel 220 werden eine dielektrische Dummy-Schicht 214, eine Dummy-Gate-Elektrodenschicht 216, und eine Hartmaske an der Oberseite des Gates 218 unter Verwendung eines CVD-Prozesses, eines subatmosphärischen CVD-Prozesses (SACVD-Prozess), eines fließbaren CVD-Prozesses oder eines ALD-Prozesses nacheinander über der ersten Fläche 1000 und der zweiten Fläche 2000 aufgebracht. In einigen Umsetzungen kann die dielektrische Dummy-Schicht 214 aus Siliziumoxid, Siliziumnitrid oder einem anderen geeigneten dielektrischen Material gebildet werden, und dient dazu, Beschädigungen der ersten finnenförmigen Struktur 210-1 und der zweiten finnenförmigen Struktur 210-2 während nachfolgenden Prozessen (zum Beispiel der Bildung der Dummy-Gate-Stapel) zu verhindern. Die Dummy-Gate-Elektrodenschicht 216 kann aus Polysilizium gebildet werden. Die Hartmaske an der Oberseite des Gates 218 kann eine Einzelschicht oder eine Mehrfachschicht sein, und kann Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, Siliziumkarbid, Siliziumoxykarbonitrid oder eine Kombination davon enthalten. In Fällen, in welchen die Hartmaske an der Oberseite des Gates 218 eine Mehrfachschicht ist, kann die Hartmaske an der Oberseite des Gates 218 eine Siliziumoxidschicht und eine Siliziumnitridschicht auf der Siliziumoxidschicht aufweisen.
  • Nunmehr wird Bezug genommen auf die 7A, 7B, 8A und 8B. Nach dem Aufbringen werden die Hartmaske an der Oberseite des Gates 218, die Dummy-Gate-Elektrodenschicht 216 und die dielektrische Dummy-Schicht 214 durch einen Strukturierungsprozess, welcher einen Lithografieprozess (zum Beispiel Fotolithografie oder E-Strahllithografie) und einen Ätzprozess umfassen kann, strukturiert. Der Fotolithografieprozess kann ferner Fotolackbeschichtung (zum Beispiel Aufschleuderbeschichtung), Weichbrennen, Maskenausrichtung, Belichtung, Nachbelichtungsbrennen, Fotolackentwicklung, Spülung, Trocknung (zum Beispiel Schleudertrocknung und/oder Hartbrennen), andere geeignete Lithografietechniken und/oder Kombinationen davon umfassen. In einigen Ausführungsformen kann der Ätzprozess Trockenätzen (zum Beispiel RIE-Ätzen), Nassätzen und/oder andere Ätzverfahren umfassen. Bezugnehmend auf die 7A und 8A werden Dummy-Gate-Stapel 220 über dem Substrat 202 gebildet, und werden mindestens teilweise über der ersten finnenförmigen Struktur 210-1 und der zweiten finnenförmige Struktur 210-2 angeordnet. Nach dem Strukturieren werden die dielektrische Dummy-Schicht 214 und die Dummy-Gate-Elektrodenschicht 216 zu Dummy-Gate-Stapeln 220 geformt. Die Abschnitte der ersten finnenförmigen Struktur 210-1, welche unter den Dummy-Gate-Stapeln 220 angeordnet sind, sind die Kanalbereiche 21CC der ersten finnenförmigen Struktur 210-1. In Bezug auf die erste finnenförmige Struktur 210-1 in der ersten Fläche 1000 können die Dummy-Gate-Stapel 220 auch Source-/Drain-Bereiche 21SD angrenzend an und an gegenüberliegenden Seiten des Kanalbereichs 21CC definieren. Wie in 7A gezeigt, kann jeder der Kanalbereiche 21CC entlang der X-Richtung zwischen zwei Source-/Drain-Bereichen 21SD eingebettet sein. Desgleichen sind die Abschnitte der zweiten finnenförmigen Struktur 210-2, welcher unter den Dummy-Gate-Stapeln 220 angeordnet sind, die Kanalbereiche 22CC der zweiten finnenförmigen Struktur 210-2. In Bezug auf die zweite finnenförmige Struktur 210-2 in der zweiten Fläche 2000 können die Dummy-Gate-Stapel 220 auch Source-/Drain-Bereiche 22SD angrenzend an und an gegenüberliegenden Seiten des Kanalbereichs 22CC definieren. Wie in 8A gezeigt, kann jeder der Kanalbereiche 22CC entlang der X-Richtung zwischen zwei Source-/Drain-Bereichen 22SD eingebettet sein. Da die Dummy-Gate-Stapel 220 nicht über den Source-/Drain-Bereichen 21SD der ersten finnenförmigen Struktur 210-1 oder über den Source-/Drain-Bereichen 22SD der zweiten finnenförmigen Struktur 210-2 gebildet sind, sind die Source-/Drain-Bereiche 21SD in 7B und die Source-/Drain-Bereiche 22SD in 8B nicht unter einem Dummy-Gate-Stapel 220 angeordnet.
  • Bezugnehmend auf die 1, 9A, 9B, 10A und 10B umfasst das Verfahren 100 einen Block 108, in welchem eine Gate-Abstandselementschicht 222 über dem Substrat 202, sowie auch entlang der Seitenwände der Dummy-Gate-Stapel 220 angeordnet wird. In einigen Ausführungsformen wird Abstandelementmaterial zum Bilden der Gate-Abstandselementschicht 222 konform über dem Werkstück 200, sowie auch über oberen Flächen und Seitenwänden des Dummy-Gate-Stapels 220, angeordnet. Der Begriff „konform“ kann hierin für eine einfache Beschreibung einer Schicht verwendet werden, welche über verschiedene Bereiche hinweg eine im Wesentlichen einheitliche Dicke aufweist. Die Gate-Abstandselementschicht 222 kann eine Einzelschichtkonstruktion oder mehrere Schichten aufweisen. In einigen Ausführungsformen, welche in den 9A und 10A dargestellt sind, weist die Gate-Abstandselementschicht 222 eine Einzelschichtkonstruktion auf. Die Gate-Abstandselementschicht 222 kann Siliziumoxid, Siliziumoxynitrid, Siliziumnitrid, Siliziumkarbonitrid, Siliziumoxykarbid, Siliziumoxykarbonitrid, ein anderes geeignetes dielektrisches Material oder eine Kombination davon enthalten. Das Abstandselementmaterial kann unter Verwendung von Prozessen, wie zum Beispiel eines CVD-Prozesses, eines subatmosphärischen CVD-Prozesses (SACVC-Prozesses), eines fließbaren CVD-Prozesses, eines ALD-Prozesses oder eines anderen geeigneten Prozesses, über dem Dummy-Gate-Stapel 220 aufgebracht werden. Das Abstandselementmaterial wird dann in einem anisotropen Ätzprozess rückgeätzt, um die Gate-Abstandselementschicht 221 zu bilden. Der anisotrope Ätzprozess legt Abschnitte der ersten finnenförmigen Struktur 210-1 und der zweiten finnenförmigen Struktur 210-2, welche an den Dummy-Gate-Stapel 220 angrenzen und nicht von diesem bedeckt sind (zum Beispiel in Source-/Drain-Bereichen 21SD in der ersten Fläche 1000 oder 22SD in der zweiten Fläche 2000), frei. Abschnitte des Abstandselementmaterials direkt über dem Dummy-Gate-Stapel 220 können durch diesen anisotropen Ätzprozess teilweise oder vollständig entfernt werden, während die Abstandselementschicht 222 an Seitenwänden der Dummy-Gate-Stapel 220 verbleibt.
  • Bezugnehmend auf die 1, 9A, 9B, 10A und 10B umfasst das Verfahren 100 einen Block 110, in welchem die Source-/Drain-Bereiche 21SD in der ersten Fläche 1000 und die Source-/Drain-Bereiche 22SD in der zweiten Fläche 2000 vertieft werden, um Source-/Drain-Gräben 224 zu bilden. In einigen Umsetzungen wird das Vertiefen ausgeführt, indem die Source-/Drain-Bereiche 21SD in der ersten Fläche 1000 und die Source-/Drain-Bereiche 22SD in der zweiten Fläche 2000 unter Verwendung des Dummy-Gate-Stapels 220 und der Gate-Abstandselementschicht 222 als eine Ätzmaske geätzt werden. Das Vertiefen kann einen Trockenätzvorgang umfassen, welcher ein sauerstoffhaltiges Gas, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmas und/oder Kombinationen daraus anwenden kann. In einigen Ausführungsformen, welche in den 9A und 10A dargestellt sind, werden der obere Abschnitt der ersten finnenförmigen Struktur 210-1 und jener der zweiten finnenförmigen Struktur 210-2 vertieft, um Source-/Drain-Gräben 224 zu bilden. Die Source-/Drain-Gräben 224 legen Seitenwände der Opferschichten 206 und der Kanalschichten 208 frei. In einigen Umsetzungen werden mindestens ein Abschnitt der Basisabschnitte 202B der ersten finnenförmigen Struktur 210-1 beziehungsweise der zweiten finnenförmigen Struktur 210-1 ebenfalls vertieft. Das bedeutet, der Source-/Drain-Graben 224 kann sich in die Basisabschnitte 202B in der ersten Fläche 1000 und in der zweiten Fläche 2000 hinein erstrecken. Die Böden der Source-/Drain-Gräben 224 sind in den 9B und 10B gezeigt und können eine konkave Form aufweisen.
  • Bezugnehmend auf die 1, 11A, 11B, 12A und 12B weist das Verfahren 100 einen Block 112 auf, in welchem innere Abstandselemente 226 gebildet werden. In einem Beispielprozess umfasst die Bildung der innere Abstandselemente 226 das selektive und teilweise Entfernen der Opferschichten 206 zum Bilden innerer Abstandselementvertiefungen (welche nicht explizit gezeigt sind, da sie jetzt mit inneren Abstandselementen 226 gefüllt sind) und die Bildung innerer Abstandselemente 226 in den innere Abstandselementvertiefungen. Wenn die Opferschichten 206 in den Source-/Drain-Gräben 224 selektiv freigelegt und teilweise vertieft worden sind, um innere Abstandselementvertiefungen zu bilden, bleiben die freigelegten Kanalschichten 208 im Wesentlichen ungeätzt. In einer Ausführungsform, in welcher die Kanalschichten 208 im Wesentlichen aus Si bestehen und Opferschichten 206 im Wesentlichen aus SiGe bestehen, kann das selektive Vertiefen der Opferschichten 206 einen SiGe-Oxidationsprozess gefolgt von einer SiGe-Oxidentfernung umfassen. In jenen Ausführungsformen kann der SiGe-Oxidationsprozess die Verwendung von Ozon umfassen. In einigen Ausführungsformen kann das selektive Vertiefen ein selektiver isotroper Ätzprozess (zum Beispiel ein selektiver Trockenätzprozess oder ein selektiver Nassätzprozess) sein, und das Ausmaß, in welchem die Opferschichten 206 vertieft werden, wird durch die Dauer des Ätzprozesses gesteuert. In einigen Ausführungsformen kann der selektive Trockenätzprozess die Verwendung eines oder mehrerer Ätzmittel auf Fluorbasis, wie zum Beispiel Fluorgas oder Fluorkohlenwasserstoffe umfassen. In einigen Ausführungsformen kann der selektive Nassätzprozess die Verwendung eines Hydrofluoridätzmittels (HF) oder eines NH4OH-Ätzmittels umfassen.
  • Die Bildung der inneren Abstandselemente 226 in den inneren Abstandselementvertiefungen umfasst das Aufbringen einer inneren Abstandselementschicht über dem Werkstück 200 und das Rückätzen der inneren Abstandselementschicht außerhalb der inneren Abstandselementvertiefungen zum Bilden der inneren Abstandselemente 226. In einigen Ausführungsformen können die inneren Abstandselementschichten durch CVD, PECVD, LPCVD, ALD oder ein anderes geeignetes Verfahren über dem Werkstück 200 aufgebracht werden. Die innere Abstandselementschicht kann aus Aluminiumoxid, Zirkoniumoxid, Tantaloxid, Yttriumoxid, Titanoxid, Lanthanoxid, Siliziumoxid, Siliziumkarbonitrid, Siliziumoxykarbonitrid, Siliziumoxykarbid, Material mit niedrigem k-Wert, einem anderen geeigneten Metalloxid oder einer Kombination davon gebildet werden. Anschließend kann die aufgebrachte innere Abstandselementschicht rückgeätzt werden, um innere Abstandselemente 226 in den inneren Abstandselementvertiefungen zu bilden.
  • Bezugnehmend auf die 1, 13A, 13B, 14A und 14B umfasst das Verfahren 100 einen Block 114, in welchem erste Source-/Drain-Elemente 228-1 in den Source-/Drain-Gäben 224 in der ersten Fläche 1000 und zweite Source-/Drain-Elemente 228-2 in den Source-/Drain-Gräben 224 in der zweiten Fläche 2000 gebildet werden. Die ersten Source-/Drain-Elemente 228-1 und die zweiten Source-/Drain-Elemente 228-2 können n-Source-/Drain-Elemente für n-Vorrichtungen und epitaxiale p-Source-/Drain-Elemente für epitaxiale p-Vorrichtungen aufweisen. In einigen Ausführungsformen sind sowohl die ersten Source-/Drain-Elemente 228-1 als auch die zweiten Source-/Drain-Elemente 228-2 vom selben Typ und können gleichzeitig gebildet werden. In einigen anderen Ausführungsformen weisen die ersten Source-/Drain-Elemente 228-1 und die zweiten Source-/Drain-Elemente 228-2 unterschiedliche Typen auf und können getrennt gebildet werden. Zum Beispiel können die ersten Source-/Drain-Elemente 228-1 n-Source-/Drain-Elemente sein, und die zweiten Source-/Drain-Elemente 228-2 können p-Source-/Drain-Elemente sein. Die ersten Source-/Drain-Elemente 228-1 und die zweiten Source-/Drain-Elemente 228-2 können unter Verwendung geeigneter epitaxialer Prozesse, wie zum Beispiel CVD-Abscheidungstechniken (zum Beispiel Dampfphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie (MBE) und/oder andere geeignete Prozesse, gebildet werden. In einigen Umsetzungen kann das epitaxiale Wachstum der ersten Source-/Drain-Elemente 228-1 und der zweiten Source-/Drain-Elemente 228-2 für die Oberflächen der Kanalschichten 208 und des Substrats 202 (oder der Basisabschnitte 202B) selektiv sein. Da die erste finnenförmige Struktur 210-1 entlang der Y-Richtung breiter ist als die zweite finnenförmige Struktur 210-2, ist auch das erste Source-/Drain-Element 228-1 entlang der Y-Richtung breiter als das zweite Source-/Drain-Element 228-2. Epitaxiale Beispiel-n-Source-/Drain-Elemente können Si, GaAs, GaAsP, SiP oder ein anderes geeignetes Material enthalten. Die epitaxialen n-Source-/Drain-Elemente können während des epitaxialen Prozesses in situ dotiert werden, indem Dotierungsspezies, welche n-Dotierstoffe, wie zum Beispiel Phosphor (P) oder Arsen (As), enthalten, und/oder andere geeignete Dotierstoffe sowie Kombinationen davon eingebracht werden. Falls die epitaxialen n-Source-/Drain-Elemente nicht in situ dotiert werden, wird ein Implantationsprozess (das heißt ein Übergangsimplantierprozess) durchgeführt, um die epitaxialen n-Source-/Drain-Elemente zu dotieren. Epitaxiale Beispiel-p-Source-/Drain-Elemente können Si, Ge, AlGaAs, SiGe, bordotiertes SiGe oder ein anderes geeignetes Material enthalten. Die epitaxialen p-Source-/Drain-Elemente können während des epitaxialen Prozesses in situ dotiert werden, indem Dotierungsspezies, welche p-Dotierstoffe, wie zum Beispiel Bor (B) oder BF2, und/oder andere geeignete Dotierstoffe sowie Kombinationen davon eingebracht werden. Falls die epitaxialen p-Source-/Drain-Elemente nicht in situ dotiert werden, wird ein Implantationsprozess (das heißt ein Übergangsimplantierprozess) durchgeführt, um die epitaxialen p-Source-/Drain-Elemente zu dotieren.
  • Bezugnehmend auf die 1, 15A, 15B, 15C, 16A, 16B und 16C umfasst das Verfahren 100 einen Block 116, in welchem eine Kontaktätzstoppschicht (CESL) 230 und eine dielektrische Zwischenschicht (ILD-Schicht) 232 aus den ersten Source-/Drain-Elementen 228-1 und den zweiten Source-/Drain-Elementen 228-2 gebildet werden. 15A stellt eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der Y-Richtung dar. Die 15B und 15C stellen fragmentarische Querschnittsansichten des Kanalbereichs 21CC und des Source-/Drain-Bereichs 21SD in der ersten Fläche 1000 dar. 16A stellt eine fragmentarische Querschnittsansicht der zweiten Fläche 2000 entlang der Y-Richtung dar. Die 16B und 16C stellen fragmentarische Querschnittsansichten des Kanalbereichs 22CC und des Source-/Drain-Bereichs 22SD in der zweiten Fläche 2000 dar. In einigen Ausführungsformen wird die CESL 230 zunächst über dem Werkstück 200, wie zum Beispiel über den ersten Source-/Drain-Elementen 228-1 in der ersten Fläche 1000, den zweiten Source-/Drain-Elementen 228-2 in der zweiten Fläche 2000 sowie über oberen Flächen des Isolationselements 212, aufgebracht. In manchen Beispielen kann die CESL 230 eine Siliziumnitridschicht, eine Siliziumoxidschicht, eine Siliziumoxynitridschicht und/oder andere im Fachgebiet bekannte Materialien aufweisen. Die CESL 230 kann durch CVD oder ALD gebildet werden. Nach dem Aufbringen der CESL 230 wird die ILD-Schicht 232 über dem Werkstück 200, wie zum Beispiel über der CESL 230, aufgebracht. In einigen Ausführungsformen enthält die ILD-Schicht 232 Materialien, wie zum Beispiel Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid, wie zum Beispiel Borphosphorsilikatglas (BPSG), Fluorsilikatglas (FSG), Phosphorsilikatglas (PSG), bordotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien. Die ILD-Schicht 232 kann durch einen PECVD-Prozess, einen Aufschleuderbeschichtungsprozess oder eine andere geeignete Abscheidungstechnik aufgebracht werden. In einigen Ausführungsformen kann das Werkstück 200 nach der Bildung der ILD-Schicht 232 getempert werden, um die Integrität der ILD-Schicht 232 zu verbessern. Nach dem Aufbringen und Tempern der ILD-Schicht 232, kann das Werkstück 200 zum Beispiel durch einen chemisch-mechanischen Polierprozess (CMP-Prozess) planarisiert werden, um eine ebene obere Fläche zur weiteren Bearbeitung zu bilden.
  • Bezugnehmend auf die 1, 17A, 17B, 17C, 18A, 18B und 18C umfasst das Verfahren 100 einen Block 118, in welchem erste Kanalelemente 208-1 in der ersten Fläche 1000 und zweite Kanalelemente 208-2 in der zweiten Fläche 2000 freigegeben werden. In einem Beispielprozess umfassen die Vorgänge bei Block 118 die Entfernung der Dummy-Gate-Stapel 220 und die selektive Entfernung der Opferschichten 206. 17A stellt eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der Y-Richtung dar. Die 17B und 17C stellen fragmentarische Querschnittsansichten des Kanalbereichs 21CC und des Source-/Drain-Bereichs 21SD in der ersten Fläche 1000 dar. 18A stellt eine fragmentarische Querschnittsansicht der zweiten Fläche 2000 entlang der Y-Richtung dar. Die 18B und 18C stellen fragmentarische Querschnittsansichten des Kanalbereichs 22CC und des Source-/Drain-Bereichs 22SD in der zweiten Fläche 2000 dar. Zunächst wird Bezug genommen auf die 17A, 17B, 18A und 18B. In der abgebildeten Ausführungsform entfernt ein selektiver Ätzprozess die Dummy-Gate-Stapel 220 zur Gänze, um Opferschichten 206 und Kanalschichten 208 in Kanalbereichen 21CC in der ersten Fläche 1000 und in Kanalbereichen 22CC in der zweiten Fläche 2000 freizulegen. Wie in den 17C und 18C gezeigt, schützen die CESL 230 und die ILD-Schicht 232 die ersten Source-/Drain-Elemente 228-1 und die zweiten Source-/Drain-Elemente 228-2 vor einer Beschädigung während der Vorgänge bei Block 118. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder eine Kombination derselben sein. Der Ätzprozess kann derart ausgewählt werden, dass er für die Dummy-Gate-Stapel 220 selektiv ist und die CESL 230, die ILD-Schicht 232 und die Gate-Abstandselementschicht 222 im Wesentlichen nicht ätzt. Weiterhin bezugnehmend auf die 17A, 17B, 18A und 18B ätzt ein selektiver Ätzprozess gezielt die Opferschicht 206, während er die Kanalschichten 208, die Gate-Abstandselementschicht 222, die ILD-Schicht 232 und die inneren Abstandselemente 226 nur minimal oder gar nicht ätzt. Verschiedene Ätzparameter, wie zum Beispiel Ätzmittelzusammensetzung, Ätztemperatur, Ätzlösungskonzentration, Ätzdauer, Ätzdruck, Source-Leistung, RF-Vorspannung, RF-Vorspannungsleistung, Ätzmittelströmungsgeschwindigkeit, andere geeignete Ätzparamater oder Kombinationen davon, können darauf abgestimmt werden, eine selektive Ätzung der Opferschichten 206 zu erzielen. Zum Beispiel wird für den Ätzprozess ein Ätzmittel gewählt, welches das Material der Opferschichten 206 (in der abgebildeten Ausführungsform Silizium-Germanium) mit einer höheren Geschwindigkeit ätzt, als das Material der Kanalschichten 208 (in der abgebildeten Ausführungsform Silizium). Das bedeutet, das Ätzmittel im selektiven Ätzprozess weist eine höhere Ätzselektivität in Bezug auf das Material der Opferschichten 206 auf. Der Ätzprozess kann ein Trockenätzprozess, ein Nassätzprozess, ein anderer geeigneter Ätzprozess oder eine beliebige Kombination derselben sein. In einigen Ausführungsformen verwendet ein Trockenätzprozess (zum Beispiel ein RIE-Prozess) ein fluorhaltiges Gas (zum Beispiel SF6), um die Opferschichten 206 gezielt zu ätzen. In einigen Ausführungsformen können ein Verhältnis des fluorhaltigen Gases zu einem sauerstoffhaltigen Gas (zum Beispiel O2 oder O3), eine Ätztemperatur und/oder eine RF-Leistung derart abgestimmt werden, dass Silizium-Germanium oder Silizium selektiv geätzt werden. In einigen Ausführungsformen verwendet ein Nassätzprozess eine Ätzlösung, welche Ammoniumhydroxid (NH4OH) und Wasser (H2O) enthält, um die Opferschichten 206 gezielt zu ätzen. In einigen Ausführungsformen kann ein chemischer Dampfphasenätzprozess, welcher Salzsäure (HCl) verwendet, die Opferschichten 206 gezielt ätzen. Nach Abschluss der Vorgänge bei Block 118 können die Kanalschichten 208 in den Kanalbereichen 21CC in der ersten Fläche 1000 von den Opferschichten 206 freigegeben sein, um erste Kanalelemente 208-1 zu bilden, und die Kanalschichten 208 in den Kanalbereichen 22CC in der zweiten Fläche 2000 können von den Opferschichten 206 freigegeben sein, um zweite Kanalelemente 208-2 zu bilden. Vorgänge bei Block 118 bilden Gate-Gräben 234 über den Kanalbereichen 21CC in der ersten Fläche 1000 und über den Kanalbereichen 22CC in der zweiten Fläche 2000.
  • In der Folge wird Bezug genommen auf die 17A und 18A. Wie in 17A gezeigt, weist jedes der ersten Kanalelemente 208-1 in den Kanalbereichen 21CC einen ersten Kanalabschnitt 28C und einen erste Verbindungsabschnitt 28K auf. Die ersten Kanalabschnitte 28C sind über dem Basisabschnitt 202B vollständig ausgesetzt und sind nicht unter der GateAbstandselementschicht 222 angeordnet. Der erste Verbindungsabschnitt 28K ist unter der Gate-Abstandselementschicht 222 angeordnet und ist entweder zwischen zwei inneren Abstandselementen 226 oder zwischen der Gate-Abstandselementschicht 222 und einem inneren Abstandselement 226 angeordnet. Wie in 18A gezeigt, weist jedes der zweiten Kanalelemente 208-2 in den Kanalbereichen 22CC einen zweiten Kanalabschnitt 30C und einen zweiten Verbindungsabschnitt 30K auf. Die zweiten Kanalabschnitte 30C sind über dem Basisabschnitt 202B vollständige ausgesetzt und sind nicht unter der Gate-Abstandselementschicht 222 angeordnet. Der zweite Verbindungsabschnitt 30K ist unter der Gate-Abstandselementschicht 222 angeordnet und ist entweder zwischen zwei inneren Abstandselementen 226 oder zwischen der Gate-Abstandselementschicht 222 und einem inneren Abstandselement 226 angeordnet.
  • Bezugnehmend auf die 1, 19A, 19B, 19C, 20A, 20B und 20C umfasst das Verfahren 100 einen Block 120, in welchem erste Kanalelemente 208-1 in der ersten Fläche 1000 getrimmt werden, um die dritten Kanalelemente 208-3 zu bilden. 19A stellt eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der Y-Richtung dar. Die 19B und 19C stellen fragmentarische Querschnittsansichten des Kanalbereichs 21CC und des Source-/Drain-Bereichs 21SD in der ersten Fläche 1000 dar. 20A stellt eine fragmentarische Querschnittsansicht der zweiten Fläche 2000 entlang der Y-Richtung dar. Die 20B und 20C stellen fragmentarische Querschnittsansichten des Kanalbereichs 22CC und des Source-/Drain-Bereichs 22SD in der zweiten Fläche 2000 dar. Um die ersten Kanalelemente 208-1 selektiv zu trimmen, kann in einigen Ausführungsformen eine Fotolackmaske 236 oder eine Maskierungsschicht 236 über der zweiten Fläche 2000 gebildet werden, während die erste Fläche 1000 freigelegt wird. Die Bildung der Fotolackmaske 236 kann das Aufbringen eines Fotolackmaterials über dem Werkstück 200 und das Strukturieren des Fotolackmaterials unter Verwendung von Fotolithografietechniken zum Freilegen der ersten Fläche 1000 umfassen. In einigen Umsetzungen kann die Fotolackschicht unter Verwendung von Aufschleuderbeschichtung oder einem anderen geeigneten Verfahren aufgebracht werden. In einigen Ausführungsformen kann das Trimmen der ersten Kanalelemente 208-1 die Verwendung eines Ätzprozesses umfassen, welcher selektiv für die ersten Kanalelemente 208-1 ist, welche aus Silizium gebildet sein können. In einigen anderen Ausführungsformen kann der Trimmprozess einen zweistufigen Prozess umfassen. Ein erste Stufe eines zweistufigen Beispielprozesses umfasst die partielle Oxidation der ersten Kanalelemente 208-1 zum Bilden einer Siliziumoxidschicht. Eine zweite Stufe des zweistufigen Beispielprozesses umfasst das selektive Entfernen der Siliziumoxidschicht. Zum Beispiel kann das selektive Entfernen der Siliziumoxidschicht die Verwendung einer verdünnten Flusssäure (DHF) oder einer gepufferten Flusssäure (BHF) umfassen. Nach dem Trimmen der ersten Kanalelemente 208-1 kann die Fotolackmaske 236 über der zweiten Fläche 2000 unter Verwendung eines geeigneten Prozesses, wie zum Beispiel Veraschung, entfernt werden. An diesem Punkt werden im Wesentlichen die dritten Kanalelemente 208-3 in der ersten Fläche 1000 und die zweiten Kanalelemente 208-2 in der zweiten Fläche 2000 gebildet. Wie in Zusammenhang mit den 27, 28 und 29 weiter beschrieben wird, weist jedes der dritten Kanalelemente 208-3 eine Breite auf, welche größer ist als seine Höhe, und weist somit eine horizontale Nanoblattausrichtung auf, und jedes der zweiten Kanalelemente 208-2 weist eine Höhe auf, welche größer ist als seine Breite, und weist somit eine vertikale Nanoblattausrichtung auf.
  • In der Folge wird Bezug genommen auf die 19A und 20A. Da wie in 19A gezeigt die dritten Kanalelemente 208-3 durch Trimmen der ersten Kanalelemente 208-1 gebildet worden sind, wird der erste Kanalabschnitt 28C in 17A getrimmt, um dritte Kanalabschnitte 28C' zu bilden, während der erste Kanalabschnitt 28K geschützt wird und ungetrimmt bleibt. Das bedeutet, jedes der dritten Kanalelemente 208-3 weist einen dritten Kanalabschnitt 28C' und den ersten Verbindungsabschnitt 28K auf. Aufgrund der Maskierung der Fotolackmaske 236 werden die zweiten Kanalelemente 208-2 bei Block 120 keinerlei Abmessungsänderungen unterzogen. Da die dritten Kanalelemente 208-3 in der ersten Fläche 1000 und die zweiten Kanalelemente 208-2 in der zweiten Fläche 2000 aus demselben Stapel 204 gebildet worden sind, kann ein Abstandsmaß der dritten Kanalelemente 208-3 im Wesentlichen gleich einem Abstandsmaß der zweiten Kanalelemente 208-2 sein. Durch das Trimmen bei Block 120 kann ein Unterschied zwischen einer Höhe des dritten Kanalabschnitts 28C' (entlang der Z-Richtung) und einer Höhe des ersten Verbindungsabschnitts 28K (entlang der Z Richtung) zwischen ungefähr 1 nm und ungefähr 8 nm betragen. Im Gegensatz dazu kann ein Unterschied zwischen einer Höhe des zweiten Kanalabschnitts 30C (entlang der Z-Richtung) und einer Höhe des zweiten Verbindungsabschnitts 30K (entlang der Z Richtung) unwesentlich sein, zum Beispiel zwischen ungefähr 0 nm und ungefähr 1 nm. Sowohl eine Dicke des ersten Verbindungsabschnitts 28k als auch eine Dicke des zweiten Verbindungsabschnitts 30K kann im Wesentlichen gleich der ersten Dicke T1 der Kanalschicht 208 sein, welche zwischen ungefähr 6 nm und ungefähr 16 nm beträgt.
  • Bezugnehmend auf die 1, 21A, 21B, 21C, 22A, 22B, 22C, 23A, 23B, 23C, 24A, 24B und 24C umfasst das Verfahren 100 einen Block 122, in welchem Gate-Strukturen 250 über den dritten Kanalelementen 208-3 in der ersten Fläche 1000 und den zweiten Kanalelementen 208-2 in der zweiten Fläche 2000 gebildet werden. Die 21A und 23A stellen eine fragmentarische Querschnittsansicht der ersten Fläche 1000 entlang der Y-Richtung dar. Die 21B, 23B, 21C und 23C stellen fragmentarische Querschnittsansichten des Kanalbereichs 21CC und des Source-/Drain-Bereichs 21SD in der ersten Fläche 1000 entlang der X-Richtung dar. Die 22A und 24A stellen fragmentarische Querschnittsansichten der zweiten Fläche 2000 entlang der Y-Richtung dar. Die 22B, 24B, 22C und 24C stellen fragmentarische Querschnittsansichten des Kanalbereichs 22CC und des Source-/Drain-Bereichs 22SD in der zweiten Fläche 2000 entlang der X-Richtung dar. Jede der Gate-Strukturen 250 kann eine Grenzflächenschicht 238, eine dielektrische Gate-Schicht 240 und eine Gate-Elektrode 242 aufweisen. Zunächst bezugnehmend auf die 21A, 21B, 22A und 22B kann bei Block 122 die Grenzflächenschicht 238 konform auf den dritten Kanalelementen 208-3 in der ersten Fläche 1000 und auf den zweiten Kanalelementen 208-2 in der zweiten Fläche 2000 gebildet werden. In einigen Umsetzungen kann die Grenzflächenschicht 238 ein dielektrisches Material, wie zum Beispiel Siliziumoxid, Hafniumsilikat oder Siliziumoxynitrid, enthalten und kann durch chemische Oxidation, thermische Oxidation, Atomlagenabscheidung (ALD), chemische Dampfabscheidung (CVD) und/oder andere geeignete Verfahren gebildet werden.
  • Nunmehr bezugnehmend auf die 23A, 23B, 24A und 24B wird die dielektrische Gate-Schicht 240 dann über der Grenzflächenschicht 238 aufgebracht, um die dritten Kanalelemente 208-3 in der ersten Fläche 1000 und die zweiten Kanalelemente 208-2 in der zweiten Fläche 2000 zu umhüllen. Die dielektrische Gate-Schicht 240 kann ein oder mehrere dielektrische Materialien mit hohem k-Wert enthalten. Dielektrische Materialien mit hohem k-Wert gemäß der vorliegenden Verwendung und Beschreibung umfassen dielektrische Materialien, welche eine hohe Dielektrizitätskonstante, zum Beispiel höher als jene von thermischem Siliziumoxid (~3,9), aufweisen. Beispiele für dielektrisches Material mit hohem k-Wert für die dielektrische Gate-Schicht 240 können Hafniumoxid (HfO), Titanoxid (TiO2), Hafnium-Zirkoniumoxid (HfZrO), Tantaloxid (Ta2O5), Hafnium-Siliziumoxid (HfSiO4), Zirkoniumoxid (ZrO2), Zirconium-Siliziumoxid (ZrSiO2), Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Zirkoniumoxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafnium-Lanthanoxid (HfLaO), Lanthan-Siliziumoxid (LaSiO), Aluminium-Siliziumoxid (AlSiO), Hafnium-Tantaloxid (HfTaO), Hafnium-Titanoxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Siliziumoxynitrid (SiON), Kombinationen davon oder ein anderes geeignetes Material enthalten. Die dielektrische Gate-Schicht 240 kann durch ALD, physikalische Aufdampfung (PVD), CVD, Oxidation und/oder andere geeignete Verfahren gebildet werden. In einigen Fällen, in welchen die zweite Dicke T2 (in 2A gezeigt) der Opferschichten 206 zwischen ungefähr 2 nm und ungefähr 4 nm beträgt, kann die dielektrische Gate-Schicht 240 im Kanalbereich 22CC in der zweiten Fläche 2000 zwischen benachbarten zweiten Kanalelementen 208-2 verschmelzen, wie in den 24A und 24B gezeigt. In diesen Fällen kann die verschmolzene dielektrische Gate-Schicht 240 zwischen benachbarten zweiten Kanalelementen 208-2 verhindern, dass weitere Schichten, wie zum Beispiel die Gate-Elektrode 242, die zweiten Kanalelemente 208-2 vollständig umhüllen. In anderen Fällen, in welchen die zweite Dicke T2 (in 2A gezeigt) der Opferschichten 206 Mehr als ungefähr 4 nm beträgt, ist es eher unwahrscheinlich, dass die dielektrische Gate-Schicht 240 im Kanalbereich 22CC in der zweiten Fläche 2000 zwischen benachbarten zweiten Kanalelementen 208-2 verschmilzt. In jenen alternativen Fällen können weitere Schichten, wie zum Beispiel die Gate-Elektrode 242, die zweiten Kanalelemente 208-2 vollständig umhüllen.
  • Weiterhin bezugnehmend auf die 23A, 23B, 24A und 24B wird dann die Gate-Elektrode 242 über der dielektrischen Gate-Schicht 240 aufgebracht. Obwohl dies nicht eigens dargestellt ist, kann die Gate-Elektrode 242 eine oder mehrere Austrittsarbeitsschichten und eine oder mehrere Metallfüllschichten aufweisen. In einigen Umsetzungen können in n-Vorrichtungsbereichen und p-Vorrichtungsbereichen unterschiedliche Austrittsarbeitsschichtenstapel gebildet werden. Während in jenen Umsetzungen n-Vorrichtungsbereiche und p-Vorrichtungsbereiche bestimmte gemeinsame Austrittsarbeitsschichten gemeinsam nutzen können, können n-Vorrichtungsbereiche eine oder mehrere Austrittsarbeitsschichten aufweisen, welche in den p-Vorrichtungsbereichen nicht vorhanden sind. Desgleichen können in alternativen Umsetzungen p-Vorrichtungsbereiche eine oder mehrere Austrittsarbeitsschichten aufweisen, welche in den n-Vorrichtungsbereichen nicht vorhanden sind. Eine p-Austrittsarbeitsschicht enthält ein beliebiges geeignetes p-Austrittsarbeitsmaterial, wie zum Beispiel Titannitrid (TiN), Tantalnitrid (TaN), Tantal-Siliziumnitrid (TaSiN), Ruthenium (Ru), Molybdän (Mo), Aluminium (AI), Wolframnitrid (WN), Wolframkarbonitrid (WCN), Zirkonium-Silizium (ZrSi2), Molybdänsilizid (MoSi2), Tantalsilizid (TaSi2), Nickelsilizid (NiSi2), andere p-Austrittsarbeitsmaterialien oder Kombinationen davon. Eine n-Austrittsarbeitsschicht enthält ein beliebiges geeignetes n-Austrittsarbeitsmaterial, wie zum Beispiel Titan (Ti), Aluminium (AI), Silber (Ag), Mangan (Mn), Zirkonium (Zr), Titan-Aluminium (TiAl), Titan-Aluminiumkarbid (TiAlC), Titan-Aluminium-Siliziumkarbid (TiAlSiC), Tantalkarbid (TaC), Tantalkarbonitrid (TaCN), Tantal-Siliziumnitrid (TaSiN), Tantal-Aluminium (TaAl), Tantal-Aluminiumkarbid (TaAlC), Tantal-Silizium-Aluminiumkarbid (TaSiAlC), Titan-Aluminiumnitrid (TiAlN), andere n-Austrittsarbeitsmaterialien oder Kombinationen davon. Dabei ist festzuhalten, dass p-Austrittsarbeitsschichten nicht auf die Verwendung in p-Vorrichtungsbereichen beschränkt sind, und n-Austrittsarbeitsschichten nicht auf die Verwendung in n-Vorrichtungsbereichen beschränkt sind. P-Austrittsarbeitsschichten und n-Austrittsarbeitsschichten können in n-Vorrichtungsbereichen und p-Vorrichtungsbereichen verwendet werden, um gewünschte Schwellenspannungen zu erzielen. In einigen Ausführungsformen kann die Gate-Elektrode 242 eine oder mehrere Metallfüllschichten aufweisen. Zum Beispiel bringt ein CVD-Prozess oder ein PVD-Prozess die eine oder die mehreren Metallfüllschichten auf (einer) n-Austrittsarbeitsschicht(en) und (einer) p-Austrittsarbeitsschicht(en) auf, sodass eine Metallfüllschicht sämtliche verbleibenden Abschnitte der Gate-Gräben 234 füllt. Die Metallfüllschicht kann ein geeignetes leitfähiges Material, wie zum Beispiel Aluminium (AI), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt) oder Kupfer (Cu), enthalten. Die Metallfüllschicht kann zusätzlich oder gemeinsam andere Metalle, Metalloxide, Metallnitride, andere geeignete Materialien oder Kombinationen davon enthalten.
  • In einigen Fällen, in welchen die zweite Dicke T2 (in 2A gezeigt) der Opferschichten 206 zwischen ungefähr 2 nm und ungefähr 4 nm beträgt, kann die dielektrische Gate-Schicht 240 im Kanalbereich 22CC in der zweiten Fläche 2000 zwischen benachbarten zweiten Kanalelementen 208-2 verschmelzen, wie in den 24A und 24B gezeigt. In jenen Fällen kann die verschmolzene dielektrische Gate-Schicht 240 zwischen benachbarten zweiten Kanalelementen 208-2 verhindern, dass sich die Gate-Elektrode 242 zwischen zwei benachbarte zweite Kanalelemente 208-2 erstreckt. Somit umhüllt in jenen Fällen die Gate-Elektrode 242 die zweiten Kanalelemente 208-2 nicht vollständig. In anderen Fällen, in welchen die zweite Dicke T2 (in 2A gezeigt) der Opferschichten 206 mehr als ungefähr 4 nm beträgt, ist es eher unwahrscheinlich, dass die dielektrische Gate-Schicht 240 im Kanalbereich 22CC in der zweiten Fläche 2000 zwischen benachbarten zweiten Kanalelementen 208-2 verschmilzt. In jenen alternativen Fällen kann sich die Gate-Elektrode 242 zwischen zwei benachbarte zweite Kanalelemente 208-2 erstrecken und die zweiten Kanalelemente 208-2 vollständig umhüllen.
  • Bezugnehmend auf die 23A, 23B, 23C, 24A, 24B und 24C wird das Werkstück 200 planarisiert, um eine ebene Fläche bereitzustellen. In einigen Ausführungsformen wird die Planarisierung vorgenommen, um überschüssige Grenzflächenschicht 238, dielektrische Gate-Schicht 240 und die Gate-Elektrode 242 über dem Werkstück 200 zu entfernen. Die Planarisierung kann zum Beispiel einen CMP-Prozess umfassen, und kann durchgeführt werden, bis eine obere Fläche der ILD-Schicht 232 im Wesentlichen komplanar zu einer oberen Fläche der Gate-Elektrode 242 ist.
  • Bezugnehmend auf die 1, 25A, 25B, 26A und 26B weist das Verfahren 100 einen Block 124 auf, in welchem weitere Prozesse durchgeführt werden. Die Fertigung kann dann mit der Fertigung der Halbleitervorrichtung 200 fortgesetzt werden. Zum Beispiel können verschiedene Kontakte gebildet werden, um den Betrieb der MBC-Transistoren in der Halbleitervorrichtung 200 zu ermöglichen. Zum Beispiel können erste Source-/Drain-Kontakte 254 gebildet werden, um mit den ersten Source-/Drain-Elementen 228-1 in der ersten Fläche 1000 gekoppelt zu werden, und zweite Source-/Drain-Kontakte 255 können gebildet werden, um mit den zweiten Source-/Drain-Elementen 228-2 in der zweiten Fläche 2000 gekoppelt zu werden. Ein Beispielprozess zum Bilden der ersten Source-/Drain-Kontakte 254 und der zweiten Source-/Drain-Kontakte 255 kann die Bildung von Source-/Drain-Kontaktöffnungen durch die ILD-Schicht 232 und die CESL 230, die Bildung von Silizidmerkmalen 252 und die Bildung der ersten Source-/Drain-Kontakte 254 und der zweiten Source-/Drain-Kontakte 255 in den Source-/Drain-Kontaktöffnungen umfassen. In einigen Ausführungsformen können die Silizidmerkmale 252 Titansilizid (TiSi), Nickelsilizid (NiSi), Kobaltsilizid (CoSi) oder Titansiliziumnitrid (TiSiN) enthalten. Die ersten Source-/Drain-Kontakte 254 und die zweiten Source-/Drain-Kontakte 255 können Wolfram (W), Titan (Ti), Titannitrid (TiN), Ruthenium (Ru), Kobalt (Co), Nickel (Ni) oder Kupfer (Cu) enthalten. Um weitere Interconnect-Strukturen zu bilden, können über dem Werkstück 200 Gate-Kontakte, weitere ILD-Schichten, weitere CESL-Schichten und zusätzliche leitfähige Merkmale gebildet werden. Da die erste finnenförmige Struktur 210-1 entlang der Y-Richtung breiter ist als die zweite finnenförmige Struktur 210-2, ist wie oben beschrieben auch das erste Source-/Drain-Element 228-1 entlang der Y-Richtung breiter als das zweite Source-/Drain-Element 228-2. In der Folge ist auch eine untere Fläche eines ersten Source-/Drain-Kontakts 254 entlang der Y-Richtung breiter als eine untere Fläche des zweiten Source-/Drain-Kontakts 255. Wie in den 25C und 26C gezeigt, weist die untere Fläche des ersten Source-/Drain-Kontakts 254 eine erste Kontaktbreite C1 entlang der Y-Richtung auf, und die untere Fläche des zweiten Source-/Drain-Kontakts 255 weist eine zweite Kontaktbreite C2 auf. In einigen Fällen ist die erste Kontaktbreite C1 größer als die zweite Kontaktbreite C2. Ein Verhältnis der ersten Kontaktbreite C1 zur zweiten Kontaktbreite C2 (das heißt C1/C2) kann zwischen ungefähr 2 und ungefähr 10 betragen.
  • Abhängig von den Gestaltungen des Stapels 204 können die Kanalelemente der Halbleitervorrichtung 200 verschiedene in den 27 - 29 dargestellte Gestaltungen aufweisen. Zunächst bezugnehmend auf 27 kann jeder der dritten Kanalabschnitte 28C' (der dritten Kanalelemente 208-3) in Kanalbereichen 21CC in der ersten Fläche 1000 eine erste Breite W1 und eine erste Höhe H1 aufweisen. Die erste Breite W1 ist größer als die erste Höhe H1. Aus diesem Grund weist jeder der dritten Kanalabschnitte 28C' (der dritten Kanalelemente 208-3) seine oberen und unteren Flächen als seine primären Kanalflächen auf. Da sich die primären Kanalflächen der dritten Kanalelemente 208-3 parallel zur X-Y-Ebene erstrecken, können die dritten Kanalelemente 208-3 als horizontale Nanoblattkanalelemente betrachtet werden. In einigen Ausführungsformen beträgt die erste Breite W1 zwischen ungefähr 10 nm und ungefähr 60 nm, und die erste Höhe H1 beträgt zwischen ungefähr 3 nm und ungefähr 8 nm. Nunmehr bezugnehmend auf 28 kann jeder der zweiten Kanalabschnitte 30C (der zweiten Kanalelemente 208-2) in Kanalbereichen 22CC in der ersten Fläche 1000 eine zweite Breite W2 und eine zweite Höhe H2 aufweisen. Die zweite Höhe H2 ist größer als die zweite Breite W2. Aus diesem Grund weist jedes der zweiten Kanalelemente 208-2 seine Seitenwandflächen als seine primären Kanalflächen auf. Da sich die primären Kanalflächen der zweiten Kanalelemente 208-2 parallel zur X-Z-Ebene erstrecken, können die zweiten Kanalelemente 208-2 als vertikale Nanoblattkanalelemente betrachtet werden. In einigen Ausführungsformen beträgt die zweite Breite W2 zwischen ungefähr 3 nm und ungefähr 7 nm, und die zweite Höhe H2 beträgt zwischen ungefähr 5 nm und ungefähr 15 nm. In einigen Fällen sind die dritten Kanalabschnitte 28C' (der dritten Kanalelemente 208-3) breiter und kürzer als die zweiten Kanalabschnitte 30C (der zweiten Kanalelemente 208-2). Das bedeutet, die erste Breite W1 ist größer als die zweite Breite W2, und die erste Höhe H1 ist kleiner als die zweite Höhe H2. Im Vergleich zu MBC-Transistoren, welche dritte Kanalelemente 208-3 in der ersten Fläche aufweisen, erlaubt die kleinere zweite Breite W2, dass MBC-Transistoren, welche zweite Kanalelemente 208-2 in der zweiten Fläche 2000 aufweisen, in der Z-Y-Ebene eine kleinere Baugröße und eine höhere Packungsdichte aufweisen. Während ihre zweite Breite W2 kleiner ist, stellt die größere zweite Höhe H2 (im Vergleich zur ersten Höhe H1) der zweiten Kanalelemente 208-2 zusätzliche Kanalbreite für zufriedenstellende Ansteuerstrompegel bereit. Dabei ist festzuhalten, dass die dritten Kanalelemente 208-3 und die zweiten Kanalelemente 208-2 dasselbe Abstandsmaß P aufweisen, welches zwischen ungefähr 8 nm und ungefähr 18 nm betragen kann, da sie aus demselben Stapel 204 gebildet worden sind.
  • Zum Abschließen der Vorgänge bei Block 124 kann ein erster Transistor 310 in der ersten Fläche 1000 gebildet werden, wie veranschaulichend in 25A gezeigt, und ein zweiter Transistor 320 kann in der zweiten Fläche 2000 gebildet werden, wie veranschaulichend in 26A gezeigt. Sowohl der erste Transistor 310 als auch der zweite Transistor 320 sind MBC-Transistoren, da sie vertikal gestapelte Kanalelemente aufweisen. In einigen Ausführungsformen sind der erste Transistor 310 und der zweite Transistor 320 für unterschiedliche Anwendungen vorgesehen. Zum Beispiel weist der erste Transistor 310 größere Ansteuerströme auf als der zweite Transistor 320 und kann für Anwendungen mit höherem Ansteuerstrom oder höherer Geschwindigkeit verwendet werden. Der zweite Transistor 320 weist entlang der Y-Richtung eine kleinere Breite auf als der erste Transistor, und kann für Anwendungen mit hoher Packungsdichte, wie zum Beispiel SoC- und SRAM-Anwendungen, verwendet werden. Mit anderen Worten ist in diesen Ausführungsformen die erste Fläche 1000 ein Vorrichtungsbereich mit hohem Ansteuerstrom, und die zweite Fläche 2000 ist ein Bereich mit hoher Packungsdichte. Wie nachfolgend ausführlicher erklärt, kann der erste Transistor 310 in einigen alternativen Ausführungsformen für n-Vorrichtung geeigneter sein, und der zweite Transistor 320 kann hinsichtlich der Ladungsträgermobilität für p-Vorrichtungen geeigneter sein. In jenen alternativen Ausführungsformen ist die erste Fläche 1000 eine n-Vorrichtungsfläche, und die zweite Fläche 2000 ist eine p-Vorrichtungsfläche.
  • Nunmehr wird auf die 27 - 29 Bezug genommen. Da die dritten Kanalabschnitte 28C' (der dritten Kanalelemente 208-3) bei Block 120 im Verfahren 100 selektiv getrimmt werden, wie in 27 gezeigt, verschmilzt die dielektrische Gate-Schicht 240, welche auf den dritten Kanalabschnitten 28C' (der dritten Kanalelemente 208-3) aufgebracht worden ist, nicht unter den dritten Kanalabschnitten 28C' (der dritten Kanalelemente 208-3), und die Gate-Struktur 250, welche die Gate-Elektrode 242 aufweist, kann die dritten Kanalabschnitte 28C' (der dritten Kanalelemente 208-3) in der ersten Fläche 1000 vollständig umhüllen. Die Gate-Elektrode 242 kann sich auch zwischen das unterste dritte Kanalelement 208-3 und den Basisabschnitt 202B in der ersten Fläche 1000 erstrecken. Die zweiten Kanalabschnitte 30C (der zweiten Kanalelemente 208-2) in der zweiten Fläche 2000 werden bei Block 120 des Verfahrens 100 nicht getrimmt und weisen kleinere Abstände zwischen Kanalelementen auf. Wenn, wie in 28 gezeigt, die zweite Dicke T2 der Opferschicht 206 im Stapel 204 zwischen ungefähr 2 nm und ungefähr 4 nm beträgt, kann die dielektrische Gate-Schicht 240 auf benachbarten zweiten Kanalabschnitten 30C (der zweiten Kanalelemente 208-2) verschmelzen, und die Gate-Elektrode 242 kann sich nicht zwischen benachbarte zweite Kanalabschnitte 30C (der zweiten Kanalelemente 208-2) oder zwischen das unterste zweite Kanalelement 208-2 und den Basisabschnitt 202B erstrecken. Wenn, wie in 29 gezeigt, die zweite Dicke T2 der Opferschicht 206 im Stapel 204 mehr als 4 nm beträgt, wie zum Beispiel zwischen ungefähr 4 nm und ungefähr 6 nm, verschmilzt die dielektrische Gate-Schicht 240 nicht auf benachbarten zweiten Kanalabschnitten 30C (der zweiten Kanalelemente 208-2), und die Gate-Elektrode 242 kann sich zwischen benachbarte zweite Kanalabschnitte 30C (der zweiten Kanalelemente 208-2) oder zwischen das unterste zweite Kanalelement 208-2 und den Basisabschnitt 202B erstrecken.
  • Zusätzlich zur Bereitstellung verringerter Baugröße bei gleichzeitiger Bereitstellung zufriedenstellender Kanalbreite können die zweiten Kanalelemente 208-2 auch für p-Vorrichtungen geeignet sein. Wenn das Substrat 202 ein Siliziumsubstrat aufweisend eine Ausrichtung <100> (das heißt, es weist seine primäre ebene Fläche auf der Kristallebene (100) auf) ist, so sind die primären Kanalflächen der dritten Kanalelemente 208-3 auf der Kristallebene (100) angeordnet, während die primären Kanalflächen der zweiten Kanalelemente 208-2 auf der Kristallebene (110) angeordnet sind. Da die Elektronenmobilität auf der Kristallebene (100) am größten ist und die Lochmobilität auf der Kristallebene (110) am größten ist, kann der Ansteuerstrom maximiert werden, wenn die dritten Kanalelemente 208-3 für n-MBC-Transistoren verwendet werden und die zweiten Kanalelemente 208-2 für p-MBC-Transistoren verwendet werden.
  • In einem beispielhaften Aspekt ist die vorliegenden Offenbarung auf eine Halbleitervorrichtung ausgerichtet. Die Halbleitervorrichtung kann einen ersten Transistor und einen zweiten Transistor aufweisen. Der erste Transistor weist eine Mehrzahl erster Kanalelemente und eine erste Gate-Struktur, welche jedes der Mehrzahl erster Kanalelemente umhüllt, auf. Der zweite Transistor weist eine Mehrzahl zweiter Kanalelemente und eine zweite Gate-Struktur, welche über der Mehrzahl zweiter Kanalelemente angeordnet ist, auf. Jedes der Mehrzahl erster Kanalelemente weist eine erste Breite und eine erste Höhe, welche kleiner als die erste Breite ist, auf, und jedes der Mehrzahl zweiter Kanalelemente weist eine zweite Breite und eine zweite Höhe, welcher größer als die zweite Breite ist, auf.
  • In einigen Ausführungsformen ist ein Abstandsmaß der Mehrzahl erster Kanalelemente identisch mit einem Abstandsmaß der Mehrzahl zweiter Kanalelemente. In einigen Ausführungsformen ist die erste Breite größer als die zweite Breite. In einigen Fällen ist die erste Höhe kleiner als die zweite Höhe. In einigen Umsetzungen weist die zweite Gate-Struktur eine dielektrische Gate-Schicht und eine Gate-Elektrode auf. Die Gate-Elektrode ist durch die dielektrische Gate-Schicht in einem Abstand von der Mehrzahl zweiter Kanalelemente angeordnet. Die dielektrische Gate-Schicht umhüllt jedes der Mehrzahl zweiter Kanalelemente, und die Gate-Elektrode umhüllt jedes der Mehrzahl zweiter Kanalelemente nicht vollständig. In einigen Umsetzungen weist die dielektrische Gate-Schicht einen ersten Abschnitt angrenzend an eines der Mehrzahl zweiter Kanalelemente und einen zweiten Abschnitt angrenzend an ein anderes der Mehrzahl zweiter Kanalelemente auf, und der erste Abschnitt ist mit dem zweiten Abschnitt in Kontakt.
  • In einem weiteren beispielhaften Aspekt ist die vorliegenden Offenbarung auf eine Halbleitervorrichtung ausgerichtet. Die Halbleitervorrichtung kann einen ersten Transistor und einen zweiten Transistor aufweisen. Der erste Transistor weist ein erstes Kanalelement über und im Abstand von einem ersten Basisabschnitt, und eine erste Gate-Struktur, welche das erste Kanalelement umhüllt, auf. Der zweite Transistor weist ein zweites Kanalelement über und im Abstand von einem zweiten Basisabschnitt, und eine zweite Gate-Struktur, welche über dem zweiten Kanalelement angeordnet ist und eine Grenzflächenschicht, eine dielektrische Gate-Schicht und eine Gate-Elektrode aufweist, auf. Die Grenzflächenschicht ist am zweiten Kanalelement und am zweiten Basisabschnitt angeordnet. Die dielektrische Gate-Schicht ist auf der Grenzflächenschicht angeordnet. Die Gate-Elektrode erstreckt sich nicht zwischen das zweite Kanalelement und den zweiten Basisabschnitt.
  • In einigen Ausführungsformen kann die Halbleitervorrichtung ferner eine Gate-Abstandselementschicht angeordnet entlang von Seitenwänden der ersten Gate-Struktur und Seitenwänden der zweiten Gate-Struktur aufweisen. In einigen Ausführungsformen erstreckt sich das erste Kanalelement der Länge nach entlang einer Richtung zwischen einem ersten Source-/Drain-Element und einem zweiten Source-/Drain-Element. Das erste Kanalelement weist einen Kanalabschnitt direkt unter der ersten Gate-Struktur und einen Verbindungsabschnitt entlang der Richtung zwischen dem Kanalabschnitt und dem ersten Source-/Drain-Element auf. Eine Höhe des Verbindungsabschnitts ist größer als eine Höhe des Kanalabschnitts. In einigen Umsetzungen erstreckt sich das zweite Kanalelement der Länge nach entlang einer Richtung zwischen einem ersten Source-/Drain-Element und einem zweiten Source-/Drain-Element, das zweite Kanalelement weist einen Kanalabschnitt direkt unter der zweiten Gate-Struktur und einen Verbindungsabschnitt entlang der Richtung zwischen dem Kanalabschnitt und dem ersten Source-/Drain-Element auf, und eine Höhe des Verbindungsabschnitt ist größer als eine Höhe des Kanalabschnitts. In einigen Fällen weist der zweite Transistor ferner ein drittes Kanalelement angeordnet über dem zweiten Kanalelement auf, die zweite Gate-Struktur ist über dem dritten Kanalelement angeordnet, und die Gate-Elektrode erstreckt sich nicht zwischen das zweite Kanalelement und das dritte Kanalelement. In einigen Ausführungsformen weist das erste Kanalelement eine erste Breite und eine erste Höhe, welche kleiner als die erste Breite ist, auf, und das zweite Kanalelement weist eine zweite Breite und eine zweite Höhe, welcher größer als die zweite Breite ist, auf. In einigen Fällen ist die erste Breite größer als die zweite Breite. In einigen Fällen ist die erste Höhe kleiner als die zweite Höhe.
  • In noch einem weiteren beispielhaften Aspekt ist die vorliegenden Offenbarung auf ein Verfahren ausgerichtet. Das Verfahren kann das Abscheiden eines Stapels, welcher eine Mehrzahl von Kanalschichten durchsetzt mit einer Mehrzahl von Opferschichten aufweist, auf einem Substrat, das Strukturieren des Stapels und des Substrats in eine erste finnenförmige Struktur und eine zweite finnenförmige Struktur, das Bilden eines ersten Dummy-Gate-Stapels über einem ersten Kanalbereich der ersten finnenförmigen Struktur und eines zweiten Dummy-Gate-Stapels über einem zweiten Kanalbereich der zweiten finnenförmige Struktur, das Bilden von Source-/Drain-Elementen, welche den ersten Kanalbereich und den zweiten Kanalbereich einbetten, das Entfernen des ersten Dummy-Gate-Stapels und des zweiten Dummy-Gate-Stapels, das selektive Entfernen der Opferschichten im ersten Kanalbereich, um Kanalschichten im ersten Kanalbereich freizugeben, das selektive Entfernen der Opferschichten im zweiten Kanalbereich, um erste Kanalelemente im zweiten Kanalbereich zu bilden, das selektive Trimmen der Kanalschichten im ersten Kanalbereich, um zweite Kanalelemente im ersten Kanalbereich zu bilden, das Bilden einer ersten Gate-Struktur über den zweiten Kanalelementen und das Bilden einer zweiten Gate-Struktur über den ersten Kanalelementen umfassen.
  • In einigen Ausführungsformen erstrecken sich die erste finnenförmige Struktur und die zweite finnenförmige Struktur der Länge nach entlang einer Richtung, und eine Breite der ersten finnenförmigen Struktur ist größer als eine Breite der zweiten finnenförmigen Struktur. In einigen Umsetzungen ist eine Dicke jeder der Mehrzahl von Kanalschichten kleiner als die Breite der ersten finnenförmigen Struktur, und die Dicke jeder der Mehrzahl von Kanalschichten ist größer als die Breite der zweiten finnenförmigen Struktur. In einigen Ausführungsformen werden das selektive Entfernen der Opferschichten im ersten Kanalbereich und das selektive Entfernen der Opferschichten im zweiten Kanalbereich gleichzeitig durchgeführt, und das selektive Trimmen der Kanalschichten im ersten Kanalbereich umfasst das Maskieren des zweiten Kanalbereichs mit einer Maskierungsschicht. In einigen Fällen weist die erste Gate-Struktur eine erste dielektrische Gate-Schicht in Kontakt mit den zweiten Kanalelementen und eine erste Gate-Elektrode beabstandet von den zweiten Kanalelementen auf. Die zweite Gate-Struktur weist eine zweite dielektrische Gate-Schicht in Kontakt mit den ersten Kanalelementen und eine zweite Gate-Elektrode beabstandet von den ersten Kanalelementen auf. Die erste Gate-Elektrode umhüllt jedes der zweiten Kanalelemente, und die zweite Gate-Elektrode umhüllt jedes der ersten Kanalelemente nicht. In einigen Ausführungsformen weist jedes der ersten Kanalelemente eine erste Höhe auf, und jedes der zweiten Kanalelemente weist eine zweite Höhe auf, welche kleiner ist als die erste Höhe.
  • Das Vorstehende umreißt Merkmale verschiedener Ausführungsformen derart, dass durchschnittliche ausgebildete Fachleute die Aspekte der vorliegenden Offenbarung besser verstehen können. Durchschnittlich ausgebildete Fachleute sollten erkennen, dass sie die vorliegende Offenbarung problemlos als eine Grundlage zum Designen oder Modifizieren anderer Prozesse und Strukturen zum Ausführen derselben Zwecke und/oder Erzielen derselben Vorteile der hierin vorgestellten Ausführungsformen verwenden können. Durchschnittlich ausgebildete Fachleute sollten ferner erkennen, dass solche äquivalenten Konstruktionen nicht vom Gedanken und Umfang der vorliegenden Offenbarung abweichen, und dass sie verschiedenste Änderungen, Ersetzungen und Neugestaltungen daran vornehmen können, ohne vom Gedanken und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/013354 [0001]

Claims (20)

  1. Halbleitervorrichtung, aufweisend: einen ersten Transistor aufweisend: eine Mehrzahl erster Kanalelemente, und eine erste Gate-Struktur, welche jedes der Mehrzahl erster Kanalelemente umhüllt; und einen zweiten Transistor aufweisend: eine Mehrzahl zweiter Kanalelemente, und eine zweite Gate-Struktur, welche über der Mehrzahl zweiter Kanalelemente angeordnet ist, wobei jedes der Mehrzahl erster Kanalelemente eine erste Breite und eine erste Höhe, welche kleiner als die erste Breite ist, aufweist, wobei jedes der Mehrzahl zweiter Kanalelemente eine zweite Breite und eine zweite Höhe, welche größer als die zweite Breite ist, aufweist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei ein Abstandsmaß der Mehrzahl erster Kanalelemente identisch mit einem Abstandsmaß der Mehrzahl zweiter Kanalelemente ist.
  3. Halbleitervorrichtung nach Anspruch 1 oder 2, wobei die erste Breite größer ist als die zweite Breite.
  4. Halbleitervorrichtung nach einem der vorstehenden Ansprüche, wobei die erste Höhe kleiner ist als die zweite Höhe.
  5. Halbleitervorrichtung nach einem der vorstehenden Ansprüche, wobei die zweite Gate-Struktur eine dielektrische Gate-Schicht und eine Gate-Elektrode aufweist, wobei die Gate-Elektrode durch die dielektrische Gate-Schicht von der Mehrzahl zweiter Kanalelemente beabstandet ist, wobei die dielektrische Gate-Schicht jedes der Mehrzahl zweiter Kanalelemente umhüllt, und wobei die Gate-Elektrode nicht jedes der Mehrzahl zweiter Kanalelemente vollständig umhüllt.
  6. Halbleitervorrichtung nach Anspruch 5, wobei die dielektrische Gate-Schicht einen ersten Abschnitt benachbart zu einem der Mehrzahl zweiter Kanalelemente und einen zweiten Abschnitt benachbart zu einem anderen der Mehrzahl zweiter Kanalelemente aufweist, wobei der erste Abschnitt in Kontakt mit dem zweiten Abschnitt ist.
  7. Halbleitervorrichtung, aufweisend: einen ersten Transistor aufweisend: ein erstes Kanalelement über und beabstandet von einem ersten Basisabschnitt, und eine erste Gate-Struktur, welche das erste Kanalelement umhüllt; und einen zweiten Transistor aufweisend: ein zweites Kanalelement über und beabstandet von einem zweiten Basisabschnitt, und eine zweite Gate-Struktur, welche über dem zweiten Kanalelement angeordnet ist und eine Grenzflächenschicht, eine dielektrische Gate-Schicht und eine Gate-Elektrode aufweist, wobei die Grenzflächenschicht am zweiten Kanalelement und am zweiten Basisabschnitt angeordnet ist, wobei die dielektrische Gate-Schicht auf der Grenzflächenschicht angeordnet ist, wobei sich die Gate-Elektrode nicht zwischen das zweite Kanalelement und den zweiten Basisabschnitt erstreckt.
  8. Halbleitervorrichtung nach Anspruch 7, ferner aufweisend: eine Gate-Abstandselementschicht, welche entlang von Seitenwänden der ersten Gate-Struktur und Seitenwänden der zweiten Gate-Struktur angeordnet ist.
  9. Halbleitervorrichtung nach Anspruch 7 oder 8, wobei sich das erste Kanalelement der Länge nach entlang einer Richtung zwischen einem ersten Source-/Drain-Element und einem zweiten Source-/Drain-Element erstreckt, wobei das erste Kanalelement einen Kanalabschnitt direkt unter der ersten Gate-Struktur und einen Verbindungsabschnitt entlang der Richtung zwischen dem Kanalabschnitt und dem ersten Source-/Drain-Element aufweist, und wobei eine Höhe des Verbindungsabschnitts größer ist als eine Höhe des Kanalabschnitts.
  10. Halbleitervorrichtung nach einem der Ansprüche 7 bis 9, wobei sich das zweite Kanalelement der Länge nach entlang einer Richtung zwischen einem ersten Source-/Drain-Element und einem zweiten Source-/Drain-Element erstreckt, wobei das zweite Kanalelement einen Kanalabschnitt direkt unter der zweiten Gate-Struktur und einen Verbindungsabschnitt entlang der Richtung zwischen dem Kanalabschnitt und dem ersten Source-/Drain-Element aufweist, und wobei eine Höhe des Verbindungsabschnitts größer ist als eine Höhe des Kanalabschnitts.
  11. Halbleitervorrichtung nach einem der Ansprüche 7 bis 10, wobei der zweite Transistor ferner ein drittes Kanalelement, welches über dem zweiten Kanalelement angeordnet ist, aufweist, wobei die zweite Gate-Struktur über dem dritten Kanalelement angeordnet ist, wobei sich die Gate-Elektrode nicht zwischen das zweite Kanalelement und das dritte Kanalelement erstreckt.
  12. Halbleitervorrichtung nach einem der Ansprüche 7 bis 11, wobei das erste Kanalelement eine erste Breite und eine erste Höhe, welche kleiner als die erste Breite ist, aufweist, und wobei das zweite Kanalelement eine zweite Breite und eine zweite Höhe, welche größer als die zweite Breite ist, aufweist.
  13. Halbleitervorrichtung nach Anspruch 12, wobei die erste Breite größer ist als die zweite Breite.
  14. Halbleitervorrichtung nach Anspruch 12 oder 13, wobei die erste Höhe kleiner ist als die zweite Höhe.
  15. Verfahren, umfassend: Aufbringen eines Stapels, welcher eine Mehrzahl von Kanalschichten durchsetzt von einer Mehrzahl von Opferschichten aufweist, auf einem Substrat; Strukturieren des Stapels und des Substrats in eine erste finnenförmige Struktur und eine zweite finnenförmige Struktur; Bilden eines ersten Dummy-Gate-Stapels über einem ersten Kanalbereich der ersten finnenförmigen Struktur und eines zweiten Dummy-Gate-Stapel über einem zweiten Kanalbereich der zweiten finnenförmige Struktur; Bilden von Source-/Drain-Elementen, welche den ersten Kanalbereich und den zweiten Kanalbereich einbetten; Entfernen des ersten Dummy-Gate-Stapels und des zweiten Dummy-Gate-Stapels; selektives Entfernen der Opferschichten im ersten Kanalbereich, um Kanalschichten im ersten Kanalbereich freizugeben; selektives Entfernen der Opferschichten im zweiten Kanalbereich, um erste Kanalelemente im zweiten Kanalbereich zu bilden; selektives Trimmen der Kanalschichten im ersten Kanalbereich, um zweite Kanalelemente im ersten Kanalbereich zu bilden; Bilden einer ersten Gate-Struktur über den zweiten Kanalelementen; und Bilden einer zweiten Gate-Struktur über den ersten Kanalelementen.
  16. Verfahren nach Anspruch 15, wobei sich die erste finnenförmige Struktur und die zweite finnenförmige Struktur der Länge nach entlang einer Richtung erstrecken, wobei eine Breite der ersten finnenförmigen Struktur größer ist als eine Breite der zweiten finnenförmigen Struktur.
  17. Verfahren nach Anspruch 16, wobei eine Dicke jeder der Mehrzahl von Kanalschichten kleiner ist als die Breite der ersten finnenförmigen Struktur, wobei die Dicke jeder der Mehrzahl von Kanalschichten größer ist als die Breite der zweiten finnenförmigen Struktur.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei das selektive Entfernen der Opferschichten im ersten Kanalbereich und das selektive Entfernen der Opferschichten im zweiten Kanalbereich gleichzeitig durchgeführt werden, wobei das selektive Trimmen der Kanalschichten im ersten Kanalbereich ein Maskieren des zweiten Kanalbereichs mit einer Maskierungsschicht umfasst.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei die erste Gate-Struktur eine erste dielektrische Gate-Schicht in Kontakt mit den zweiten Kanalelementen und eine erste Gate-Elektrode beabstandet von den zweiten Kanalelementen aufweist, wobei die zweite Gate-Struktur eine zweite dielektrische Gate-Schicht in Kontakt mit den ersten Kanalelementen und eine zweite Gate-Elektrode beabstandet von den ersten Kanalelementen aufweist, wobei die erste Gate-Elektrode jedes der Mehrzahl zweiter Kanalelemente umhüllt, wobei die zweite Gate-Elektrode nicht jedes der Mehrzahl erster Kanalelemente umhüllt.
  20. Verfahren nach einem der Ansprüche 15 bis 19, wobei jedes der ersten Kanalelemente eine erste Höhe aufweist, wobei jedes der zweiten Kanalelemente eine zweite Höhe aufweist, welche kleiner als die erste Höhe ist.
DE102020124625.0A 2020-04-21 2020-09-22 Transistoren mit nanostrukturen Pending DE102020124625A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063013354P 2020-04-21 2020-04-21
US63/013,354 2020-04-21
US17/023,125 2020-09-16
US17/023,125 US11417766B2 (en) 2020-04-21 2020-09-16 Transistors having nanostructures

Publications (1)

Publication Number Publication Date
DE102020124625A1 true DE102020124625A1 (de) 2021-10-21

Family

ID=76709276

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020124625.0A Pending DE102020124625A1 (de) 2020-04-21 2020-09-22 Transistoren mit nanostrukturen

Country Status (5)

Country Link
US (2) US11417766B2 (de)
KR (1) KR102435769B1 (de)
CN (1) CN113113491B (de)
DE (1) DE102020124625A1 (de)
TW (1) TWI768834B (de)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11404325B2 (en) * 2013-08-20 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon and silicon germanium nanowire formation
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US20220093597A1 (en) * 2020-09-23 2022-03-24 Intel Corporation Fabrication of gate-all-around integrated circuit structures having molybdenum nitride metal gates and gate dielectrics with a dipole layer
US20230121650A1 (en) * 2021-10-15 2023-04-20 International Business Machines Corporation Stacked nanosheet gate-all-around device structures
WO2023197202A1 (en) * 2022-04-13 2023-10-19 Huawei Technologies Co.,Ltd. Semiconductor structure for gate all around nanosheet device
KR20240009652A (ko) * 2022-07-14 2024-01-23 삼성전자주식회사 반도체 소자 및 그의 제조 방법

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100652381B1 (ko) 2004-10-28 2006-12-01 삼성전자주식회사 다수의 나노 와이어 채널을 구비한 멀티 브릿지 채널 전계효과 트랜지스터 및 그 제조방법
CN101777559A (zh) * 2009-12-24 2010-07-14 复旦大学 一种自对准的垂直式半导体存储器器件及存储器阵列
CN101872784B (zh) * 2010-06-03 2012-05-16 清华大学 三面硅化栅极金属氧化物半导体场效应晶体管及其制备方法
US9368596B2 (en) * 2012-06-14 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a field effect transistor
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US9184269B2 (en) * 2013-08-20 2015-11-10 Taiwan Semiconductor Manufacturing Company Limited Silicon and silicon germanium nanowire formation
KR102146469B1 (ko) * 2014-04-30 2020-08-21 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9853166B2 (en) * 2014-07-25 2017-12-26 International Business Machines Corporation Perfectly symmetric gate-all-around FET on suspended nanowire
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10204985B2 (en) * 2015-11-16 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
KR102413782B1 (ko) 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US10439039B2 (en) 2016-03-25 2019-10-08 Qualcomm Incorporated Integrated circuits including a FinFET and a nanostructure FET
US10332970B2 (en) * 2016-06-28 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing horizontal-gate-all-around devices with different number of nanowires
US9899398B1 (en) * 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US9853150B1 (en) * 2016-08-15 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating epitaxial gate dielectrics and semiconductor device of the same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10170378B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
KR102400558B1 (ko) 2017-04-05 2022-05-20 삼성전자주식회사 반도체 소자
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
KR102293127B1 (ko) * 2017-06-23 2021-08-26 삼성전자주식회사 반도체 소자 및 이의 제조 방법
KR102295721B1 (ko) 2017-09-08 2021-08-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102379707B1 (ko) 2017-09-13 2022-03-28 삼성전자주식회사 반도체 소자
US11189730B2 (en) * 2017-12-26 2021-11-30 Intel Corporation Non-selective epitaxial source/drain deposition to reduce dopant diffusion for germanium nMOS transistors
US11398476B2 (en) * 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US11342411B2 (en) * 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
US11031395B2 (en) * 2018-07-13 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming high performance MOSFETs having varying channel structures
US11398474B2 (en) * 2018-09-18 2022-07-26 Intel Corporation Neighboring gate-all-around integrated circuit structures having disjoined epitaxial source or drain regions
US11367722B2 (en) * 2018-09-21 2022-06-21 Intel Corporation Stacked nanowire transistor structure with different channel geometries for stress
US11276694B2 (en) * 2018-09-24 2022-03-15 Intel Corporation Transistor structure with indium phosphide channel
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11676965B2 (en) * 2018-09-28 2023-06-13 Intel Corporation Strained tunable nanowire structures and process
US10910375B2 (en) * 2018-09-28 2021-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabrication thereof
US11107904B2 (en) * 2018-10-23 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Inner spacer formation in multi-gate transistors
US11189694B2 (en) * 2018-10-29 2021-11-30 Mediatek Inc. Semiconductor devices and methods of forming the same
KR102524803B1 (ko) * 2018-11-14 2023-04-24 삼성전자주식회사 소스/드레인 영역을 갖는 반도체 소자
US11152488B2 (en) * 2019-08-21 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11804523B2 (en) * 2019-09-24 2023-10-31 Intel Corporation High aspect ratio source or drain structures with abrupt dopant profile
US11342338B2 (en) * 2019-09-26 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device with improved margin and performance and methods of formation thereof
US11031292B2 (en) * 2019-09-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate device and related methods
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
US11424338B2 (en) * 2020-03-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal source/drain features
US11417766B2 (en) * 2020-04-21 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors having nanostructures
US11610977B2 (en) * 2020-07-28 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming nano-sheet-based devices having inner spacer structures with different widths

Also Published As

Publication number Publication date
TWI768834B (zh) 2022-06-21
US11908942B2 (en) 2024-02-20
KR102435769B1 (ko) 2022-08-23
CN113113491B (zh) 2024-02-06
KR20210130624A (ko) 2021-11-01
TW202205533A (zh) 2022-02-01
US11417766B2 (en) 2022-08-16
US20210328059A1 (en) 2021-10-21
US20220352377A1 (en) 2022-11-03
CN113113491A (zh) 2021-07-13

Similar Documents

Publication Publication Date Title
DE102017103419B4 (de) Halbleitervorrichtung mit getrennter source-drain-struktur und zugehöriges herstellungsverfahren
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102017128577A1 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102020106231A1 (de) Vorrichtung mit niedrigem leckstrom
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102020121265A1 (de) Struktur und Verfahren zur Leckage-Verhinderung
DE102020131140A1 (de) Gateisolierungsstruktur
DE102021113387A1 (de) Epitaktische merkmale
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102020130986A1 (de) Reparaturen von dielektrischen strukturelementen nach der herstellung
DE102021104668A1 (de) Feldeffekttransistorvorrichtung mit gate-abstandshalterstruktur
DE102021101178A1 (de) Integrierte-schaltkreis-struktur mit rückseitiger dielektrischer schicht mit luftspalt
DE102019126285B4 (de) Steuerung von Schwellenspannungen durch Blockierschichten
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102020131030A1 (de) Siliziumkanal-anlassen
DE102020119940A1 (de) Mehrfachgatetransistorstruktur
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102021110442A1 (de) Ätzprofilsteuerung der gatekontaktöffnung
DE102021106831A1 (de) Ätzprofilsteuerung von gate-kontaktöffnungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication