DE102020134644A1 - Rückseitenkontakt - Google Patents

Rückseitenkontakt Download PDF

Info

Publication number
DE102020134644A1
DE102020134644A1 DE102020134644.1A DE102020134644A DE102020134644A1 DE 102020134644 A1 DE102020134644 A1 DE 102020134644A1 DE 102020134644 A DE102020134644 A DE 102020134644A DE 102020134644 A1 DE102020134644 A1 DE 102020134644A1
Authority
DE
Germany
Prior art keywords
feature
epitaxial
source
layer
drain
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102020134644.1A
Other languages
English (en)
Other versions
DE102020134644B4 (de
Inventor
Li-Zhen YU
Huan-Chieh Su
Lin-Yu HUANG
Kuan-Lun Cheng
Chih-Hao Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020134644A1 publication Critical patent/DE102020134644A1/de
Application granted granted Critical
Publication of DE102020134644B4 publication Critical patent/DE102020134644B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Thin Film Transistor (AREA)

Abstract

Eine Halbleiterstruktur und ein Verfahren zur Herstellung derselben werden bereitgestellt. In einer Ausführungsform enthält eine Halbleiterstruktur ein epitaktisches Source-Merkmal und ein epitaktisches Drainmerkmal, einen vertikalen Stapel von Kanalelementen, die über einer rückseitigen dielektrischen Schicht angeordnet sind, wobei sich der vertikale Stapel von Kanalelementen zwischen dem epitaktischen Source-Merkmal und dem epitaktischen Drainmerkmal entlang einer Richtung erstreckt, eine Gatestruktur, die sich um jedes des vertikalen Stapels von Kanalelementen wickelt, und einen rückseitigen Source-Kontakt, der in der rückseitigen dielektrischen Schicht angeordnet ist. Der rückseitige Source-Kontakt enthält einen oberen Abschnitt neben dem epitaktischen Source-Merkmal und einen unteren Abschnitt beabstandet von dem epitaktischen Source-Merkmal. Der obere Abschnitt und der untere Abschnitt enthalten eine stufenweise Breitenänderung entlang der Richtung.

Description

  • PRIORITÄTSDATEN
  • Diese Anmeldung beansprucht die Priorität der am 31. August 2020 eingereichten vorläufigen US-Patentanmeldung 63/072,476 mit dem Titel „Backside Contact“ (Attorney Docket No. 2020-3567/24061.4309PV01), deren gesamte Offenbarung hiermit durch Bezugnahme hierin aufgenommen wird.
  • HINTERGRUND
  • Die Industrie für integrierte Halbleiterschaltungen (IC) durchlebte ein exponentielles Wachstum. Technologische Fortschritte bei IC-Materialien und IC-Design brachten Generationen von ICs hervor, wobei die Schaltungen von Generation zu Generation immer kleiner und komplexer wurden. Im Laufe der IC-Evolution wurde die Funktionsdichte (d.h. die Anzahl von miteinander verbundenen Bauelementen pro Chipfläche) im Allgemeinen erhöht, während die Geometriegröße (d.h. die kleinste Komponente (oder Leitung), die mit einem Fertigungsprozess erstellt werden kann) verkleinert wurde. Dieser Verkleinerungsprozess schafft generell Vorteile, da die Produktionseffizienz erhöht wird und somit die damit verbundenen Kosten gesenkt werden. Eine solche Verkleinerung hat allerdings auch die Komplexität der Verarbeitung und Herstellung von ICs erhöht.
  • Da die Technologien für integrierte Schaltungen (ICs) in Richtung kleinerer Technologieknoten fortschreiten, wurden beispielsweise Multi-Gate-Bauelemente eingeführt, um die Gatesteuerung durch Erhöhung der Gatekanal-Kopplung, Reduzierung des Off-State-Stroms und Verringerung der Kurzkanaleffekte (SCEs) zu verbessern. Ein Multi-Gate-Bauelement bezieht sich im Allgemeinen auf ein Bauelement mit einer Gatestruktur oder einem Teil davon, die über mehr als einer Seite eines Kanalbereichs angeordnet ist. Finnenähnliche Feldeffekttransistoren (FinFETs) und Multi-Bridge-Channel-Transistoren (MBC-Transistoren) sind Beispiele für Multigate-Bauelemente, die zu beliebten und vielversprechenden Kandidaten für Anwendungen mit hoher Leistung und geringem Leckstrom geworden sind. Ein FinFET hat einen erhobenen Kanal, der auf mehr als einer Seite von einem Gate umwickelt ist (z.B. umschließt das Gate die Oberseite und die Seitenwände einer „Finne“ aus Halbleitermaterial, die sich von einem Substrat aus erstreckt). Ein MBC-Transistor hat eine Gatestruktur, die sich teilweise oder vollständig um einen Kanalbereich herum erstrecken kann, um den Zugang zum Kanalbereich auf zwei oder mehr Seiten zu ermöglichen. Da seine Gatestruktur die Kanalbereiche umgibt, kann ein MBC-Transistor auch als „Surround-Gate-Transistor“ (SGT) oder „Gate-All-Around-Transistor“ (GAA) bezeichnet werden. Der Kanalbereich eines MBC-Transistors kann aus Nanodrähten, Nanosheets, anderen Nanostrukturen und/oder anderen geeigneten Strukturen gebildet werden. Die Formen des Kanalbereichs haben einem MBC-Transistor auch alternative Namen wie Nanosheet-Transistor oder Nanodraht-Transistor gegeben.
  • Da die Technologien für integrierte Schaltungen (ICs) in Richtung kleinerer Technologieknoten fortschreiten, wurden einige Routing-Strukturen von der Vorderseite der Bauelementstrukturen auf die Rückseite der Bauelementstrukturen verlagert. Beispielsweise wurden Backside Power Rails (BPR) oder Super Power Rails (SPR) vorgeschlagen, bei denen ein rückseitiger Source/Drainkontakt durch das Substrat hindurch ausgebildet wird, um mit einem Source/Drainmerkmal in Kontakt zu kommen, und eine Stromschiene auf der Rückseite des Substrats ausgebildet wird, um den rückseitigen Source/Drainkontakt kontaktieren. Die Herstellung des rückseitigen Source/Drainkontakts ist allerdings mit Herausforderungen verbunden. Wenn die Überlagerung der Fotolithografie-Maske nicht perfekt ist, kann der rückseitige Source-Kontakt mit der Gatestruktur kurzgeschlossen werden. Daher sind der herkömmliche rückseitigen Source-Kontakt und seine Herstellung zwar im Allgemeinen für den vorgesehenen Zweck geeignet, aber nicht in allen Aspekten zufriedenstellend.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten anhand der folgenden ausführlichen Beschreibung in Verbindung mit den begleitenden Zeichnungen verstehen. Es ist zu beachten, dass die verschiedenen Merkmale gemäß der branchenüblichen Praxis nicht maßstabsgetreu dargestellt sind und nur zur Veranschaulichung dienen. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich erhöht oder reduziert werden.
    • 1 zeigt ein Flussdiagramm eines Verfahrens zur Herstellung eines Halbleiterbauelements mit einem Rückseitenkontakt gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 2A-17A, 2B-17B und 10C-17C zeigen fragmentarische Querschnittsansichten eines Werkstücks während eines Herstellungsprozesses gemäß dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung.
    • 18A, 18B und 18C zeigen eine alternative Halbleiterstruktur, die mit dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung hergestellt wurde.
    • 19 und 20 zeigen alternative Halbleiterstrukturen, die mit dem Verfahren von 1 gemäß einem oder mehreren Aspekten der vorliegenden Offenbarung hergestellt sind.
  • DETAILLIERTE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen und Beispiele für die Implementierung verschiedener Merkmale des bereitgestellten Gegenstands. Zur Vereinfachung der vorliegenden Offenbarung werden im Folgenden spezifische Beispiele für Komponenten und Anordnungen beschrieben. Dies sind natürlich nur Beispiele und sollen nicht einschränkend sein. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, kann allerdings auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal gebildet sein können, so dass das erste und das zweite Merkmal gegebenenfalls nicht in direktem Kontakt stehen. Ferner können Bezugszeichen in den verschiedenen Beispielen der vorliegenden Offenbarung wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt nicht grundsätzlich eine Beziehung zwischen den verschiedenen hierin erläuterten Ausführungsformen und/oder Konfigurationen vor.
  • Räumlich relative Begriffe wie „unter“, „unten“, „abwärts“, „über“, „auf“, „oben“, „aufwärts“ und dergleichen können hier zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal wie in den Figuren dargestellt zu beschreiben. Die räumlich relativen Begriffe sollen neben der in den Figuren dargestellten Ausrichtung auch andere Ausrichtungen des Bauelements während Benutzung oder Betrieb umfassen. Das Bauelement kann anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen) und die hierin verwendeten räumlich relativen Bezeichnungen können ebenfalls entsprechend interpretiert werden.
  • Wenn eine Zahl oder ein Zahlenbereich mit „ungefähr“, „annähernd“ oder dergleichen beschrieben wird, soll der Begriff Zahlen umfassen, die innerhalb eines angemessenen Bereichs liegen, unter Berücksichtigung von Abweichungen, die bei der Herstellung inhärent auftreten, wie ein Fachmann sie versteht. Beispielsweise umfasst die Zahl oder der Zahlenbereich einen angemessenen Bereich, der die beschriebene Zahl einschließt, wie z.B. innerhalb von +/-10 % der beschriebenen Zahl, basierend auf bekannten Fertigungstoleranzen, die mit der Herstellung eines Merkmals mit einer der Zahl zugeordneten Eigenschaft verbunden sind. Beispielsweise kann eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Abmessungsbereich von 4,25 nm bis 5,75 nm umfassen, wobei Fertigungstoleranzen, die mit dem Aufbringen der Materialschicht verbunden sind, einem Fachmann bekannt sind und bei +/-15% liegen. Ferner kann es in der vorliegenden Offenbarung vorkommen, dass sich Bezugszeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt nicht grundsätzlich eine Beziehung zwischen den verschiedenen hierin erläuterten Ausführungsformen und/oder Konfigurationen dar.
  • Die vorliegende Offenbarung betrifft allgemein Verfahren zur Herstellung eines Halbleiterbauelements mit einem Rückseitenkontakt und insbesondere Verfahren zur Herstellung einer Rückseitenkontaktöffnung unter Verwendung mehrerer Ätzschritte.
  • Herkömmlich verbinden Source/Drainkontakte und Gate-Kontakte von Transistoren auf einem Substrat die Source/Drain-Funktionen der Transistoren mit einer Interconnect-Struktur über einer Vorderseite des Substrats. Da die Abmessungen von IC-Bauelementen verkleinert werden, kann die enge Nachbarschaft zwischen den Source-Kontakten und Gate-Kontakten die Prozessfenster für die Herstellung dieser Kontakte reduzieren und die parasitäre Kapazität zwischen ihnen erhöhen. Die Backside-Power-Rail-Struktur (BPR-Struktur) ist eine moderne Lösung zur Leistungssteigerung in Power Delivery Network (PDN) für fortschrittliche Technologieknoten. Die Implementierung von BPR-Strukturen kann die Überfüllung der Kontakte verringern. In einigen herkömmlichen Prozessen wird die rückseitige Kontaktöffnung durch Fotolithografie und ein anisotropes Trockenätzverfahren gebildet. Bei diesen herkömmlichen Prozessen, wenn der Überlapp nicht perfekt ist, kann die anisotrope Trockenätzen eine benachbarte Gatestruktur beschädigen und einen elektrischen Kurzschluss zwischen der Gatestruktur und dem Rückseitenkontakt verursachen.
  • Die vorliegende Offenbarung stellt Verfahren zur Bildung eines Rückseitenkontakts bereit, der selbstausgerichtet mit einem Source/Drainmerkmal ist. Verfahren der vorliegenden Offenbarung bilden ein Dummy-Epitaxiemerkmal in einer Source/Drainöffnung, die sich in das Substrat erstreckt. Das Dummy-Epitaxiemerkmal ist verschieden von dem des Substrats, um Ätzselektivität zu gewährleisten. Nach der Herstellung der Source/Drainmerkmale und der Gatestrukturen wird das Substrat umgedreht. Eine rückseitige Kontaktöffnung wird durch einen ersten Ätzprozess und eine zweiten Ätzprozess gebildet. In dem ersten Ätzprozess wird das Substrat durch einen anisotropen Ätzprozess durch eine strukturierte Maskenschicht geätzt. Der erste Ätzprozess ätzt durch das Substrat, aber nicht durch das Dummy-Epitaxiemerkmal. Das Dummy-Epitaxiemerkmal wird dann in dem zweiten Ätzprozess isotrop und selektiv geätzt, um das Source/Drainmerkmal freizulegen. Da der zweite Ätzprozess selektiv auf das Dummy-Epitaxiemerkmal im Vergleich zu dem Substrat wirkt, ist der zweite Ätzprozess selbstausgerichtet und beschädigt die Gatestruktur mit einer geringeren Wahrscheinlichkeit. Die Verfahren der vorliegenden Offenbarung können daher die Kurzschlüsse zwischen Gate und Source/Drain reduzieren und die Ausbeute verbessern.
  • Die verschiedenen Aspekte der vorliegenden Offenbarung werden nun unter Bezugnahme auf die Zeichnungen ausführlicher beschrieben. In diesem Zusammenhang ist 1 ein Flussdiagramm, das das Verfahren 100 zur Herstellung eines Halbleiterbauelements gemäß den Ausführungsformen der vorliegenden Offenbarung zeigt. Verfahren 100 ist lediglich beispielhaft und die vorliegende Offenbarung soll nicht darauf beschränkt werden, was in Verfahren 100 explizit dargestellt wird. Zusätzliche Schritte können vor, während und nach dem Verfahren 100 vorgesehen sein, und einige beschriebene Schritte können für zusätzliche Ausführungsformen des Verfahrens ersetzt, eliminiert oder umgestellt werden. Der Einfachheit halber werden hier nicht alle Schritte im Detail beschrieben. Das Verfahren 100 wird im Folgenden in Verbindung mit 2A-16A, 2B-16B und 10C-16C beschrieben, die fragmentarische Querschnittsansichten eines Werkstücks 200 in verschiedenen Stadien der Herstellung gemäß den Ausführungsformen des Verfahrens 100 darstellen. Da das Werkstück 200 nach Abschluss der Herstellungsprozesse zu einem Halbleiterbauelement 200 verarbeitet wird, kann das Werkstück 200 als Halbleiterbauelement 200 bezeichnet werden, wie es der Kontext erfordert. In der vorliegenden Offenbarung bezeichnen gleiche Bezugszeichen gleiche Merkmale, sofern nichts anderes angegeben ist.
  • Mit Bezug auf 1, 2A und 2B umfasst das Verfahren 100 einen Block 102, in dem ein Werkstück 200 erhalten wird. Wie in 2 gezeigt, umfasst das Werkstück 200 ein Substrat 202 und einen Stapel 204, der auf dem Substrat 202 angeordnet ist. In einer Ausführungsform kann das Substrat 202 ein Silizium-Substrat (Si-Substrat) sein. In einigen anderen Ausführungsformen kann das Substrat 202 andere Halbleitermaterialien wie Germanium (Ge), Silizium-Germanium (SiGe) oder ein III-V-Halbleitermaterial enthalten. III-V-Halbleitermaterialien können beispielsweise Galliumarsenid (GaAs), Indiumphosphid (InP), Galliumphosphid (GaP), Galliumnitrid (GaN), Galliumarsenidphosphid (GaAsP), Aluminiumindiumarsenid (AlInAs), Aluminiumgalliumarsenid (AlGaAs), Galliumindiumphosphid (GaInP) und Indiumgalliumarsenid (InGaAs) enthalten. Der Stapel 204 kann mehrere Kanalschichten 208 aufweisen, die mit mehreren Opferschichten 206 verschachtelt (versetzt angeordnet) sind. Die Kanalschichten 208 und die Opferschichten 206 können voneinander verschiedene Halbleiterzusammensetzungen aufweisen. In einigen Implementierungen sind die Kanalschichten 208 aus Silizium (Si) und die Opferschichten 206 aus Silizium-Germanium (SiGe) gebildet. In diesen Implementierungen ermöglicht der zusätzliche Germaniumanteil in den Opferschichten 206 eine selektive Entfernung oder Aussparung der Opferschichten 206 ohne wesentliche Schäden an den Kanalschichten 208. In einigen Ausführungsformen können die Opferschichten 206 und die Kanalschichten 208 durch ein Epitaxieverfahren abgeschieden werden. Der Stapel 204 kann durch CVD-Abscheidungstechniken (z.B. Dampfphasenepitaxie (VPE) und/oder Ultrahochvakuum-CVD (UHV-CVD)), Molekularstrahlepitaxie (MBE) und/oder andere geeignete Verfahren epitaktisch abgeschieden werden. Die Opferschichten 206 und die Kanalschichten 208 werden abwechselnd nacheinander abgeschieden, um den Stapel 204 zu bilden. Es ist zu beachten, dass drei (3) Schichten der Opferschichten 206 und drei (3) Schichten der Kanalschichten 208 abwechselnd und vertikal angeordnet sind, wie in 2A dargestellt, was allerdings lediglich zur Veranschaulichung dient und nicht als Einschränkung über den spezifischen Wortlaut Ansprüche hinaus gedacht ist. Die Anzahl der Schichten hängt von der gewünschten Anzahl von Kanalelementen für das Halbleiterbauelement 200 ab. In einigen Ausführungsformen beträgt die Anzahl der Kanalschichten 208 zwischen 2 und 10.
  • Zur Vorbereitung für den anschließenden Strukturierungsprozess wird eine Hartmaskenschicht 210 über dem Stapel 204 abgeschieden. Die Hartmaskenschicht 210 dient als Ätzmaske zur Strukturierung des Stapels 204 und sogar eines Abschnitts des Substrats 202, um eine finnenförmige Struktur 212 zu bilden (wie in 3B dargestellt). In einigen Ausführungsformen kann die Hartmaskenschicht 210 durch CVD, plasmagestützte CVD (PECVD), Atomlagenabscheidung (ALD), plasmagestützte ALD (PEALD) oder ein anderes geeignetes Abscheideverfahren abgeschieden werden. Die Hartmaskenschicht 210 kann eine Einzelschicht oder eine Mehrschicht sein. Wenn die Hartmaskenschicht 210 eine Mehrschicht ist, kann sie eine erste Schicht und eine zweite Schicht umfassen, die über der ersten Schicht angeordnet ist. In einer Ausführungsform kann die erste Schicht ein Pad-Oxid sein und die zweite Schicht kann eine Pad-Nitrid-Schicht sein.
  • Mit Bezug auf 1, 3A und 3B umfasst das Verfahren 100 einen Block 104, in dem eine finnenförmige Struktur 212 gebildet wird. In einigen Ausführungsformen werden in Block 104 der Stapel 204 und ein Abschnitt des Substrats 202 strukturiert, um die finnenförmige Struktur 212 zu bilden. Wie in 3A und 3B gezeigt, enthält jede der finnenförmigen Strukturen 212 einen Basisabschnitt 212B, der aus einem Abschnitt des Substrats 202 gebildet wird, und einen oberen Abschnitt 212T, der aus dem Stapel 204 gebildet wird. Der obere Abschnitt 212T ist über dem Basisabschnitt 212B angeordnet. Die finnenförmigen Strukturen 212 erstrecken sich in Längsrichtung entlang der X-Richtung und erstrecken sich vertikal entlang der Z-Richtung von dem Substrat 202. Die finnenförmigen Strukturen 212 können durch geeignete Verfahren einschließlich Doppelstrukturierungs- oder Mehrfachstrukturierungsverfahren strukturiert werden. Im Allgemeinen kombinieren Doppelstrukturierungs- oder Multi-strukturierungsverfahren die Fotolithografie und selbstausgerichtete Prozesse, wodurch Strukturen erzeugt werden können, die beispielsweise kleinere Abstände (Pitches) aufweisen als dies sonst durch einen einzelnen, direkten Fotolithografieprozess möglich ist. In einer Ausführungsform wird beispielsweise eine Materialschicht über einem Substrat gebildet und durch einen Fotolithografieprozess strukturiert. Entlang der strukturierten Materialschicht werden Abstandhalter in einem selbstausgerichteten Prozess gebildet. Die Materialschicht wird dann entfernt und die verbleibenden Abstandhalter oder Dorne können dann verwendet werden, um die Hartmaskenschicht 210 zu strukturieren, und dann kann die strukturierte Hartmaskenschicht 210 verwendet werden, um die finnenförmigen Strukturen 212 durch Ätzen des Stapels 204 und des Substrats 202 zu strukturieren. Der Ätzprozess kann Trockenätzen, Nassätzen, reaktives Ionenätzen (RIE) und/oder andere geeignete Verfahren umfassen. Obwohl in den Zeichnungen nicht explizit gezeigt, kann eine Halbleiterauskleidung über der finnenförmigen Struktur 212 gebildet werden. Die Halbleiterauskleidung kann Silizium (Si) oder siliziumreiches Silizium-Germanium (SiGe) enthalten. In einigen Implementierungen kann die Halbleiterauskleidung durch ALD, PEALD, VPE, MBE oder ein geeignetes Verfahren abgeschieden werden.
  • Mit Bezug auf 1, 3A und 3B umfasst das Verfahren 100 einen Block 106, in dem ein Isolationsmerkmal 214 gebildet wird. Nachdem die finnenförmigen Strukturen 212 gebildet sind, wird das Isolationsmerkmal 214 wie in 3B dargestellt zwischen benachbarten finnenförmigen Strukturen 212 gebildet. Das Isolationsmerkmal 214 kann auch als ein STI-Merkmal (shallow trench isolation) 214 bezeichnet werden. In einem beispielhaften Verfahren wird zunächst ein dielektrisches Material für das Isolationsmerkmal 214 auf die finnenförmige Struktur 212 abgeschieden, wobei die Gräben zwischen den finnenförmigen Strukturen 212 mit dem dielektrischen Material gefüllt werden. In einigen Ausführungsformen kann das dielektrische Material Siliziumoxid, Siliziumnitrid, Siliziumoxynitrid, fluordotiertes Silikatglas (FSG), ein Low-k-Dielektrikum, Kombinationen davon und/oder andere geeignete Materialien enthalten. In verschiedenen Beispielen kann das dielektrische Material durch ein CVD-Verfahren, ein subatmosphärisches CVD-Verfahren (SACVD), ein fließfähiges CVD-Verfahren (FCVD), ein ALD-Verfahren, eine Aufschleuderbeschichtung und/oder ein anderes geeignetes Verfahren abgeschieden werden. Das abgeschiedene dielektrische Material wird dann verdünnt und planarisiert, z.B. durch einen chemisch-mechanischen Polierprozess (CMP). Das planarisierte dielektrische Material wird weiter ausgespart oder durch einen Trockenätzprozess, einen Nassätzprozess und/oder eine Kombination davon zurückgeätzt, um das Isolationsmerkmal 214 zu bilden. Wie in 3B gezeigt, erheben sich die oberen Abschnitte 212T der finnenförmigen Strukturen 212 über das Isolationsmerkmal 214, während die unteren Abschnitte 212B von dem Isolationsmerkmal 214 umgeben sind. Es ist zu beachten, dass das Isolationsmerkmal 214 in 3A nicht dargestellt ist, da der Querschnitt in 3A durch die finnenförmige Struktur 212 geschnitten ist.
  • Mit Bezug auf 1, 4A, 4B, 5A und 5B umfasst das Verfahren 100 einen Block 108, in dem eine Mantelschicht 216 und eine dielektrische Finne 220 gebildet werden. In einigen Ausführungsformen kann die Mantelschicht 216 eine ähnliche Zusammensetzung wie die der Opferschichten 206 haben. In einem Beispiel kann die Mantelschicht 216 aus Silizium-Germanium (SiGe) gebildet werden. Diese gleichartige Zusammensetzung ermöglicht das selektive Entfernen der Opferschichten 206 und der Mantelschicht 216 in einem nachfolgenden Prozess. In einigen Ausführungsformen kann die Mantelschicht 216 konform und epitaktisch durch Gasphasenepitaxie (VPE) oder Molekularstrahlepitaxie (MBE) gezüchtet werden. Der Abscheidungsprozess der Mantelschicht 216 wird so gewählt, dass die Mantelschicht 216 amorph ist und konform auf den Oberflächen des Werkstücks 200 abgeschieden wird, einschließlich der Oberflächen der finnenförmigen Strukturen 212 und des Isolationsmerkmals 214. In einigen Fällen kann die Mantelschicht 216 eine Dicke zwischen etwa 5 nm und etwa 10 nm haben. Nachdem die Mantelschicht 216 auf dem Werkstück 200 abgeschieden wurde, wird das Werkstück 200 einem Rückätzprozess unterzogen, um die Mantelschicht 216 auszusparen, bis die Mantelschicht 216 auf den nach oben gerichteten Oberflächen entfernt ist. Das heißt, nach dem Rückätzprozess können die oberen Oberflächen der Hartmaskenschicht 210 und des Isolationsmerkmals 214 freigelegt sein.
  • Wie in 4A und 4B gezeigt, bildet der Block 108 auch eine dielektrische Finne 220. In einigen Ausführungsformen können die dielektrischen Finnen 220 in den Gräben gebildet werden, die nach der Bildung der Mantelschicht 216 gebildet werden. In den dargestellten Ausführungsformen umfasst jede der dielektrischen Finnen 220 mehrere Schichten. In einem beispielhaften Verfahren wird eine Auskleidung 222 konform über dem Werkstück 200 abgeschieden, einschließlich über der Mantelschicht 216 und der finnenförmigen Struktur 212. Die Auskleidung 222 kann durch PECVD, ALD oder ein anderes geeignetes Verfahren abgeschieden werden. Eine Füllstoffschicht 224 wird dann über der Auskleidung 222 auf dem Werkstück 200 durch CVD, SACVD, FCVD, ALD, Aufschleuderbeschichtung und/oder ein anderes geeignetes Verfahren abgeschieden. Die Auskleidung 222 kann Silizium, Siliziumnitrid, Siliziumkarbid, Siliziumkarbonitrid, Siliziumoxykarbonitrid, Aluminiumoxid, Aluminiumnitrid, Aluminiumoxynitrid, Zirkoniumoxid, Zirkoniumnitrid, Zirkoniumaluminiumoxid, Hafniumoxid oder ein geeignetes dielektrisches Material enthalten. Die Füllstoffschicht 224 kann Siliziumoxid, Siliziumkarbid, Siliziumoxynitrid, Siliziumoxycarbonitrid oder ein geeignetes dielektrisches Material enthalten. Nach der Abscheidung der Auskleidung 222 und der Füllschicht 224 wird das Werkstück 200 durch ein Planarisierungsverfahren, wie z.B. ein chemisch-mechanischen Polierverfahren (CMP), planarisiert, bis die Auskleidung 222 und die Füllschicht 224 über der Mantelschicht 216 entfernt werden. Nach der Planarisierung werden die Füllstoffschicht 224 und ein Abschnitt der Auskleidung 222 selektiv und teilweise ausgespart und anschließend wird eine Helmschicht 226 über dem Werkstück 200 abgeschieden. Die Helmschicht 226 kann z.B. Siliziumnitrid, Siliziumkarbid, Siliziumkarbonitrid, Siliziumoxykarbonitrid, Aluminiumoxid, Aluminiumnitrid, Aluminiumoxynitrid, Zirkoniumoxid, Zirkoniumnitrid, Zirkoniumaluminiumoxid, Hafniumoxid oder ein geeignetes dielektrisches Material enthalten. Anschließend wird das Werkstück 200 erneut durch ein CMP-Verfahren planarisiert, um die überschüssige Helmschicht 226 auf der Mantelschicht 216 zu entfernen. Zu diesem Zeitpunkt sind die dielektrischen Finnen 220 im Wesentlichen ausgebildet. Jede der dielektrischen Finnen 220 umfasst eine Helmschicht 226, die über der Füllstoffschicht 224 und der Auskleidung 222 angeordnet ist. In einer Ausführungsform enthält die Auskleidung 222 Siliziumnitrid oder Siliziumkarbonitrid, die Füllschicht 224 enthält Siliziumoxid und die Helmschicht 226 enthält Siliziumkarbonitrid, Siliziumnitrid, Aluminiumoxid, Aluminiumnitrid, Aluminiumoxynitrid, Zirkoniumoxid, Zirkoniumnitrid, Zirkoniumaluminiumoxid oder Hafniumoxid.
  • Mit Bezug auf 5A und 5B wird das Werkstück 200 nach der Bildung der dielektrischen Finnen 220 anisotrop geätzt, um einen Abschnitt der Mantelschicht 216 und der Hartmaskenschicht 210 selektiv zu entfernen, um die obersten Kanalschichten 208 freizulegen, ohne die Helmschicht 226 wesentlich zu beschädigen. Der anisotrope Ätzprozess kann ein einstufiger Ätzprozess oder ein mehrstufiger Ätzprozess sein. Wenn der anisotrope Ätzprozess einstufig ist, ist er selektiv für Halbleitermaterialien (z.B. Silizium und Siliziumgermanium) und Siliziumnitrid. Wenn der anisotrope Ätzprozess mehrstufig ist, kann die erste Stufe selektiv für Halbleitermaterialien (z.B. Silizium und Siliziumgermanium) und die zweite Stufe selektiv für Siliziumnitrid sein. In einigen Ausführungsformen kann der anisotrope Ätzprozess Wasserstoff, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBR3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon enthalten.
  • Mit Bezug auf 1, 6A und 6B umfasst das Verfahren 100 einen Block 110, in dem ein Dummy-Gatestapel 230 über einem Kanalbereich 212C der finnenförmigen Struktur 212 gebildet wird. In einigen Ausführungsformen wird ein Gate-Ersatzprozess (oder Gate-Last-Prozess) eingesetzt, bei dem der Dummy-Gatestapel 230 als Platzhalter für eine funktionale Gatestruktur dient. Andere Prozesse und Konfigurationen sind möglich. Obwohl nicht explizit dargestellt, kann der Dummy-Gatestapel 230 eine Dummy-Dielektrikumschicht und eine Dummy-Elektrode aufweisen, die über der Dummy-Dielektrikumschicht angeordnet ist. Die Bereiche der finnenförmigen Strukturen 212, die unter dem Dummy-Gatestapel 230 liegen, können als Kanalbereiche 212C bezeichnet werden. Jeder der Kanalbereiche in einer finnenförmigen Struktur 212 ist zwischen einem Source-Bereich 212S und einem Drainbereich 212D eingebettet. In einem beispielhaften Verfahren wird die Dummy-Dielektrikumschicht durch CVD flächendeckend auf das Werkstück 200 abgeschieden. Anschließend wird eine Materialschicht für die Dummy-Elektrode über der Dummy-Dielektrikumschicht flächendeckend abgeschieden. Die Dummy-Dielektrikumschicht und die Materialschicht für die Dummy-Elektrode werden dann durch fotolithografische Verfahren strukturiert, um den Dummy-Gatestapel 230 zu bilden. In einigen Ausführungsformen kann die Dummy-Dielektrikumschicht Siliziumoxid enthalten und die Dummy-Elektrode kann polykristallines Silizium (Polysilizium) enthalten.
  • Nachdem die Dummy-Gatestapel 230 gebildet werden, umfasst der Block 110 ferner Vorgänge zur Bildung mindestens eines Gate-Abstandhalters 232 entlang der Seitenwände der Dummy-Gatestapel 230. Der mindestens eine Gate-Abstandhalter 232 kann zwei oder mehr Gate-Abstandhalterschichten enthalten. Dielektrische Materialien für den mindestens einen Gate-Abstandhalter 232 können so ausgewählt werden, dass ein selektives Entfernen des Dummy-Gatestapels 230 möglich ist, ohne den mindestens einen Gate-Abstandhalter 232 wesentlich zu beschädigen. Geeignete dielektrische Materialien können Siliziumnitrid, Siliziumoxycarbonitrid, Siliziumcarbonitrid, Siliziumoxid, Siliziumoxycarbid, Siliziumcarbid, Siliziumoxynitrid und/oder Kombinationen davon enthalten. In einem Beispielprozess kann der mindestens eine Gate-Abstandhalter 232 durch CVD, subatmosphärische CVD (SACVD) oder ALD konform über dem Werkstück 200 abgeschieden werden. In einer Ausführungsform umfasst der mindestens eine Gate-Abstandhalter 232 zwei Gate-Abstandhalter, von denen einer aus Siliziumnitrid gebildet ist und der andere aus Siliziumcarbonitrid gebildet ist. Andere Kombinationen sind auch denkbar. In einigen Ausführungsformen wird nach der Abscheidung des mindestens einen Gate-Abstandhalters 232 der mindestens eine Gate-Abstandhalter 232 zurückgeätzt, um die oberen Oberflächen der finnenförmigen Strukturen 212 in dem Source-Bereich 212S und in dem Drainbereich 212D freizulegen.
  • Mit Bezug auf 1, 6A und 6B umfasst das Verfahren 100 einen Block 112, in dem die Source-Bereiche 212S und Drainbereiche 212D der finnenförmigen Strukturen 212 ausgespart werden, um Source-Öffnungen 235S und Drainöffnungen 235D zu bilden. 6A zeigt eine fragmentarische Querschnittsansicht der finnenförmigen Struktur 212 wie von einer Seite der finnenförmigen Struktur 212 betrachtet. 6B zeigt eine fragmentarische Querschnittsansicht der finnenförmigen Struktur 212 in einem Drainbereich 212D entlang der Längsrichtung (X-Richtung) der finnenförmigen Struktur 212. Während der Dummy-Gatestapel 230 und der mindestens eine Gate-Abstandhalter 232 als eine Ätzmaske dienen, wird das Werkstück 200 in dem Source-Bereich 212S und in dem Drainbereich 212D anisotrop geätzt, um die Source-Öffnung 235S und eine Drainöffnung 235D zu bilden. Wie in 6A und 6B gezeigt, erstrecken sich die Source-Öffnung 235S und die Drainöffnung 235D nicht nur durch die Kanalschichten 208 und die Opferschichten 206, sondern auch durch einen Abschnitt des Substrats 202. Die Vorgänge in dem Block 112 können die oberen Abschnitte 212T der finnenförmigen Strukturen 212 in dem Source-Bereich 212S und in dem Drainbereich 212D im Wesentlichen entfernen. Das anisotrope Ätzen in Block 112 kann einen Trockenätzprozess oder einen geeigneten Ätzprozess umfassen. Beispielsweise kann der Trockenätzprozess ein sauerstoffhaltiges Gas, Wasserstoff, ein fluorhaltiges Gas (z.B. CF4, SF6, CH2F2, CHF3 und/oder C2F6), ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), ein bromhaltiges Gas (z.B. HBr und/oder CHBr3), ein jodhaltiges Gas, andere geeignete Gase und/oder Plasmen und/oder Kombinationen davon einsetzen. Wie in 6A und 6B gezeigt, kann der Trockenätzprozess in Block 120 die Helmschicht 226 und die Auskleidung 222 mit einer langsameren Rate ätzen und sie im Wesentlichen ungeätzt lassen. Seitenwände der mehreren Kanalschichten 208, der mehreren Opferschichten 206 und der Mantelschicht 216 sind in der Source-Öffnung 235S und der Drainöffnung 235D freigelegt.
  • Mit Bezug auf 1, 7A und 7B umfasst das Verfahren 100 einen Block 114, in dem innere Abstandhaltermerkmale 236 gebildet werden. Mit Bezug auf 7A werden die Opferschichten 206, die in den Source-Öffnungen 235S und Drainöffnungen 235D freigelegt sind, in Block 114 zunächst selektiv und teilweise ausgespart, um innere Abstandhalteraussparungen zu bilden, während die freigelegten Kanalschichten 208 im Wesentlichen ungeätzt sind. Da die Mantelschicht 216 und die Opferschichten 206 eine ähnliche Zusammensetzung aufweisen, kann auch die Mantelschicht 216 in dem Block 114 geätzt werden. In einer Ausführungsform, in der die Kanalschichten 208 im Wesentlichen aus Silizium (Si) gebildet sind, die Opferschichten 206 im Wesentlichen aus Siliziumgermanium (SiGe) gebildet sind und die Mantelschicht 216 im Wesentlichen aus Siliziumgermanium (SiGe) gebildet ist, kann das selektive und teilweise Aussparen der Opferschichten 206 und der Mantelschicht 216 einen SiGe-Oxidationsprozess mit anschließender Entfernung des SiGe-Oxids umfassen. In diesen Ausführungsformen kann der SiGe-Oxidationsprozess die Verwendung von Ozon umfassen. In einigen anderen Ausführungsformen kann die selektive Aussparung einen selektiven isotropen Ätzprozess umfassen (z.B. einen selektiven Trockenätzprozess oder einen selektiven Nassätzprozess) und das Ausmaß, in dem die Opferschichten 206 und die Mantelschicht 216 ausgespart werden, wird durch die Dauer des Ätzprozesses gesteuert. Der selektive Trockenätzprozess kann die Verwendung eines oder mehrerer Ätzmittel auf Fluorbasis wie Fluorgas oder Fluorkohlenwasserstoffe umfassen. Der selektive Nassätzprozess kann das APM-Ätzen (z.B. Ammoniakhydroxid-Wasserstoffperoxid-Gemisch) umfassen. Nach der Bildung der inneren Abstandhalter-Aussparungen wird dann eine innere Abstandhalter-Materialschicht durch CVD oder ALD konform über dem Werkstück 200 abgeschieden, einschließlich über und in den inneren Abstandhalter-Aussparungen und dem Raum, der von dem entfernten Abschnitt der Mantelschicht 216 verbleibt. Das innere Abstandhaltermaterial kann Siliziumnitrid, Siliziumoxycarbonitrid, Siliziumcarbonitrid, Siliziumoxid, Siliziumoxycarbid, Siliziumcarbid oder Siliziumoxynitrid enthalten. Nach der Abscheidung der inneren Abstandhaltermaterialschicht wird die innere Abstandhaltermaterialschicht zurückgeätzt, um innere Abstandhaltermerkmale 236 zu bilden, wie in 7A dargestellt.
  • Mit Bezug auf 1, 8A und 8B umfasst das Verfahren 100 einen Block 116, in dem ein Dummy-Epitaxiemerkmal 238, eine erste Epitaxieschicht 240 und eine zweite Epitaxieschicht 242 abgeschieden werden, um ein Source-Merkmal 242S und ein Drainmerkmal 242D zu bilden. In einigen Ausführungsformen kann das Dummy-Epitaxiemerkmal 238 Silizium-Germanium (SiGe) oder ein Halbleitermaterial enthalten, das von dem Halbleitermaterial verschieden ist, das das Substrat 202 bildet. In einigen Fällen kann das Dummy-Epitaxiemerkmal 238 mit einem n-Typ-Dotierstoff wie Phosphor (P) oder Arsen (As) oder einem p-Typ-Dotierstoff wie Bor (B) oder Gallium (Ga) dotiert sein. Dieser Unterschied in der Zusammensetzung sorgt für Ätzselektivität in einem nachfolgenden Vorgang zur selbstausgerichteten Bildung einer Rückseitenkontaktöffnung. Sowohl die erste Epitaxieschicht 240 als auch die zweite Epitaxieschicht 242 werden aus dotierten Halbleitermaterialien gebildet und ihre Zusammensetzungen hängen von dem Leitfähigkeitstyp des gewünschten MBC-Transistors ab. Wenn ein n-Typ-MBC-Transistor gewünscht wird, können sowohl die erste Epitaxieschicht 240 als auch die zweite Epitaxieschicht 242 Silizium (Si) enthalten, das mit einem n-Typ-Dotierstoff wie Phosphor (P) oder Arsen (As) dotiert ist. Wenn ein p-Typ-MBC-Transistor gewünscht wird, können sowohl die erste Epitaxieschicht 240 als auch die zweite Epitaxieschicht 242 Silizium-Germanium (Si) enthalten, das mit einem p-Typ-Dotierstoff wie Bor (B) oder Gallium (Ga) dotiert ist. In einigen Ausführungsformen können sowohl die erste Epitaxieschicht 240 als auch die zweite Epitaxieschicht 242 dasselbe Halbleitermaterial und dieselbe Dotierstoffspezies, aber unterschiedliche Dotierkonzentrationen aufweisen. Wenn zum Beispiel ein n-Typ-MBC-Transistor gewünscht wird, kann die erste Epitaxieschicht 240 eine Phosphor-Dotierkonzentration zwischen 5 · 1020 Atomen/cm3 und etwa 1 · 1021 Atomen/cm3 und die zweite Epitaxieschicht 242 eine Phosphor-Dotierkonzentration zwischen 1 · 1021 Atomen/cm3 und etwa 5 · 1021 Atomen/cm3 aufweisen. Wenn ein p-Typ-MBC-Transistor gewünscht wird, kann die erste Epitaxieschicht 240 eine Bor-Dotierungskonzentration zwischen 3 · 1020 Atomen/cm3 und etwa 8 · 1020 Atomen/cm3 und die zweite Epitaxieschicht 242 eine Bor-Dotierungskonzentration zwischen 8 · 1020 Atomen/cm3 und etwa 4 · 1021 Atomen/cm3 aufweisen.
  • In einigen alternativen Ausführungsformen können die erste Epitaxieschicht 240 und die zweite Epitaxieschicht 242 unterschiedliche Dotierstoffe der gleichen Leitfähigkeitstypen enthalten. Wenn z.B. ein n-Typ-MBC-Transistor gewünscht ist, kann die erste Epitaxieschicht 240 mit Arsen (As) dotiert sein und die zweite Epitaxieschicht 242 kann mit Phosphor (P) dotiert sein. Wenn ein p-Typ-MBC-Transistor gewünscht ist, kann die erste Epitaxieschicht 240 mit Gallium (Ga) dotiert sein und die zweite Epitaxieschicht 242 kann mit Bor (B) dotiert sein.
  • In Block 114 wird zunächst das Dummy-Epitaxiemerkmal 238 abgeschieden. Die erste Epitaxieschicht 240 wird dann über dem Dummy-Epitaxiemerkmal 238 abgeschieden. Danach wird die zweite Epitaxieschicht 242 über der ersten Epitaxieschicht 240 abgeschieden. Jedes der Dummy-Epitaxiemerkmale 238, die erste Epitaxieschicht 240 und die zweite Epitaxieschicht 242 können durch Molekularstrahlepitaxie (MBE), Gasphasenepitaxie (VPE), Ultrahochvakuum-CVD (UHV-CVD) und/oder andere geeignete Epitaxieverfahren epitaktisch abgeschieden werden. In einem beispielhaften Prozess wird Silizium-Germanium epitaktisch in die Source-Öffnung 235S und die Drainöffnung 235D über dem freigelegten Substrat 202 abgeschieden. In einigen Implementierungen kann ein Rückätzen durchgeführt werden, um das Dummy-Epitaxiemerkmal 238 auszusparen, um das Dummy-Epitaxiemerkmal 238 von den Oberflächen der Kanalschicht 208 zu entfernen. Die erste Epitaxieschicht 240 wird dann über dem Dummy-Epitaxiemerkmal 238 in der Source-Öffnung 235S und der Drainöffnung 235D abgeschieden. Um die erste Epitaxieschicht 240 von den Oberflächen der Kanalschichten 208 zu entfernen, kann in einigen Ausführungsformen ein Rückätzprozess an der abgeschiedenen ersten Epitaxieschicht 240 durchgeführt werden, um die Seitenwände der Kanalschichten 208 freizulegen. Nach der Abscheidung der ersten Epitaxieschicht 240 wird die zweite Epitaxieschicht 242 selektiv sowohl auf den Seitenwänden der Kanalschichten 208 als auch der ersten Epitaxieschicht 240 abgeschieden. In einigen Implementierungen kann ein Vorreinigungsprozess nach dem Rückätzen des Dummy-Epitaxiemerkmals 238 oder nach dem Rückätzen der ersten Epitaxieschicht 240 durchgeführt werden, um eine oxid- und trümmerfreie Oberfläche für die nächste Epitaxieschicht bereitzustellen. Der Vorreinigungsprozess kann die Verwendung von RCA SC-1 (eine Mischung aus Ammoniumhydroxid, Wasserstoffperoxid und Wasser) und/oder RCA SC-2 (eine Mischung aus Salzsäure, Wasserstoffperoxid und Wasser) umfassen. Die zweite Epitaxieschicht 242, die in einer Source-Öffnung 235S abgeschieden wird, kann als ein Source-Merkmal 242S bezeichnet werden und die zweite Epitaxieschicht 242, die in einer Drainöffnung 235D abgeschieden wird, kann als ein Drainmerkmal 242D bezeichnet werden. Es ist zu beachten, dass in der dargestellten Ausführungsform und in Bezug auf einen MBC-Transistor das Source-Merkmal 242S und das Source-Merkmal 242S im Wesentlichen identisch bezüglich der Zusammensetzung sind. Sie werden aufgrund ihrer Position (d.h. in der Source-Öffnung 235S oder in der Drainöffnung 235D) unterschiedlich bezeichnet.
  • Wie in 8A und 8B gezeigt, ist das Dummy-Epitaxiemerkmal 238 in dem Basisabschnitt 212B angeordnet und steht über der oberen Oberfläche des Isolationsmerkmals 214 hervor. Die zweite Epitaxieschicht 242 (einschließlich des Source-Merkmals 242S und des Drainmerkmals 242D) ist im Wesentlichen über der oberen Oberfläche des Isolationsmerkmals 214 angeordnet. Wie in 8A gezeigt, ist die zweite Epitaxieschicht 242 in Kontakt mit den Seitenwänden der Kanalschichten 208 und den inneren Abstandhaltermerkmalen 236. Die erste Epitaxieschicht 240 ist zwischen dem Dummy-Epitaxiemerkmal 238 und der zweiten Epitaxieschicht 242 angeordnet. Die erste Epitaxieschicht 240 ist daher um die Ebene der oberen Oberfläche des Isolationsmerkmals 214 angeordnet. Die zweite Epitaxieschicht 242 steht ebenfalls in Kontakt mit den benachbarten dielektrischen Finnen 220, während die erste Epitaxieschicht 240 und das Dummy-Epitaxiemerkmal 238 von den dielektrischen Finnen 220 beabstandet sind.
  • Mit Bezug auf 1, 8A und 8B umfasst das Verfahren 100 einen Block 118, in dem eine Kontaktätzstoppschicht (CESL) 246 und eine ILD-Schciht (Zwischenschichtdielektrikumschicht) 248 abgeschieden werden. In einem beispielhaften Verfahren wird die CESL 246 zunächst konform über dem Werkstück 200 abgeschieden und anschließend wird die ILD-Schicht 248 flächendeckend über der CESL 246 abgeschieden. Die CESL 246 kann Siliziumnitrid, Siliziumoxid, Siliziumoxynitrid und/oder andere im Stand der Technik bekannte Materialien enthalten. Die CESL 246 kann durch ALD, plasmaunterstützte chemische Gasphasenabscheidung (PECVD) und/oder andere geeignete Abscheidungs- oder Oxidationsprozesse abgeschieden werden. In einigen Ausführungsformen enthält die ILD-Schicht 248 Materialien wie Tetraethylorthosilikatoxid (TEOS-Oxid), undotiertes Silikatglas oder dotiertes Siliziumoxid wie Borphosphorsilikatglas (BPSG), Quarzglas (FSG), Phosphorsilikatglas (PSG), bor-dotiertes Siliziumglas (BSG) und/oder andere geeignete dielektrische Materialien. Die ILD-Schicht 248 kann durch Aufschleudern, einen FCVD-Prozess oder ein anderes geeignetes Abscheideverfahren abgeschieden werden. In einigen Ausführungsformen kann das Werkstück 200 nach der Bildung der ILD-Schicht 248 getempert werden, um die Integrität der ILD-Schicht 248 zu verbessern. Um überschüssige Materialien zu entfernen und obere Oberflächen der Dummy-Gatestapel 230 freizulegen, kann ein Planarisierungsprozess (z.B. ein chemisch-mechanischer Polierprozess (CMP)) an dem Werkstück 200 durchgeführt werden, um eine ebene obere Oberfläche zu erhalten. Die oberen Oberflächen der Dummy-Gatestapel 230 werden auf der planaren oberen Oberfläche freigelegt.
  • Mit Bezug auf 1, 9A und 9B umfasst das Verfahren 100 einen Block 120, in dem der Dummy-Gatestapel 230 entfernt wird und die Kanalelemente 2080 freigegeben werden. Nachdem der Dummy-Gatestapel 230 durch Planarisierung in Block 118 freigelegt wird, wird der Dummy-Gatestapel 230 durch einen selektiven Ätzprozess von dem Werkstück 200 entfernt. Der selektive Ätzprozess kann ein selektiver Nassätzprozess, ein selektiver Trockenätzprozess oder eine Kombination davon sein. In den dargestellten Ausführungsformen entfernt der selektive Ätzprozess selektiv die Dummy-Dielektrikumschicht und die Dummy-Elektrode in dem Dummy-Gatestapel 230. Durch das Entfernen des Dummy-Gatestapels 230 entsteht ein Gate-Graben 249 über dem Kanalbereich 212C. Nach dem Entfernen des Dummy-Gatestapels 230 sind die Kanalschichten 208, die Opferschichten 206 und die Mantelschicht 216 (in 9A nicht explizit dargestellt) in dem Kanalbereich 212C in dem Gate-Graben 249 freigelegt. Aufgrund ihrer ähnlichen Zusammensetzung können die freigelegten Opferschichten 206 zwischen den Kanalschichten 208 und der Mantelschicht 216 selektiv entfernt werden, um die Kanalschichten 208 freizulegen und Kanalelemente 2080 zu bilden, wie in 9A dargestellt. Die Kanalelemente 2080 sind entlang der Z-Richtung vertikal gestapelt. Die selektive Entfernung der Opferschichten 206 und der Mantelschicht 216 kann durch selektives Trockenätzen, selektives Nassätzen oder andere selektive Ätzverfahren erfolgen. In einigen Ausführungsformen umfasst das selektive Nassätzen ein APM-Ätzen (z.B. Ammoniakhydroxid-Wasserstoffperoxid-Wasser-Gemisch). In einigen alternativen Ausführungsformen umfasst die selektive Entfernung eine Silizium-Germanium-Oxidation, gefolgt von einer Silizium-Germanium-Oxid-Entfernung. Beispielsweise kann die Oxidation durch eine Ozonreinigung erfolgen und anschließend kann das Siliziumgermaniumoxid durch ein Ätzmittel wie NH4OH entfernt werden. Mit der Entfernung der Opferschichten 206 und der Mantelschicht 216 in dem Kanalbereich werden die Auskleidung 222, die Kanalelemente 2080, die obere Oberfläche des Basisabschnitts 212B und das Isolationsmerkmal 214 in dem Gategraben 249 freigelegt.
  • Mit Bezug auf 1, 10A, 10B und 10C umfasst das Verfahren 100 einen Block 122, in dem eine Gatestruktur 250 gebildet wird, die jedes der Kanalelemente 2080 umwickelt. Die Gatestruktur 250 kann eine Grenzflächenschicht, eine Gatedielektrikumschicht über der Grenzflächenschicht und eine Gateelektrodenschicht über der Gatedielektrikumschicht umfassen. In einigen Ausführungsformen kann die Grenzflächenschicht Siliziumoxid enthalten und kann als Ergebnis eines Vorreinigungsprozesses gebildet werden. Ein beispielhafter Vorreinigungsprozess kann die Verwendung von RCA SC-1 (Ammoniak, Wasserstoffperoxid und Wasser) und/oder RCA SC-2 (Salzsäure, Wasserstoffperoxid und Wasser) umfassen. Der Vorreinigungsprozess oxidiert die freigelegten Oberflächen der Kanalelemente 2080 und des Substrats 202, um die Grenzflächenschicht zu bilden. Die Gatedielektrikumschicht wird dann durch ALD, CVD und/oder andere geeignete Verfahren über der Grenzschicht abgeschieden. Die Gatedielektrikumschicht kann high-k-dielektrische Materialien enthalten. Wie hierin verwendet, umfassen high-k-dielektrische Materialien dielektrische Materialien mit einer hohen Dielektrizitätskonstante, z.B. größer als die von thermischem Siliziumoxid (etwa 3,9). In einer Ausführungsform kann die Gatedielektrikumschicht Hafniumoxid enthalten. Alternativ kann die Gatedielektrikumsschicht andere High-k-Dielektrika wie Titanoxid (TiO2), Hafnium-Zirkonium-Oxid (HfZrO), Tantaloxid (Ta2O5), Hafnium-Silizium-Oxid (HfSiO4), Zirkonium-Oxid (ZrO2), Zirkonium-Silizium-Oxid (ZrSiO2), Lanthan-Oxid (La2O3), Aluminium-Oxid (Al2O3), Zirkonium-Oxid (ZrO), Yttriumoxid (Y2O3), SrTiO3 (STO), BaTiO3 (BTO), BaZrO, Hafnium-Lanthanoxid (HfLaO), Lanthansiliziumoxid (LaSiO), Aluminiumsiliziumoxid (AlSiO), Hafnium-Tantal-Oxid (HfTaO), Hafnium-Titan-Oxid (HfTiO), (Ba,Sr)TiO3 (BST), Siliziumnitrid (SiN), Silizium-Oxynitrid (SiON), Kombinationen davon oder ein anderes geeignetes Material enthalten. Nach der Bildung oder Abscheidung der Gatedielektrikumschicht wird eine Gateelektrodenschicht über der Gatedielektrikumschicht abgeschieden. Die Gateelektrodenschicht kann eine mehrschichtige Struktur sein, die mindestens eine Austrittsarbeitsschicht und eine Metallfüllschicht enthält. Die mindestens eine Arbeitsfunktionsschicht kann beispielsweise Titannitrid (TiN), Titanaluminium (TiAl), Titanaluminiumnitrid (TiAlN), Tantalnitrid (TaN), Tantalalaluminium (TaAl), Tantalalaluminiumnitrid (TaAlN), Tantalalaluminiumcarbid (TaAlC), Tantalcarbonitrid (TaCN) oder Tantalcarbid (TaC) enthalten. Die Metallfüllschicht kann Aluminium (Al), Wolfram (W), Nickel (Ni), Titan (Ti), Ruthenium (Ru), Kobalt (Co), Platin (Pt), Tantal-Silizium-Nitrid (TaSiN), Kupfer (Cu), andere hochschmelzende Metalle oder andere geeignete Metallmaterialien oder eine Kombination davon enthalten. In verschiedenen Ausführungsformen kann die Gateelektrodenschicht durch ALD, PVD, CVD, E-Beam-Verdampfung oder ein anderes geeignetes Verfahren gebildet werden. In verschiedenen Ausführungsformen kann ein Planarisierungsprozess, wie z.B. ein CMP-Prozess, durchgeführt werden, um überschüssige Materialien zu entfernen und eine im Wesentlichen ebene obere Oberfläche der Gatestrukturen bereitzustellen. Mit Bezug auf 11A umwickelt die Gatestruktur 250 jedes der Kanalelemente 2080.
  • In einigen Ausführungsformen werden, bevor das Werkstück 200 umgedreht wird, um Rückseitenkontakte und Interconnect-Strukturen zu bilden, Vorderseitenkontakte und Interconnect-Strukturen gebildet. In einigen Ausführungsformen, die in 18A, 18B und 18C dargestellt sind, kann ein vorderseitiger Drainkontakt 280 so durch die ILD-Schicht 248 und die CESL 246 gebildet werden, dass sie mit dem Drainmerkmal 242D in Kontakt kommen. Der vorderseitige Drainkontakt 280 umfasst ein Drain-Silizidmerkmal 282 und einen Drainstopfen 284. In einem Beispielprozess wird eine vorderseitige Drainkontaktöffnung durch die ILD-Schicht 248 und die CESL 246 gebildet, um das Drainmerkmal 242D freizulegen. Um das Drain-Silizidmerkmal 282 zu bilden, wird eine Metallschicht über der freigelegten Oberfläche des Drainmerkmals 242D abgeschieden und ein Temperprozess durchgeführt, um eine Silizidierungsreaktion zwischen der Metallschicht und dem Drainmerkmal 242D zu bewirken. Eine geeignete Metallschicht kann Titan (Ti), Tantal (Ta), Nickel (Ni), Kobalt (Co) oder Wolfram (W) enthalten. Das Drain-Silizidmerkmal 282 kann Titansilizid (TiSi), Titansiliziumnitrid (TiSiN), Tantalsilizid (TaSi), Wolframsilizid (WSi), Kobaltsilizid (CoSi) oder Nickelsilizid (NiSi) enthalten. Obwohl nicht explizit gezeigt, kann die überschüssige Metallschicht, die nicht das Drain-Silizidmerkmal 282 bildet, entfernt werden. Nach der Bildung des Drain-Silizidmerkmals 282 kann eine Metallfüllschicht in die vorderseitige Drainkontaktöffnung abgeschieden werden, um den Drainstopfen 284 zu bilden. Die Metallfüllschicht kann Aluminium (AI), Rhodium (Rh), Ruthenium (Ru), Kupfer (Cu), Iridium (Ir) oder Wolfram (W) enthalten. Ein Planarisierungsprozess, wie z.B. ein CMP-Prozess, kann folgen, um überschüssige Materialien zu entfernen und eine ebene Oberfläche zu erhalten. Der vorderseitige Drainkontakt 280 ist elektrisch mit dem Drainmerkmal 242D gekoppelt.
  • Obwohl nicht explizit dargestellt, kann eine vorderseitige Interconnect-Struktur über dem Werkstück 200 gebildet werden, bevor das Werkstück 200 umgedreht wird. Die vorderseitige Interconnect-Struktur kann mehrere intermetallische dielektrische (IMD) Schichten und mehrere Metallleitungen oder Durchkontaktierungen in jeder der IMD-Schichten umfassen. In einigen Fällen können die IMD-Schichten und die ILD-Schicht 248 eine ähnliche Zusammensetzung aufweisen. Die Metallleitungen und Durchkontaktierungen in jeder IMD-Schicht können aus Metall gebildet werden, z.B. aus Aluminium (AI), Wolfram (W), Ruthenium (Ru) oder Kupfer (Cu). In einigen Ausführungsformen können die Metallleitungen und Kontaktdurchführungen mit einer Sperrschicht ausgekleidet sein, um die Metallleitungen und Kontaktdurchführungen von den IMD-Schichten zu isolieren und Elektromigration zu verhindern.
  • Mit Bezug auf 1, 11A, 11B, 11C, 12A, 12B, 12C, 13A, 13B, 13C, 14A, 14B und 14C umfasst das Verfahren 100 einen Block 124, in dem eine zweite rückseitige Source-Kontaktöffnung 2620 gebildet wird. In einigen Ausführungsformen können die Vorgänge in Block 122 das Umdrehen des Werkstücks 200 (wie in 11A, 11B und 11C dargestellt), das anisotrope Ätzen des Substrats 202, um das Dummy-Epitaxiemerkmal 238 freizulegen (wie in 12A, 12B und 12C dargestellt), das isotrope und selektive Ätzen des Dummy-Epitaxiemerkmals 238, um die erste Epitaxieschicht 240 freizulegen (wie in 13A, 13B und 13C dargestellt), und das Abscheiden einer dielektrischen Sperrschicht 264 und Rückätzen (wie in 14A, 14B und 14C dargestellt) umfassen. Um das Werkstück 200 umzudrehen, wird ein Trägersubstrat (nicht gesondert dargestellt) mit dem Werkstück 200 (oder der vorderseitigen Interconnect-Struktur) verbunden. In einigen Ausführungsformen kann das Trägersubstrat mit dem Werkstück 200 durch Schmelzkleben, durch Verwendung einer Haftschicht oder durch eine Kombination davon verbunden werden. In einigen Fällen kann das Trägersubstrat aus Halbleitermaterialien (wie z.B. Silizium), Saphir, Glas, polymeren Materialien oder anderen geeigneten Materialien gebildet werden. In Ausführungsformen, in denen das Schmelzkleben verwendet wird, umfasst das Trägersubstrat eine untere Oxidschicht und das Werkstück 200 umfasst eine obere Oxidschicht. Nachdem sowohl die untere Oxidschicht als auch die obere Oxidschicht behandelt sind, werden sie für das direkte Bonden bei Raumtemperatur oder bei erhöhter Temperatur in Plush-Kontakt miteinander gebracht. Wenn das Trägersubstrat mit dem Werkstück 200 verbunden ist, wird das Werkstück 200 umgedreht, wie in 11A, 11B und 11C gezeigt. Wie in 12A, 12B und 12C dargestellt, wird nach dem Umdrehen des Werkstücks 200 die Rückseite des Werkstücks 200 bis zu dem Isolationsmerkmal (nicht gesondert dargestellt) planarisiert.
  • Mit Bezug weiterhin auf 12A, 12B und 12C wird eine strukturierte Hartmaske 260 auf der Rückseite des Werkstücks 200 gebildet. Die strukturierte Hartmaske 260 legt selektiv den Source-Bereich 212S frei, während sie den Drainbereich 212D abdeckt. Das Substrat 202 wird dann anisotrop geätzt, bis das Dummy-Epitaxiemerkmal 238 in einer ersten rückseitigen Source-Kontaktöffnung 262 freigelegt ist. In einigen Ausführungsformen kann das anisotrope Ätzen in Block 124 ein isotroper Trockenätzprozess sein, der die Verwendung von Sauerstoff (O2), einem chlorhaltigen Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), einem bromhaltigen Gas (z.B. HBr und/oder CHBR3), einem jodhaltigen Gas, anderen geeigneten Gasen und/oder Plasmen und/oder Kombinationen davon enthält. In einer Ausführungsform kann das anisotrope Trockenätzen die Verwendung einer Mischung von Bromwasserstoff (HBr), Sauerstoff (O2) und Chlor (Cl2) umfassen. In einigen Ausführungsformen kann die Durchflussrate des Ätzmittels zwischen etwa 5 Standard-Kubikzentimeter pro Minute (SCCM) und etwa 200 SCCM betragen, der Kammerdruck beträgt etwa 1 mTorr bis etwa 100 mTorr, die Prozesszeit kann etwa 5 Sekunden bis etwa 180 Sekunden betragen und die Bias-Radiofrequenzleistung (RF) beträgt etwa 50 W bis etwa 250 W. Der selektive Trockenätzprozess ist nicht selektiv für das Substrat 202 und kann auch das Dummy-Epitaxiemerkmal 238 ätzen. Nach der Bildung der ersten rückseitigen Source-Kontaktöffnung 262 kann die strukturierte Hartmaske 260 durch Ätzen, Veraschen oder andere geeignete Verfahren entfernt werden.
  • Mit Bezug auf 13A, 13B und 13C wird das Dummy-Epitaxiemerkmal 238, das in der ersten rückseitigen Source-Kontaktöffnung 262 freigelegt ist, selektiv und isotrop geätzt, um die erste Epitaxieschicht 240 freizulegen. Wie in den Zeichnungen gezeigt, wird durch das selektive Entfernen des Dummy-Epitaxiemerkmals 240 die erste rückseitige Source-Kontaktöffnung 262 in Richtung des Source-Merkmals 242S erweitert, um eine zweite rückseitige Source-Kontaktöffnung 2620 zu bilden. In einigen Ausführungsformen kann das selektive und isotrope Ätzen in Block 124 ein isotropes Trockenätzen sein, das ein fluorhaltiges Gas (z.B. Fluor (F2), NF3, CF4, SF6, CH2F2, CHF3 und/oder C2F6) und Fluorwasserstoff (HF) verwendet. In einer Ausführungsform kann das selektive und isotrope Trockenätzen die Verwendung einer Mischung von Fluor (F2) und Fluorwasserstoff (HF) umfassen. In einigen Ausführungsformen kann die Durchflussrate des Ätzmittels etwa 5 SCCM bis etwa 200 SCCM betragen, der Kammerdruck beträgt etwa 1 mTorr bis etwa 100 mTorr, die Prozesszeit kann etwa 5 Sekunden bis etwa 180 Sekunden betragen und die Plasmaleistung kann etwa 50W bis 250W betragen. Da das isotrope Ätzen nicht direktional ist, wird das Plasma des isotropen Ätzens durch ein Remote-Plasmasystem (RPS) erzeugt. Wie in 13A, 13B und 13C gezeigt, enthält die zweite rückseitige Source-Kontaktöffnung 2620 eine stufenweise Breitenänderung, da die Bildung der ersten rückseitigen Source-Kontaktöffnung 262 anisotrop ist und die Ausdehnung der ersten rückseitigen Source-Kontaktöffnung 262 selektiv zu dem Dummy-Epitaxiemerkmal 238 ist. Eine stufenweise Breitenänderung bedeutet hierin, dass die Breite der zweiten rückseitigen Source-Kontaktöffnung 2620 entlang der X-Richtung eine stufenweise Änderung aufweist.
  • Es wird nunmehr Bezug auf 14A, 14B und 14C genommen. Eine dielektrische Sperrschicht 264 wird über dem Werkstück 200 abgeschieden und dann zurückgeätzt. In einigen Ausführungsformen kann die dielektrische Sperrschicht 264 Siliziumnitrid enthalten. Die dielektrische Sperrschicht 264 wird dann zurückgeätzt oder zurückgezogen, so dass die Seitenwände der zweiten rückseitigen Source-Kontaktöffnung 2620 von der dielektrischen Sperrschicht 264 bedeckt werden. Wie in 14A, 14B und 14C gezeigt, wird durch das Rückätzen nicht nur die dielektrische Sperrschicht 264 auf der ersten Epitaxieschicht 240 entfernt, sondern auch die erste Epitaxieschicht 240, wodurch das Source-Merkmal 242S freigelegt wird. Hier dient die erste Epitaxieschicht 240 als eine epitaktische Ätzstoppschicht, die eine unbeabsichtigte Beschädigung des Source-Merkmals 242S verhindert. In einigen Ausführungsformen kann die dielektrische Sperrschicht 264 durch CVD, ALD oder ein geeignetes Verfahren abgeschieden werden und das Rückätzen kann die Verwendung eines anisotropen Ätzverfahrens umfassen, das die Verwendung von Stickstoff, Wasserstoff, einem fluorhaltigen Gas (z.B., NF3, CF4, SF6, CH2F2, CHF3 und/oder C2F6), eines chlorhaltigen Gases (z.B. Cl2, CHCl3, CCl4 und/oder BCl3), eines bromhaltigen Gases (z.B. HBr und/oder CHBr3), eines jodhaltigen Gases, anderer geeigneter Gase und/oder Plasmen und/oder Kombinationen davon umfasst.
  • Mit Bezug auf 1, 15A, 15B und 15C umfasst das Verfahren 100 einen Block 126, in dem ein rückseitiger Source-Kontakt 270 gebildet wird. Nachdem das Source-Merkmal 242S in der zweiten rückseitigen Source-Kontaktöffnung 2620 freigelegt ist, wird der rückseitige Source-Kontakt 270 in der zweiten rückseitigen Source-Kontaktöffnung 2620 gebildet. Der rückseitige Source-Kontakt 270 kann ein Source-Silizidmerkmal 266 und einen Source-Stopfen 268 aufweisen. Zur Bildung des Source-Silizidmerkmals 266 wird eine Metallschicht über der freigelegten Oberfläche des Source-Merkmals 242S abgeschieden und ein Temperprozess durchgeführt, um eine Silizidierungsreaktion zwischen der Metallschicht und dem Source-Merkmal 242S zu bewirken. Eine geeignete Metallschicht kann Titan (Ti), Tantal (Ta), Nickel (Ni), Kobalt (Co) oder Wolfram (W) enthalten. Das Sourceensilizidmerkmal 266 kann Titansilizid (TiSi), Titansiliziumnitrid (TiSiN), Tantalsilizid (TaSi), Wolframsilizid (WSi), Kobaltsilizid (CoSi) oder Nickelsilizid (NiSi) enthalten. Obwohl nicht gesondert gezeigt, kann die überschüssige Metallschicht, die nicht das Source-Silizidmerkmal 266 bildet, entfernt werden. Nach der Bildung des Source-Silizidmerkmals 266 kann eine Metallfüllschicht in die zweite rückseitige Source-Kontaktöffnung 2620 abgeschieden werden, um den Source-Stopfen 268 zu bilden. Die Metallfüllschicht kann Wolfram (W), Ruthenium (Ru), Kupfer (Cu), Kobalt (Co), Titan (Ti), Titannitrid (TiN), Tantal (Ta), Titannitrid (TaN), Molybdän (Mo) oder Nickel (Ni) enthalten. Ein Planarisierungsprozess, wie z.B. ein CMP-Prozess, kann folgen, um überschüssige Materialien zu entfernen und eine ebene Oberfläche zu erhalten. Der rückseitige Source-Kontakt 270 ist elektrisch mit dem Source-Merkmal 242S gekoppelt.
  • Mit Bezug auf 1, 16A, 16B, 16C, 17A, 17B und 17C umfasst das Verfahren 100 einen Block 128, in dem das Substrat 202 durch eine rückseitige dielektrische Schicht 278 ersetzt wird. Wie in 15A, 15B und 15C gezeigt, ist das verbleibende Substrat 202 nach Abschluss der Arbeiten in Block 126 von Merkmalen umgeben, die aus unterschiedlichen Materialien gebildet sind, einschließlich des Isolationsmerkmals 214, der dielektrischen Sperrschicht 264 und des rückseitigen Source-Kontakts 270. Durch diese Anordnung kann das verbleibende Substrat 202 selektiv entfernt werden, wie in 16A, 16B und 16C gezeigt. In einigen Ausführungsformen kann das selektive Entfernen des Substrats 202 durch selektives Nassätzen oder selektives Trockenätzen durchgeführt werden. Selektive Nassätzverfahren können beispielsweise eine Mischung von Salpetersäure und Flusssäure oder eine Lösung von Tetramethylammoniumhydroxid (TMAH) enthalten. Beispielhafte selektive Trockenätzverfahren können ein fluorhaltiges Gas (z.B. NF3, CF4, SF6, CH2F2, CHF3 und/oder C2F6) und ein chlorhaltiges Gas (z.B. Cl2, CHCl3, CCl4 und/oder BCl3) enthalten. Mit Bezug auf 17A, 17B und 17C wird, nachdem das restliche Substrat 202 selektiv entfernt wird, eine Auskleidung 276 durch CVD, ALD oder einer geeigneten Abscheidungstechnik auf der Rückseite des Werkstücks 200 abgeschieden. Die Zwischenschicht 276 kann Siliziumnitrid enthalten. Dann wird eine rückseitige dielektrische Schicht 278 über der Zwischenschicht 276 abgeschieden. Die rückseitige dielektrische Schicht 278 kann Siliziumoxid enthalten und kann durch Aufschleuderbeschichtung, CVD oder plasmaunterstützte CVD (PECVD) abgeschieden werden. Ein Planarisierungsprozess wie z.B. ein CMP-Prozess kann durchgeführt werden, um überschüssige Materialien zu entfernen.
  • In der dargestellten Ausführungsform kann das stufenförmige Profil der zweiten rückseitigen Source-Kontaktöffnung 2620 ein stufenförmiges Profil des rückseitigen Source-Kontakts 270 ergeben. Wie in 17A gezeigt, umfasst der rückseitige Source-Kontakt 270 einen ersten Abschnitt 270-1 angrenzend an das Source-merkmal 242S und einen zweiten Abschnitt 270-2 beabstandet von dem Source-Merkmal 242S. Der erste Abschnitt 270-1 weist eine erste Breite W1 entlang der X-Richtung und eine erste Höhe H1 entlang der Z-Richtung auf. Der zweite Abschnitt 270-2 weist eine zweite Breite W2 entlang der X-Richtung und eine zweite Höhe H2 entlang der Z-Richtung auf. In einigen Ausführungsformen ist die zweite Höhe H2 gleich oder größer als die erste Höhe H1 und die erste Breite W1 ist verschieden von der zweiten Breite W2. In einigen Ausführungsformen beträgt das Verhältnis der zweiten Höhe H2 zu der ersten Höhe H1 etwa 1 bis etwa 2. In diesen Ausführungsformen kann die erste Höhe H1 zwischen etwa 1 nm und etwa 30 nm und die zweite Höhe H2 zwischen etwa 1 nm und etwa 30 nm liegen. In einigen alternativen Ausführungsformen ist die erste Höhe H1 kleiner als die zweite Höhe H2. In den dargestellten Ausführungsformen gibt es einen stufenweisen Übergang von der ersten Breite W1 zur zweiten Breite W2. Das heißt, der Übergang von der ersten Breite W1 zur zweiten Breite W2 ist nicht allmählich. Diese stufenweise Breitenänderung des rückseitigen Source-Kontakts 270 macht ihn unterscheidbar von einem Kontakt mit einem verjüngten Profil, bei dem die Breitenänderung allmählich und kontinuierlich ist. In der in 17A dargestellten Ausführungsform ist die zweite Breite W2 größer als die erste Breite W1. In einigen Fällen beträgt das Verhältnis der zweiten Breite W2 zu der ersten Breite W1 etwa 1,1 bis etwa 2,5. In diesen Fällen kann die zweite Breite W2 etwa 6 nm bis etwa 20 nm betragen und die erste Breite W1 kann etwa 5 nm bis etwa 15 nm betragen.
  • Mit Bezug auf 1 umfasst das Verfahren 100 einen Block 130, in dem weitere Prozesse durchgeführt werden. Solche weiteren Prozesse können z.B. die Bildung einer rückseitigen Stromschiene (nicht dargestellt) umfassen. In einem Beispielprozess kann eine Isolationsschicht mit einer Zusammensetzung ähnlich der ILD-Schicht 248 auf der Rückseite des Werkstücks 200 abgeschieden werden, einschließlich über der rückseitigen dielektrischen Schicht 278, dem Isolationsmerkmal 214 und dem rückseitigen Source-Kontakt 270. Anschließend kann ein Stromschienengraben in der Isolationsschicht strukturiert werden. Eine Sperrschicht und ein Metallfüllmaterial werden dann in den Stromschienengraben abgeschieden, um eine rückseitige Stromschiene zu bilden. In einigen Ausführungsformen kann die Sperrschicht in der rückseitigen Stromschiene Titannitrid, Tantalnitrid, Kobaltnitrid, Nickelnitrid oder Wolframnitrid enthalten und das Metallfüllmaterial in der rückseitigen Stromschiene kann Titan (Ti), Ruthenium (Ru), Kupfer (Cu), Nickel (Ni), Kobalt (Co), Wolfram (W), Tantal (Ta) oder Molybdän (Mo) enthalten. Die Sperrschicht und die Metallfüllschicht können durch PVD, CVD, ALD oder stromloses Plattieren abgeschieden werden. Ein Planarisierungsprozess wie z.B. ein CMP-Prozess kann durchgeführt werden, um überschüssige Materialien über der Isolationsschicht zu entfernen.
  • In den in 17A und 18A dargestellten Ausführungsformen sind der erste Abschnitt 270-1 und der zweite Abschnitt 270-2 entlang der Z-Richtung ausgerichtet. Das heißt, eine Mittellinie des ersten Abschnitts 270-1 fällt mit einer Mittellinie des zweiten Abschnitts 270-2 zusammen. In einigen alternativen Ausführungsformen, die in 19 dargestellt sind, kann ein versetzter rückseitiger Source-Kontakt 271 aus einem nichtperfekten Überlapp der Masken hervorgehen, wenn die erste rückseitige Source-Kontaktöffnung 262 gebildet wird. Der versetzte rückseitige Source-Kontakt 271 umfasst einen ersten Abschnitt 270-1 und einen versetzten zweiten Abschnitt 270-2'. Wie in 19 gezeigt, ist der zweite Abschnitt 270-2' des versetzten rückseitigen Source-Kontakts 271 nicht perfekt mit dem ersten Abschnitt 270-1 entlang der Z-Richtung ausgerichtet. Das heißt, eine Mittellinie des zweiten Abschnitts 270-2' ist gegenüber einer Mittellinie des ersten Abschnitts 270-1 versetzt. Der in 19 gezeigte Versatz verdeutlicht die Vorteile der vorliegenden Offenbarung. Selbst wenn die erste rückseitige Source-Kontaktöffnung 262 falsch ausgerichtet ist, stoppt sie etwa auf der Höhe des Dummy-Epitaxiemerkmals 238 und erstreckt sich nicht bis zu der Gatestruktur 250. Wenn zugelassen wird, dass die erste rückseitige Source-Kontaktöffnung 262 in die Gatestruktur 250 einschneidet, wird die Gatestruktur 250 mit dem Source-Merkmal 242S kurzgeschlossen, wodurch der MBC-Transistor defekt wird.
  • In den in 17A und 18A dargestellten Ausführungsformen ist die zweite Breite W2 größer als die erste Breite W1. Der zweite Abschnitt 270-2 ist breiter als der erste Abschnitt 270-1 entlang der X-Richtung. In einigen alternativen Ausführungsformen, die in 20 dargestellt sind, kann sich ein alternativer rückseitiger Source-Kontakt 272 ergeben, wenn die erste rückseitige Source-Kontaktöffnung 262 schmaler ist als das Dummy-Epitaxiemerkmal 238. Wie in 20 gezeigt, umfasst der alternative rückseitige Source-Kontakt 272 einen ersten Abschnitt 270-1 und einen alternativen zweiten Abschnitt 270-2''. Der alternative zweite Abschnitt 270-2'' hat eine dritte Breite W3, die kleiner ist als die erste Breite W1 des ersten Abschnitts 270-1. In einigen Fällen beträgt die dritte Breite W3 etwa 4 nm bis etwa 13 nm.
  • Da in dem in 17A, 18A, 19, 20 gezeigten Werkstück 200 keine rückseitigen Drainkontakte zur Kopplung mit dem Drainmerkmal 242D gebildet sind, verbleiben das Dummy-Epitaxiemerkmal 238 und die erste Epitaxieschicht 240 in dem Drainbereich 212D. Wie in 17A, 18A, 19, 20 gezeigt, ist die erste Epitaxieschicht 240 in Kontakt mit dem Drainmerkmal 242D und ist zwischen dem Dummy-Epitaxiemerkmal 238 und dem Drainmerkmal 242D angeordnet.
  • Ausführungsformen der vorliegenden Offenbarung bieten Vorteile. Beispielsweise umfassen die Verfahren der vorliegenden Offenbarung das Bilden eines Dummy-Epitaxiemerkmals in einer Source-Öffnung, die sich in ein Substrat erstreckt. Bei der Bildung einer rückseitigen Source-Kontaktöffnung wird das Substrat zunächst anisotrop geätzt, um eine erste rückseitige Source-Kontaktöffnung zu bilden, um das Dummy-Epitaxiemerkmal freizulegen, und dann wird das Dummy-Epitaxiemerkmal selektiv und isotrop entfernt. Als Ergebnis des zweistufigen Ätzprozesses weist der resultierende rückseitige Source-Kontakt eine stufenweise Breitenänderung auf. Ferner kann das Dummy-Epitaxiemerkmal in dem Drainbereich verbleiben, wenn kein rückseitiger Drainkontakt gebildet wird. Dieser zweistufige Bildungsprozess der rückseitigen Source-Kontaktöffnung ist vorteilhaft, um Kurzschlüsse zwischen der Gatestruktur und dem Source-Merkmal zu verhindern.
  • In einem beispielhaften Aspekt bezieht sich die vorliegende Offenbarung auf eine Halbleiterstruktur. Die Halbleiterstruktur umfasst ein epitaktisches Source-Merkmal und ein epitaktisches Drainmerkmal, einen vertikalen Stapel von Kanalelementen, die über einer rückseitigen dielektrischen Schicht angeordnet sind, wobei sich der vertikale Stapel von Kanalelementen zwischen dem epitaktischen Source-Merkmal und dem epitaktischen Drainmerkmal entlang einer Richtung erstreckt, eine Gatestruktur, die jedes des vertikalen Stapels von Kanalelementen umwickelt, und einen rückseitigen Source-Kontakt, der in der rückseitigen dielektrischen Schicht angeordnet ist. Der rückseitigen Source-Kontakt umfasst einen oberen Abschnitt neben dem epitaktischen Source-Merkmal und einen unteren Abschnitt beabstandet von dem epitaktischen Source-Merkmal. Der obere Abschnitt und der untere Abschnitt umfassen eine stufenweise Breitenänderung entlang der Richtung.
  • In einigen Ausführungsformen kann die Halbleiterstruktur außerdem einen vorderseitigen Drainkontakt über dem epitaktischen Drainmerkmal aufweisen. In einigen Ausführungsformen ist eine Breite des oberen Abschnitts größer als eine Breite des unteren Abschnitts entlang der Richtung. In einigen Fällen ist eine Breite des oberen Abschnitts kleiner als eine Breite des unteren Abschnitts entlang der Richtung. In einigen Ausführungsformen ist eine Mittellinie des unteren Abschnitts von einer Mittellinie des oberen Abschnitts versetzt. In einigen Fällen kann die Halbleiterstruktur außerdem ein Dummy-Epitaxiemerkmal umfassen, das in die rückseitige dielektrische Schicht eingebettet ist. In einigen Ausführungsformen umfasst das epitaktische Drainmerkmal eine erste Epitaxieschicht in Kontakt mit dem Dummy-Epitaxiemerkmal und eine zweite Epitaxieschicht über der ersten Epitaxieschicht. In einigen Fällen ist die zweite Epitaxieschicht in Kontakt mit dem vertikalen Stapel von Kanalelementen und die erste Epitaxieschicht ist von dem vertikalen Stapel von Kanalelementen beabstandet.
  • In einem weiteren beispielhaften Aspekt bezieht sich die vorliegende Offenbarung auf eine Halbleiterstruktur. Die Halbleiterstruktur umfasst ein Source-Merkmal und ein Drainmerkmal, mehrere Kanalelemente, die über einer rückseitigen dielektrischen Schicht angeordnet sind und sich entlang einer ersten Richtung zwischen dem Source-Merkmal und dem Drainmerkmal erstrecken, wobei die mehreren Kanalelemente entlang einer zweiten Richtung senkrecht zu der ersten Richtung gestapelt ist, eine Gatestruktur, die jedes der mehreren Kanalelemente umwickelt, und einen rückseitigen Source-Kontakt, der in der rückseitigen dielektrischen Schicht angeordnet ist, wobei der rückseitigen Source-Kontakt einen ersten Abschnitt neben dem Source-Merkmal und einen zweiten Abschnitt beabstandet von dem Source-Merkmal umfasst. Der erste Abschnitt umfasst eine erste Breite entlang der ersten Richtung und der zweite Abschnitt umfasst eine zweite Breite entlang der ersten Richtung. Der erste Abschnitt weist eine erste Höhe entlang der zweiten Richtung auf und der zweite Abschnitt weist eine zweite Höhe entlang der zweiten Richtung auf. Die erste Breite ist verschieden von der zweiten Breite und die erste Höhe ist kleiner als die zweite Höhe.
  • In einigen Ausführungsformen beträgt das Verhältnis der zweiten Höhe zu der ersten Höhe etwa 1,1 bis etwa 2. In einigen Ausführungsformen ist eine Mittellinie des zweiten Abschnitts gegenüber einer Mittellinie des ersten Abschnitts versetzt. In einigen Ausführungsformen kann die Halbleiterstruktur ferner ein Dummy-Epitaxiemerkmal enthalten, das in die rückseitige dielektrische Schicht eingebettet ist. In einigen Ausführungsformen umfasst das Drainmerkmal eine erste Epitaxieschicht in Kontakt mit dem Dummy-Epitaxiemerkmal und eine zweite Epitaxieschicht über der ersten Epitaxieschicht. In einigen Fällen umfassen die erste Epitaxieschicht und die zweite Epitaxieschicht einen Dotierstoff und eine Konzentration des Dotierstoffs in der zweiten Epitaxieschicht ist größer als eine Konzentration des Dotierstoffs in der ersten Epitaxieschicht. In einigen Ausführungsformen ist die zweite Epitaxieschicht in Kontakt mit den mehreren Kanalelementen und die erste Epitaxieschicht ist von den mehreren Kanalelementen beabstandet.
  • In einem weiteren beispielhaften Aspekt bezieht sich die vorliegende Offenbarung auf ein Verfahren. Das Verfahren umfasst Bilden eines Stapels über einem Substrat, der mehrere Kanalschichten umfasst, die mit mehreren Opferschichten verschachtelt (versetzt angeordnet) sind, Strukturieren des Stapels und des Substrats, um eine finnenförmige Struktur zu bilden, Bilden einer Source-Öffnung und einer Drainöffnung, das Abscheiden eines Dummy-Epitaxiemerkmals in der Source-Öffnung und der Drainöffnung, Bilden eines Source-Merkmals in der Source-Öffnung und eines Drainmerkmals in der Drainöffnung, wobei das Source-Merkmal und das Drainmerkmal über dem Dummy-Epitaxiemerkmal angeordnet sind, anisotropes Ätzen des Substrats, um eine rückseitige Kontaktöffnung zu bilden, die das Dummy-Epitaxiemerkmal des Source-Merkmals freilegt, selektives und isotropes Ätzen des Dummy-Epitaxiemerkmals, und Bilden eines rückseitigen Source-Kontakts in der rückseitigen Kontaktöffnung, um mit dem Source-Merkmal zu koppeln. In einigen Ausführungsformen umfasst das anisotrope Ätzen die Verwendung von Bromwasserstoff, Sauerstoff oder Chlor. In einigen Ausführungsformen umfasst das selektive und isotrope Ätzen die Verwendung von Fluor und Fluorwasserstoff. In einigen Fällen kann das Verfahren ferner vor der Bildung des Source-Merkmals und des Drainmerkmals Abscheiden einer Epitaxieschicht über dem Dummy-Epitaxiemerkmal umfassen. In einigen Ausführungsformen kann das Verfahren ferner vor der Bildung des rückseitigen Source-Kontakts Abscheiden einer dielektrischen Sperrschicht über der Source-Öffnung und Rückätzen der dielektrischen Sperrschicht umfassen. Durch das Rückätzen wird auch die Epitaxieschicht über dem Source-Merkmal entfernt.
  • Vorstehend sind Merkmale mehrerer Ausführungsformen umrissen, damit der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifizierung anderer Verfahren und Strukturen verwendet werden kann, um die gleichen Zwecke zu erfüllen und/oder die gleichen Vorteile der hier vorgestellten Ausführungsformen zu erreichen. Der Fachmann sollte ferner erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass verschiedene Änderungen, Ersetzungen und Modifikationen hierin vorgenommen werden können, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/072476 [0001]

Claims (20)

  1. Halbleiterstruktur aufweisend: ein epitaktisches Source-Merkmal und ein epitaktisches Drainmerkmal; einen vertikalen Stapel von Kanalelementen, die über einer rückseitigen dielektrischen Schicht angeordnet sind, wobei sich der vertikale Stapel von Kanalelementen entlang einer Richtung zwischen dem epitaktischen Source-Merkmal und dem epitaktischen Drainmerkmal erstreckt; eine Gatestruktur, die jedes der vertikalen Stapel von Kanalelementen umwickelt; und einen rückseitigen Source-Kontakt, der in der rückseitigen dielektrischen Schicht angeordnet ist, wobei der rückseitige Source-Kontakt einen oberen Abschnitt neben dem epitaktischen Source-Merkmal und einen unteren Abschnitt beabstandet von dem epitaktischen Source-Merkmal aufweist, wobei der obere Abschnitt und der untere Abschnitt eine stufenweise Breitenänderung entlang der Richtung aufweisen.
  2. Halbleiterstruktur nach Anspruch 1, ferner aufweisend: einen vorderseitigen Drainkontakt über dem epitaktischen Drainmerkmal.
  3. Halbleiterstruktur nach Anspruch 1 oder 2, wobei eine Breite des oberen Abschnitts größer ist als eine Breite des unteren Abschnitts entlang der Richtung.
  4. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Breite des oberen Abschnitts kleiner ist als eine Breite des unteren Abschnitts entlang der Richtung.
  5. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, wobei eine Mittellinie des unteren Abschnitts gegenüber einer Mittellinie des oberen Abschnitts versetzt ist.
  6. Halbleiterstruktur nach einem der vorhergehenden Ansprüche, ferner aufweisend: ein Dummy-Epitaxiemerkmal, das in die rückseitige dielektrische Schicht eingebettet ist.
  7. Halbleiterstruktur nach Anspruch 6, wobei das epitaktische Drainmerkmal eine erste epitaktische Schicht in Kontakt mit dem Dummy-Epitaxiemerkmal und eine zweite epitaktische Schicht über der ersten epitaktischen Schicht aufweist.
  8. Halbleiterstruktur nach Anspruch 7, wobei die zweite epitaktische Schicht in Kontakt mit dem vertikalen Stapel von Kanalelementen ist und die erste epitaktische Schicht von dem vertikalen Stapel von Kanalelementen beabstandet ist.
  9. Halbleiterstruktur aufweisend: ein Source-Merkmal und ein Drainmerkmal; mehrere Kanalelemente, die über einer rückseitigen dielektrischen Schicht angeordnet sind, wobei sich die mehreren Kanalelemente entlang einer ersten Richtung zwischen dem Source-Merkmal und dem Drainmerkmal erstreckt, wobei die mehreren Kanalelemente entlang einer zweiten Richtung senkrecht zu der ersten Richtung gestapelt sind; eine Gatestruktur, die jedes der mehreren Kanalelemente umwickelt; und einen rückseitigen Source-Kontakt, der in der rückseitigen dielektrischen Schicht angeordnet ist, wobei der rückseitige Source-Kontakt einen ersten Abschnitt neben dem Source-Merkmal und einen zweiten Abschnitt beabstandet von dem Source-Merkmal aufweist, wobei der erste Abschnitt eine erste Breite entlang der ersten Richtung aufweist und der zweite Abschnitt eine zweite Breite entlang der ersten Richtung aufweist, wobei der erste Abschnitt eine erste Höhe entlang der zweiten Richtung aufweist und der zweite Abschnitt eine zweite Höhe entlang der zweiten Richtung aufweist, wobei die erste Breite verschieden von der zweiten Breite ist, wobei die erste Höhe kleiner als die zweite Höhe ist.
  10. Halbleiterstruktur nach Anspruch 9, wobei ein Verhältnis der zweiten Höhe zu der ersten Höhe etwa 1,1 bis etwa 2 beträgt.
  11. Halbleiterstruktur nach Anspruch 9 oder 10, wobei eine Mittellinie des zweiten Abschnitts gegenüber einer Mittellinie des ersten Abschnitts versetzt ist.
  12. Halbleiterstruktur nach einem der Ansprüche 9 bis 11, ferner aufweisend: ein Dummy-Epitaxiemerkmal, das in die rückseitige dielektrische Schicht eingebettet ist.
  13. Halbleiterstruktur nach Anspruch 12, wobei das Drainmerkmal eine erste Epitaxieschicht in Kontakt mit dem Dummy-Epitaxiemerkmal und eine zweite Epitaxieschicht über der ersten Epitaxieschicht aufweist.
  14. Halbleiterstruktur nach Anspruch 13, wobei die erste Epitaxieschicht und die zweite Epitaxieschicht einen Dotierstoff enthalten, wobei eine Konzentration des Dotierstoffs in der zweiten Epitaxieschicht größer als eine Konzentration des Dotierungsmittels in der ersten Epitaxieschicht ist.
  15. Halbleiterstruktur nach Anspruch 13 oder 14, wobei die zweite Epitaxieschicht in Kontakt mit den mehreren Kanalelementen steht und die erste Epitaxieschicht von den mehreren Kanalelementen beabstandet ist.
  16. Verfahren umfassend: Bilden eines Stapels über einem Substrat, der mehrere Kanalschichten aufweist, die mit mehreren Opferschichten verschachtelt sind; Strukturieren des Stapels und des Substrats, um eine finnenförmige Struktur zu bilden; Bilden einer Source-Öffnung und einer Drainöffnung; Abscheiden eines Dummy-Epitaxiemerkmals in der Source-Öffnung und in der Drainöffnung; Bilden eines Source-Merkmals in der Source-Öffnung und Bilden eines Drainmerkmals in der Drainöffnung, wobei das Source-Merkmal und das Drainmerkmal über dem Dummy-Epitaxiemerkmal angeordnet sind; anisotropes Ätzen des Substrats, um eine rückseitige Kontaktöffnung zu bilden, die das Dummy-Epitaxiemerkmal des Source-Merkmals freilegt; selektives und isotropes Ätzen des Dummy-Epitaxiemerkmals; und Bilden eines rückseitigen Source-Kontakts in der rückseitigen Kontaktöffnung, um mit dem Source-Merkmal zu koppeln.
  17. Verfahren nach Anspruch 16, wobei das anisotrope Ätzen Verwendung von Bromwasserstoff, Sauerstoff oder Chlor umfasst.
  18. Verfahren nach Anspruch 16 oder 17, wobei das selektive und isotrope Ätzen Verwendung von Fluor und Fluorwasserstoff umfasst.
  19. Verfahren nach einem der Ansprüche 16 bis 18, ferner umfassend: Abscheiden einer epitaktischen Schicht über dem Dummy-Epitaxiemerkmal vor dem Bilden des Source-Merkmals und des Drainmerkmals.
  20. Verfahren nach Anspruch 19, ferner umfassend: Abscheiden einer dielektrischen Sperrschicht über der Source-Öffnung vor dem Bilden des rückseitigen Source-Kontakts; und Rückätzen der dielektrischen Sperrschicht, wobei das Rückätzen auch die Epitaxieschicht über dem Source-Merkmal entfernt.
DE102020134644.1A 2020-08-31 2020-12-22 Rückseitenkontakt und verfahren zu seiner herstellung Active DE102020134644B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063072476P 2020-08-31 2020-08-31
US63/072,476 2020-08-31
US17/112,293 2020-12-04
US17/112,293 US11588050B2 (en) 2020-08-31 2020-12-04 Backside contact

Publications (2)

Publication Number Publication Date
DE102020134644A1 true DE102020134644A1 (de) 2022-03-03
DE102020134644B4 DE102020134644B4 (de) 2022-10-13

Family

ID=78892949

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020134644.1A Active DE102020134644B4 (de) 2020-08-31 2020-12-22 Rückseitenkontakt und verfahren zu seiner herstellung

Country Status (5)

Country Link
US (2) US11588050B2 (de)
KR (1) KR102495805B1 (de)
CN (1) CN113809076A (de)
DE (1) DE102020134644B4 (de)
TW (1) TWI792483B (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230345738A1 (en) * 2020-06-29 2023-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359676A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric Source/Drain for Backside Source Contact
US20230411289A1 (en) * 2022-05-24 2023-12-21 International Business Machines Corporation Self-aligned backside contact with increased contact area

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112015006959T5 (de) 2015-09-24 2018-06-07 Intel Corporation Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402866B2 (en) * 2006-06-27 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for MOS devices
KR101275758B1 (ko) * 2007-07-20 2013-06-14 삼성전자주식회사 복수개의 적층된 트랜지스터들을 구비하는 반도체 소자 및그 제조방법
SG11201505765SA (en) * 2013-03-15 2015-08-28 Intel Corp Nanowire transistor with underlayer etch stops
WO2017052638A1 (en) 2015-09-25 2017-03-30 Intel Corporation Backside contact structures and fabrication for metal on both sides of devices
TWI622171B (zh) * 2016-06-24 2018-04-21 財團法人國家實驗研究院 異質整合半導體裝置及其製造方法
US9997607B2 (en) * 2016-06-30 2018-06-12 International Business Machines Corporation Mirrored contact CMOS with self-aligned source, drain, and back-gate
CN109196653B (zh) * 2016-07-01 2022-09-13 英特尔公司 用于具有双侧金属化的半导体器件的背侧接触电阻减小
US9780210B1 (en) 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
US11139241B2 (en) * 2016-12-07 2021-10-05 Intel Corporation Integrated circuit device with crenellated metal trace layout
US11367796B2 (en) 2018-09-18 2022-06-21 Intel Corporation Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US10944009B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
US11437283B2 (en) 2019-03-15 2022-09-06 Intel Corporation Backside contacts for semiconductor devices
KR20200134362A (ko) * 2019-05-21 2020-12-02 삼성전자주식회사 반도체 소자
US11081559B1 (en) * 2020-01-31 2021-08-03 Qualcomm Incorporated Backside contact of a semiconductor device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112015006959T5 (de) 2015-09-24 2018-06-07 Intel Corporation Verfahren zum bilden rückseitiger selbstausgerichteter durchkontaktierungen und dadurch gebildete strukturen

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230345738A1 (en) * 2020-06-29 2023-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same

Also Published As

Publication number Publication date
KR20220029302A (ko) 2022-03-08
CN113809076A (zh) 2021-12-17
DE102020134644B4 (de) 2022-10-13
TWI792483B (zh) 2023-02-11
US20220069117A1 (en) 2022-03-03
US20220367705A1 (en) 2022-11-17
TW202211472A (zh) 2022-03-16
KR102495805B1 (ko) 2023-02-06
US11588050B2 (en) 2023-02-21

Similar Documents

Publication Publication Date Title
DE102018104654B4 (de) Doppelte metalldurchkontaktierung für übergangswiderstand
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102016100049A1 (de) Verfahren und Struktur für Halbleitermid-End-Of-Line- (MEOL) -Prozess
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102019126565B4 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102020130964A1 (de) Vertikal ausgerichteter komplementärer transistor
DE102020124625A1 (de) Transistoren mit nanostrukturen
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102020131140A1 (de) Gateisolierungsstruktur
DE102020110870A1 (de) Metall-source-/drainmerkmale
DE102020106231A1 (de) Vorrichtung mit niedrigem leckstrom
DE102021113387A1 (de) Epitaktische merkmale
DE102021100333A1 (de) Halbleitervorrichtungsstruktur
DE102021100270A1 (de) Epitaxialer Rückseitenkontakt
DE102021117896A1 (de) Halbleitungsvorrichtung mit gateisolationsstruktur und ausbildungsverfahren
DE102021104668A1 (de) Feldeffekttransistorvorrichtung mit gate-abstandshalterstruktur
DE102021109770B4 (de) Hybrid-halbleitervorrichtung
DE102021115968A1 (de) Halbleitervorrichtung mit rückseitenstromschiene und deren herstellungsverfahren
DE102021109940A1 (de) Rückseitiger gatekontakt
DE102021109760A1 (de) Verfahren zum bilden von kontaktstrukturen
DE102020131432A1 (de) Source/drain-kontaktstruktur
DE102020105633B4 (de) Halbleitervorrichtungen mit verbesserten Kondensatoren
DE102021105733A1 (de) Kontaktsteckerstruktur eines halbleiterbauelements und verfahren zum bilden derselben
DE102020131030A1 (de) Siliziumkanal-anlassen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R079 Amendment of ipc main class

Free format text: PREVIOUS MAIN CLASS: H01L0029780000

Ipc: H01L0029417000

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final