TWI792483B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI792483B
TWI792483B TW110129442A TW110129442A TWI792483B TW I792483 B TWI792483 B TW I792483B TW 110129442 A TW110129442 A TW 110129442A TW 110129442 A TW110129442 A TW 110129442A TW I792483 B TWI792483 B TW I792483B
Authority
TW
Taiwan
Prior art keywords
feature
epitaxial
layer
source
backside
Prior art date
Application number
TW110129442A
Other languages
English (en)
Other versions
TW202211472A (zh
Inventor
游力蓁
蘇煥傑
黃麟淯
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202211472A publication Critical patent/TW202211472A/zh
Application granted granted Critical
Publication of TWI792483B publication Critical patent/TWI792483B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)

Abstract

提供一種半導體結構及其形成方法。一種半導體結構,包括:磊晶源極部件以及磊晶汲極部件;通道構件的垂直堆疊,設置在背側介電層上方,通道構件的垂直堆疊沿著方向在磊晶源極部件以及磊晶汲極部件之間延伸;閘極結構,包繞垂直堆疊的每個通道構件;以及背側源極接觸件,設置在背側介電層之中。背側源極接觸件包括頂部以及底部,頂部相鄰磊晶源極部件並且底部遠離磊晶源極部件。頂部以及底部沿著上述方向包括階梯式寬度變化。

Description

半導體結構及其形成方法
本發明實施例係有關於一種半導體結構及其形成方法,且特別關於一種具有背側接觸件的半導體結構及其形成方法。
半導體積體電路產業經歷了快速成長。積體電路材料以及設計的技術進步已經產生數個積體電路世代,其中每一世代都比前一世代具有更小且更複雜的電路。在積體電路演進期間,功能密度(亦即,單位晶片面積的互連裝置數目)通常會增加而幾何尺寸(亦即,即可使用製程生產的最小元件(或線))卻減少。此微縮化的過程通常會以增加生產效率與降低相關成本而提供助益。然而,此微縮化也增加了積體電路製造以及製程的複雜性。
例如,隨著積體電路(IC)技術朝向更小的技術節點發展,多閘極裝置已被引入以通過增加閘極-通道耦合(gate-channel coupling)、減小關閉狀態電流(OFF-state current)以及減小短通道效應(short-channel effects, SCEs)來改善閘極控制。多閘極裝置一般來說是指具有設置在通道區的一側以上的閘極結構或其一部分的裝置。鰭式場效電晶體(Fin-like field effect transistors, FinFETs)以及多橋接通道(multi-bridge-channel, MBC)電晶體為多閘極裝置的示例,這些裝置已成為高性能以及低漏電流應用的主流並且具有前景的候選裝置(candidates)。FinFET具有上升的(elevated)通道,且閘極包繞通道的一側以上(例如,閘極包繞從基板延伸的半導體材料“鰭片”的頂部以及側壁)。MBC電晶體的閘極結構可以部分地或完全地圍繞通道區延伸,以提供對通道區兩側或更多側的存取(access)。由於MBC電晶體的閘極結構圍繞通道區,因此MBC電晶體也可以稱為環繞閘極電晶體(surrounding gate transistor, SGT)或全繞式閘極(gate-all-around, GAA)電晶體。MBC電晶體的通道區可以由奈米線、奈米片、其他奈米結構及/或其他合適的結構形成。通道區的形狀也給予MBC電晶體替代的名稱,例如奈米片電晶體或奈米線電晶體。
隨著積體電路技術朝向更小的技術節點發展,一些佈線結構已從裝置結構的前側移至裝置結構的背側。例如,已經提出背側電源軌(backside power rails, BPR)或超電源軌(super power rails, SPR),其背側源極/汲極接觸件形成為穿過基板以與源極/汲極部件接觸,並且電源軌形成在基板的背側上以與背側源極/汲極接觸件接觸。背側源極/汲極接觸件的形成並非不具有挑戰。當微影遮罩覆蓋不夠完美時,背側源極接觸件可能與閘極結構造成短路。因此,儘管常規的背側源極接觸件以及其形成方法一般來說已足以滿足其預期目的,但是它們並非在全部的方面都令人滿意。
本發明一些實施例提供一種半導體結構,包括:磊晶源極部件以及磊晶汲極部件;通道構件(members)的垂直堆疊,設置在背側介電層上方,通道構件的垂直堆疊沿著方向在磊晶源極部件以及磊晶汲極部件之間延伸;閘極結構,包繞(wrap around)垂直堆疊的每個通道構件;以及背側源極接觸件,設置在背側介電層之中,其中背側源極接觸件包括頂部以及底部,頂部相鄰磊晶源極部件並且底部遠離磊晶源極部件,其中頂部以及底部沿著上述方向包括階梯式(step)寬度變化。
本發明另一些實施例提供一種半導體結構,包括:源極部件以及汲極部件;通道構件,設置在背側介電層上方,通道構件沿著第一方向在源極部件以及汲極部件之間延伸,通道構件沿著第二方向堆疊,第二方向垂直於第一方向;閘極結構,包繞每個通道構件;以及背側源極接觸件,設置在背側介電層之中,背側源極接觸件包括第一部分以及第二部分,第一部分相鄰源極部件且第二部分遠離源極部件,其中第一部分包括沿著第一方向的第一寬度,且第二部分包括沿著第一方向的第二寬度,其中第一部分包括沿著第二方向的第一高度,且第二部分包括沿著第二方向的第二高度,其中第一寬度不同於第二寬度,其中第一高度小於第二高度。
本發明又一些實施例提供一種形成半導體結構的方法,包括:在基板上方形成堆疊,堆疊包括與犧牲層交錯(interleaved)的通道層;圖案化堆疊以及基板以形成鰭狀結構;形成源極開口以及汲極開口;在源極開口以及汲極開口之中沉積虛設磊晶部件;在源極開口之中形成源極部件以及在汲極開口之中形成汲極部件,源極部件以及汲極部件設置在虛設磊晶部件上方;非等向性地蝕刻基板以形成背側接觸開口,背側接觸開口露出源極部件的虛設磊晶部件;選擇性地以及等向性地蝕刻虛設磊晶部件;以及在背側接觸開口之中形成背側源極接觸件,以耦合至源極部件。
以下內容提供了許多不同實施例或範例,以實現本揭露實施例的不同部件。以下描述組件和配置方式的具體範例,以簡化本揭露實施例。當然,這些僅僅是範例,而非意圖限制本揭露實施例。舉例而言,元件的尺寸不限於所揭露的範圍或數值,而是可以取決於製程條件及/或裝置的期望特性。此外,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。為了簡單和清楚起見,可以按不同比例任意繪製各種部件。
此處可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
再者,用語「大約」、「近似」等類似用語描述數字或數字範圍時,該用語意欲涵蓋的數值是在合理範圍內包含所描述的數字,例如在所描述的數字之+/–10%之內,或本發明所屬技術領域中具有通常知識者理解的其他數值。例如,具有「約5奈米」厚度的材料層可以涵蓋從4.25奈米至5.75奈米的尺寸範圍,其與所屬技術領域中具有通常知識者已知以及與沉積材料層相關的製造公差為+/–15%。此外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。以下描述實施例的一些變化。
本揭露一般來說關於形成具有背側接觸件的半導體裝置的方法,並且更詳細而言,關於使用多個蝕刻步驟形成背側接觸開口的方法。
常規地,基板上電晶體的源極/汲極接觸件和閘極接觸件將電晶體的源極/汲極部件連接到基板前側上方的互連結構。隨著IC裝置尺寸的縮小,源極接觸件和閘極接觸件之間的緊密接近會減少形成這些接觸件的製程寬裕度(windows),並可能增加它們之間的寄生電容。背側電源軌(BPR) 結構是一種現代解決方案,可以提升先進技術節點的供電網絡(power delivery network, PDN)性能。BPR結構的實施可以緩解接觸件的擁擠。在一些常規製程中,使用微影和非等向性乾式蝕刻形成背側接觸開口。在這些常規製程中,當覆蓋(overlay)不夠完美時,非等向性乾式蝕刻可能會損壞相鄰的閘極結構,導致閘極結構和背側接觸件之間的電性短路。
本揭露提供用於形成與源極/汲極部件自對準的背側接觸件的製程。本揭露的製程在延伸至基板中的源極/汲極開口中形成虛設磊晶部件。虛設磊晶部件不同於基板的磊晶部件以提供蝕刻選擇性。在形成源極/汲極部件和閘極結構之後,翻轉基板。使用第一蝕刻製程和第二蝕刻製程形成背側接觸開口。在第一蝕刻製程中,通過圖案化的遮罩層使用等向性蝕刻製程蝕刻基板。第一蝕刻製程蝕刻穿過基板但不蝕刻穿過虛設磊晶部件。之後在第二蝕刻製程中等向性且選擇性地蝕刻虛設磊晶部件以露出源極/汲極部件。因為第二蝕刻製程相對於基板對虛設磊晶部件具有選擇性,所以第二蝕刻製程為自對準並且較不會損壞閘極結構。因此,本揭露的製程可以減少閘極-源極/汲極短路並提高產量(yield)。
現在將參考附圖更詳細地描述本揭露的各個方面。對此,第1圖根據本揭露的實施例,係形成半導體裝置的方法100流程圖。方法100僅是示例,並且不旨在將本揭露限制在方法100中明確示出的內容。可以在方法100之前、之中以及之後提供額外的步驟,並且對於所述方法的額外實施例,所描述的一些步驟可以被替換、消除或移動。為了簡單起見,本揭露沒有詳細描述所有步驟。以下結合第2A-16A、2B-16B以及9C-16C圖來描述方法100,第2A-16A、2B-16B以及9C-16C圖根據方法100的實施例,係工件200在製造的不同階段的局部剖面圖。因為在製程結束後,工件200將被製造成半導體裝置200,所以根據上下文所需,工件200也可以被稱為半導體裝置200。在本揭露中,除非另有說明,否則相同的附圖標記表示相同的部件。
參照第1、2A以及2B圖,方法100包括步驟102,接收工件200。如第2圖所示,工件200包括基板202以及設置在基板202上的堆疊204。在一實施例中,基板202可以是矽(Si)基板。在一些其他實施例中,基板202可以包括其他半導體材料,例如鍺(Ge)、矽鍺(SiGe)或III-V族半導體材料。示例的III-V族半導體材料可以包括砷化鎵(GaAs)、磷化銦(InP)、磷化鎵(GaP)、氮化鎵(GaN)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、磷化鎵銦(GaInP)以及砷化銦鎵(InGaAs)。堆疊204可以包括與複數個犧牲層206交錯的複數個通道層208。通道層208和犧牲層206可以具有不同的半導體組成。在一些實施例中,通道層208由矽(Si)形成,並且犧牲層206由矽鍺(SiGe)形成。在這些實施例中,犧牲層206中額外的鍺含量允許犧牲層206的選擇性去除或凹蝕,而大抵不對通道層208造成損害。在一些實施例中,犧牲層206以及通道層208可以使用磊晶製程沉積。可以使用化學氣相沉積(chemical vapor deposition, CVD)沉積技術(例如,氣相磊晶(vapor-phase epitaxy, VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD))、分子束磊晶(molecular beam epitaxy, MBE)及/或其他合適的製程以磊晶沉積堆疊204。犧牲層206以及通道層208一個接一個地交替沉積,以形成堆疊204。應當理解,如第2A圖所示,三層犧牲層206以及三層通道層208交替地以及垂直地設置,其配置僅出於說明目的,並不意旨在限制請求項中具體記載的內容。膜層的數量取決於半導體裝置200通道構件(members)的期望數量。在一些實施例中,通道層208的數量介於2至10之間。
為了準備後續的圖案化製程,在堆疊204上方沉積硬遮罩層210。硬遮罩層210用作蝕刻遮罩以對堆疊204甚至部分基板202進行圖案化以形成鰭狀結構212(如第3B圖所示)。在一些實施例中,可以使用CVD、電漿輔助CVD(plasma-enhanced CVD, PECVD)、原子層沉積(atomic layer deposition, ALD)、電漿輔助ALD(plasma-enhanced ALD, PEALD)或合適的沉積方法以沉積硬遮罩層210。硬遮罩層210可以是單層或多層。當硬遮罩層210為多層時,其可以包括第一層和設置在第一層上的第二層。在一個實施例中,第一層可以是墊氧化物並且第二層可以是墊氮化物層。
參照第1、3A以及3B圖,方法100包括步驟104,形成鰭狀結構212。在一些實施例中,在步驟104,對堆疊204以及基板202的一部分進行圖案化以形成鰭狀結構212。如第3A以及3B圖所示,每個鰭狀結構212包括由基板202的一部分形成的基部212B以及由堆疊204形成的頂部212T。頂部212T設置在基部212B上方。鰭狀結構212沿著X方向縱向(lengthwise)延伸並從基板202沿著Z方向垂直延伸。可以使用合適的製程,包括雙重圖案化或多重圖案化製程以圖案化鰭狀結構212。一般來說,雙重圖案化或多重圖案化製程結合了微影製程與自對準製程,以創建出例如,比使用單一、直接微影製程所得的節距(pitch)更小的圖案。例如,在一實施例中,在基板上方形成材料層,並使用微影製程對其進行圖案化。使用自對準製程在圖案化的材料層旁邊形成間隔物。之後去除材料層,剩餘的間隔物或心軸(mandrel)之後可以用於圖案化硬遮罩層210,隨後圖案化的硬遮罩層210可以用於通過蝕刻堆疊204和基板202以圖案化鰭狀結構212。蝕刻製程可以包括乾式蝕刻、濕式蝕刻、反應離子蝕刻(reactive ion etching, RIE)及/或其他合適的製程。雖然圖中未明確示出,但是可以在鰭狀結構212上方形成半導體襯層。半導體襯層可以包括矽(Si)或富含矽的鍺矽(SiGe)。在一些實施例中,可以使用ALD、PEALD、VPE、MBE或合適的方法沉積半導體襯層。
參照第1、3A以及3B圖,方法100包括步驟106,形成隔離部件214。在形成鰭狀結構212之後,在相鄰的鰭狀結構212之間形成第3B圖所示的隔離部件214。隔離部件214也可以稱為淺溝槽隔離(shallow trench isolation, STI)部件214。在示例的製程中,用於隔離部件214的介電材料首先沉積在鰭狀結構212上,以介電材料填充鰭狀結構212之間的溝槽。在一些實施例中,介電材料可以包括氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低介電常數介電質、其組合及/或其他合適的材料。在各種示例中,可以使用CVD製程、次大氣壓CVD(subatmospheric CVD, SACVD)製程、流動式(flowable)CVD製程、原子層沉積(ALD)製程、旋轉塗佈及/或其他合適的製程沉積介電材料。之後將沉積的介電材料薄化及平坦化,例如通過化學機械研磨(chemical mechanical polishing, CMP) 製程。通過乾式蝕刻製程、濕式蝕刻製程及/或其組合進一步凹蝕或回蝕平坦化的介電材料以形成隔離部件214。如第3B圖所示,鰭狀結構212的頂部212T升高到隔離部件214之上,而基部212B被隔離部件214圍繞。應當注意的是,因為第3A圖中的剖面切割通過鰭狀結構212,所以第3A圖中未示出隔離部件214。
參考第1、4A、4B、5A以及5B圖,方法100包括步驟108,形成包覆(cladding)層216和介電鰭片220。在一些實施例中,包覆層216可以具有相似於犧牲層206的組成。在一個實例中,包覆層216可以由矽鍺(SiGe)形成。此種共同的組成允許在隨後的製程中選擇性地去除犧牲層206和包覆層216。在一些實施例中,包覆層216可以使用氣相磊晶(VPE)或分子束磊晶(MBE)順應地(conformally)以及磊晶地成長。選擇包覆層216的沉積製程使包覆層216為非結晶且順應地沉積在工件200的表面上,包括鰭狀結構212和隔離部件214的表面上。在一些實施例中,包覆層216可以具有介於約5nm至約10nm之間的厚度。包覆層216沉積在工件200上之後,對工件200執行回蝕製程以凹蝕包覆層216直到頂表面上的包覆層216被去除。即,在回蝕製程之後,可以露出硬遮罩層210和隔離部件214的頂表面。
繼續參考第4A以及4B圖,步驟108也形成介電鰭片220。在一些實施例中,介電鰭片220可以形成在溝槽中,上述溝槽在形成包覆層216之後形成。在所描繪的實施例中,每個介電鰭片220包括多個膜層。在示例製程中,襯層222順應地沉積在工件200之上,包括在包覆層216以及鰭狀結構212之上。襯層222可以使用PECVD、ALD或合適的方法沉積。之後使用CVD、SACVD、FCVD、ALD、旋轉塗佈及/或其他合適的製程在工件200上的襯層222上沉積填充層224。襯層222可以包括矽、氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氧氮化鋁、氧化鋯、氮化鋯、氧化鋁鋯、氧化鉿或合適的介電材料。填充層224可以包括氧化矽、碳化矽、氮氧化矽、碳氮氧化矽或合適的介電材料。在沉積襯層222和填充層224之後,使用平坦化製程例如化學機械研磨(CMP)製程對工件200進行平坦化,直到去除包覆層216上方的襯層222和填充層224。在平坦化之後,填充層224和襯層222的一部分被選擇性地和部分地凹蝕,之後在工件200上沉積罩(helmet)層226。罩層226可以包括氮化矽、碳化矽、碳氮化矽、碳氮氧化矽、氧化鋁、氮化鋁、氧氮化鋁、氧化鋯、氮化鋯、氧化鋁鋯、氧化鉿或合適的介電材料。之後使用CMP製程再次平坦化工件200以去除包覆層216上多餘的罩層226。此時,介電鰭片220大抵形成。每個介電鰭片220包括設置在填充層224和襯層222上方的罩層226。在一個實施例中,襯墊222包括氮化矽或碳氮化矽,填充層224包括氧化矽,且罩層226包括碳氮化矽、氮化矽、氧化鋁、氮化鋁、氧氮化鋁、氧化鋯、氮化鋯、氧化鋁鋯或氧化鉿。
參考第5A以及5B圖,在形成介電鰭片220之後,非等向性蝕刻工件200以選擇性地去除部分的包覆層216以及硬遮罩層210以露出最頂部的通道層208,而大抵不損壞罩層226。非等向性蝕刻製程可以包括單階段蝕刻製程或多階段蝕刻製程。當非等向性蝕刻製程為單階段時,其對半導體材料(例如矽和矽鍺)以及氮化矽具有選擇性。當非等向性蝕刻製程為多階段時,第一階段可以對半導體材料(例如矽和矽鍺)具有選擇性,而第二階段可以對氮化矽具有選擇性。在一些實施例中,非等向性蝕刻製程可以包括氫氣、含氟氣體(例如CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體、其他合適的氣體及/或電漿及/或其組合。
參考第1、6A以及6B圖,方法100包括步驟110,在鰭狀結構212的通道區212C上方形成虛設閘極堆疊230。在一些實施例中,採用閘極替換製程(或閘極後製製程),其中虛設閘極堆疊230作為功能性閘極結構的佔位件(placeholder)。可以包括其他製程以及配置。雖然未明確示出,但虛設閘極堆疊230可以包括虛設介電層以及設置在虛設介電層上方的虛設電極。位於虛設閘極堆疊230下方的鰭狀結構212的區域可以稱為通道區212C。鰭狀結構212中的每個通道區夾設在源極區212S和汲極區212D之間。在示例的製程中,通過CVD在工件200上毯覆地(blanketly)沉積虛設介電層。之後將用於虛設電極的材料層毯覆地沉積在虛設介電層上。然後使用微影製程對虛設介電層以及用於虛設電極的材料層進行圖案化,以形成虛設閘極堆疊230。在一些實施例中,虛設介電層可以包括氧化矽,並且虛設電極可以包括多晶矽(polysilicon)。
在形成虛設閘極堆疊230之後,步驟110也包括沿著虛設閘極堆疊230的側壁形成至少一個閘極間隔物232的操作。至少一個閘極間隔物232可以包括兩個或更多個閘極間隔層。可以選擇用於至少一個閘極間隔物232的介電材料以允許選擇性去除虛設閘極堆疊230,而大抵不損壞至少一個閘極間隔物232。合適的介電材料可包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽及/或其組合。在示例的製程中,可以使用CVD、次大氣壓CVD(SACVD)或ALD在工件200上方順應地沉積至少一個閘極間隔物232。在一個實施例中,至少一個閘極間隔物232包括兩個閘極間隔物,一個由氮化矽形成而另一個由碳氮化矽形成。可以完全地設想其他組合。在一些實施例中,在沉積至少一個閘極間隔物232之後,回蝕至少一個閘極間隔物232以露出源極區212S以及汲極區212D中的鰭狀結構212的頂表面。
參考第1、6A以及6B圖,方法100包括步驟112,凹蝕鰭形結構212的源極區212S和汲極區212D以形成源極開口235S和汲極開口235D。第6A圖繪示從鰭狀結構212的側面觀察時,鰭狀結構212的局部剖面圖。第6B圖繪示在汲極區212D的鰭狀結構212的局部剖面圖,其沿著鰭狀結構212的縱向方向(X方向)。以虛設閘極堆疊230與至少一個閘極間隔物232作為蝕刻遮罩,在源極區212S與汲極區212D中非等向性蝕刻工件200以形成源極開口235S與汲極開口235D。如第6A和6B圖所示,源極開口235S和汲極開口235D不僅延伸穿過通道層208和犧牲層206,且延伸穿過基板202的一部分。步驟112處的操作可以大抵移除源極區212S和汲極區212D中的鰭狀結構212的頂部212T。步驟112處的非等向性蝕刻可以包括乾式蝕刻製程或合適的蝕刻製程。例如,乾式蝕刻製程可以施用含氧氣體、氫氣、含氟氣體(例如CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體、其他合適的氣體及/或電漿及/或其組合。如第6A以及6B圖所示,步驟112的乾式蝕刻製程可以以較慢的速率蝕刻罩層226以及襯層222,且使它們大抵不被蝕刻。複數個通道層208、複數個犧牲層206以及包覆層216的側壁在源極開口235S和汲極開口235D中露出。
參考第1、7A以及7B圖,方法100包括步驟114,形成內間隔物部件236。參考第7A圖,在步驟114處,在源極開口235S以及汲極開口235D中露出的犧牲層206首先被選擇性地且部分地凹蝕以形成內間隔物凹口,而露出的通道層208大抵未被蝕刻。因為包覆層216具有與犧牲層206相似的組成,包覆層216也可以在步驟114被蝕刻。在通道層208主要由矽(Si)形成,犧牲層206主要由矽鍺(SiGe)形成,並且包覆層216主要由矽鍺(SiGe)形成的實施例中,犧牲層206以及包覆層216的選擇性和部分凹蝕可以包括SiGe氧化製程,之後去除SiGe氧化物。在上述實施例中,SiGe氧化製程可以包括使用臭氧(O3 )。在一些其他實施例中,選擇性凹蝕可以是選擇性等向性蝕刻製程(例如,選擇性乾式蝕刻製程或選擇性濕式蝕刻製程),並且犧牲層206以及包覆層216凹蝕的程度由蝕刻製程的持續時間控制。選擇性乾式蝕刻製程可以包括使用一種或多種氟基蝕刻劑,例如氟氣或氫氟碳化物。選擇性濕式蝕刻製程可以包括APM蝕刻(例如,氫氧化氨-過氧化氫-水混合物)。在形成內間隔物凹口之後,之後使用CVD或ALD在工件200上順應地沉積內間隔物材料層,包括在內間隔物凹口的上方與之中以及在去除部分包覆層216所留下的空間的上方與之中。內間隔物材料可以包括氮化矽、碳氮氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽或氮氧化矽。在沉積內間隔物材料層之後,回蝕內間隔物材料層以形成內間隔物部件236,如第7A圖所示。
參考第1、8A以及8B圖,方法100包括步驟116,沉積虛設磊晶部件238、第一磊晶層240以及第二磊晶層242以形成源極部件242S以及汲極部件242D。在一些實施例中,虛設磊晶部件238可以包括矽鍺(SiGe)或半導體材料,其不同於形成基板202的半導體材料。在一些實施例中,虛設磊晶部件238可以摻雜n型摻質,例如磷(P)或砷(As)或p型摻質,例如硼(B)或鎵(Ga)。此種組成差異在用於背側接觸開口的自對準形成的後續操作中提供蝕刻選擇性。第一磊晶層240和第二磊晶層242均由摻雜的半導體材料形成並且它們的組成取決於期望的MBC電晶體的導電類型。當需要n型MBC電晶體時,第一磊晶層240和第二磊晶層242都可以包括摻雜n型摻質(例如磷(P)或砷(As))的矽(Si)。當需要p型MBC電晶體時,第一磊晶層240和第二磊晶層242都可以包括摻雜p型摻質(例如硼(B)或鎵(Ga))的矽鍺(SiGe)。在一些實施例中,第一磊晶層240和第二磊晶層242可以具有相同的半導體材料和相同的摻質種類,並具有不同的摻雜濃度。例如,當需要n型MBC電晶體時,第一磊晶層240可以具有介於5x1020 原子/cm3 至大約1x1021 原子/cm3 之間的磷(P)摻雜濃度,並且第二磊晶層242可以具有介於1x1021 原子/cm3 至大約5x1021 原子/cm3 之間的磷(P)摻雜濃度。當需要p型MBC電晶體時,第一磊晶層240可以具有介於3x1020 原子/cm3 至大約8x1020 原子/cm3 之間的硼(B)摻雜濃度,並且第二磊晶層242可以具有介於8x1020 原子/cm3 至大約4x1021 原子/cm3 之間的硼(B)摻雜濃度。
在一些替代實施例中,第一磊晶層240和第二磊晶層242可以包括相同導電類型的不同摻質。例如,當需要n型MBC電晶體時,第一磊晶層240可以摻雜砷(As)並且第二磊晶層242可以摻雜磷(P)。當需要p型MBC電晶體時,第一磊晶層240可以摻雜鎵(Ga)並且第二磊晶層242可以摻雜硼(B)。
在步驟114,首先沉積虛設磊晶部件238。之後將第一磊晶層240沉積在虛設磊晶部件238上方。隨後,將第二磊晶層242沉積在第一磊晶層240上方。可以使用分子束磊晶(MBE)、氣相磊晶(VPE)、超高真空CVD(UHV-CVD)及/或其他合適的磊晶成長製程各自磊晶沉積虛設磊晶部件238、第一磊晶層240以及第二磊晶層242。在示例的製程中,在露出的基板202上方的源極開口235S以及汲極開口235D中磊晶沉積矽鍺。在一些實施例中,為了從通道層208的表面去除虛設磊晶部件238,可以執行回蝕以凹蝕虛設磊晶部件238。之後在源極開口235S和汲極開口235D中的虛設磊晶部件238上方沉積第一磊晶層240。相似地,為了從通道層208的表面去除第一磊晶層240,在一些實施例中,可以對沉積的第一磊晶層240進行回蝕製程以露出通道層208的側壁。在沉積第一磊晶層240之後,在通道層208以及第一磊晶層240的側壁上選擇性地沉積第二磊晶層242。在一些實施例中,可以在回蝕虛設磊晶部件238之後或在回蝕第一磊晶層240之後執行預清潔製程,以為下一個磊晶層提供無氧化物以及無碎屑(debris)的表面。預清潔製程可以包括使用RCA SC-1(氫氧化氨、過氧化氫以及水的混合物)及/或RCA SC-2(鹽酸、過氧化氫以及水的混合物)。沉積在源極開口235S中的第二磊晶層242可以稱為源極部件242S,且沉積在汲極開口235D中的第二磊晶層242可以稱為汲極部件242D。應當注意的是,在所描繪的實施例中並且關於一個MBC電晶體,源極部件242S以及汲極部件242D在組成方面大抵相同。由於它們的位置不同(即,在源極開口235S中或在汲極開口235D中)而有不同的稱呼。
如第8A以及8B圖所示,虛設磊晶部件238設置在基部212B中並且上升到隔離部件214的頂表面之上。第二磊晶層242(包括源極部件242S以及汲極部件242D)大抵設置在隔離部件214的頂表面上方。如第8A圖所示,第二磊晶層242與通道層208以及內間隔物部件236的側壁接觸。第一磊晶層240設置在虛設磊晶部件238和第二磊晶層242之間。因此,第一磊晶層240設置在隔離部件214的頂表面的水平周圍。第二磊晶層242也與相鄰的介電鰭片220接觸,而第一磊晶層240以及虛設磊晶部件238與介電鰭片220隔開。
參照第1、8A以及8B圖,方法100包括步驟118,沉積接觸蝕刻停止層(contact etch stop layer, CESL)246以及層間介電(interlayer dielectric, ILD)層248。在示例的製程中,CESL 246首先順應地沉積在工件200上,之後ILD層248毯覆地沉積在CESL 246上。CESL 246可以包括氮化矽、氧化矽、氮氧化矽及/或本領域中已知的其他材料。可以使用ALD、電漿輔助化學氣相沉積(PECVD)製程及/或其他合適的沉積或氧化製程沉積CESL 246。在一些實施例中,ILD層248可以包括材料,例如原矽酸四乙酯(tetraethylorthosilicate, TEOS)氧化物、未摻雜的矽酸鹽玻璃或摻雜的矽氧化物,例如硼磷矽酸鹽玻璃(borophosphosilicate glass, BPSG)、熔融石英玻璃(fused silica glass, FSG)、磷矽酸鹽玻璃(phosphoric silicate glass, PSG)、摻硼矽玻璃(boron doped silicon glass, BSG)及/或其他合適的介電材料。可以通過旋轉塗佈、FCVD製程或其他合適的沉積技術沉積ILD層248。在一些實施例中,在形成ILD層248之後,可以對工件200進行退火以提高ILD層248的完整性(integrity)。為了去除多餘的材料並露出虛設閘極堆疊230的頂表面,可以對工件200執行平坦化製程,例如化學機械研磨(CMP)製程以提供平坦的頂表面。虛設閘極堆疊230的頂表面暴露在平坦的頂表面上。
參考第1、9A以及9B圖,方法100包括步驟120,去除虛設閘極堆疊230並且釋出通道構件2080。在步驟118通過平坦化露出虛設閘極堆疊230之後,通過選擇性蝕刻製程從工件200去除虛設閘極堆疊230。選擇性蝕刻製程可以是選擇性濕式蝕刻製程、選擇性乾式蝕刻製程或其組合。在所描繪的實施例中,選擇性蝕刻製程選擇性地去除虛設閘極堆疊230中的虛設介電層和虛設電極。虛設閘極堆疊230的去除導致通道區212C上方的閘極溝槽249。在去除虛設閘極堆疊230之後,通道區212C中的通道層208、犧牲層206以及包覆層216(第9A圖中未明確示出)在閘極溝槽249中露出。由於它們相似的組成,通道層208和包覆層216之間露出的犧牲層206可以被選擇性地去除以釋出通道層208以形成通道構件2080,如第9A圖所示。通道構件2080沿著Z方向垂直堆疊。犧牲層206以及包覆層216的選擇性去除可以通過選擇性乾式蝕刻、選擇性濕式蝕刻或其他選擇性蝕刻製程實現。在一些實施例中,選擇性濕式蝕刻包括APM蝕刻(例如,氫氧化氨-過氧化氫-水的混合物)。在一些替代實施例中,選擇性去除包括SiGe氧化,之後去除SiGe氧化物。例如,可以通過臭氧清潔提供氧化,之後通過例如NH4 OH的蝕刻劑去除SiGe氧化物。隨著去除通道區中的犧牲層206以及包覆層216,襯層222、通道構件2080、基部212B的頂表面以及隔離部件214在閘極溝槽249中露出。
參考第1、10A以及10B圖,方法100包括步驟122,形成包繞(wrap around)每個通道構件(members)2080的閘極結構250。閘極結構250包括界面層,在界面層之上的閘極介電層,以及在閘極介電層之上的閘極電極層。在一些實施例中,界面層包括氧化矽並且可以在預清潔製程中形成。示例的預清潔製程可以包括使用RCA SC-1(氨、過氧化氫以及水)及/或RCA SC-2(鹽酸、過氧化氫以及水)。預清潔製程氧化通道構件2080和基板202露出的表面以形成界面層。之後使用ALD、CVD及/或其他合適的方法將閘極介電層沉積在界面層上。閘極介電層可以包括高介電常數介電材料。如本揭露所使用和描述,高介電常數介電材料包括具有高介電常數的介電材料,例如,其介電常數大於熱氧化矽的介電常數(〜3.9)。在一個實施例中,閘極介電層可以包括氧化鉿。替代地,閘極介電層可以包括其他高介電常數介電質,例如TiO2 、HfZrO、Ta2 O5 、HfSiO4 、ZrO2 、ZrSiO2 、La2 O3 、Al2 O3 、ZrO、Y2 O3 、SrTiO3 (STO)、BaTiO3 (BTO)、BaZrO、HfLaO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba、Sr)TiO3 (BST)、SiN、SiON、其組合或其他合適的材料。在形成或沉積閘極介電層之後,在閘極介電層上方沉積閘極電極層。閘極電極層可以是包括至少一個功函數層和金屬填充層的多層結構。例如,至少一個功函數層可以包括氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、碳氮化鉭(TaCN)或碳化鉭(TaC)。金屬填充層可以包括鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、氮化鉭矽(TaSiN)、銅 (Cu)、其他耐火(refractory)金屬或其他合適的金屬材料或其組合。在各種實施例中,可以使用ALD、PVD、CVD、電子束蒸鍍或其他合適的製程形成閘極電極層。在各種實施例中,可以執行例如CMP製程的平坦化製程以去除過量材料以提供大抵平坦的閘極結構頂表面。參考第11A圖,閘極結構250包繞每個通道構件2080。
在一些實施例中,在工件200被翻轉以形成背側接觸件以及互連結構之前,形成前側接觸件以及互連結構。在第18A、18B以及18C圖所示的一些實施例中,可以形成前側汲極接觸件280穿過ILD層248和CESL 246以與汲極部件242D接觸。前側汲極接觸件280包括汲極矽化物部件282和汲極插塞284。在示例的製程中,形成前側汲極接觸開口穿過ILD層248和CESL 246以露出汲極部件242D。為了形成汲極矽化物部件282,在汲極部件242D露出的表面上方沉積金屬層,並執行退火製程以在金屬層和汲極部件242D之間引起矽化反應。合適的金屬層可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。汲極矽化物部件282可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。儘管未明確示出,但可以去除未形成汲極矽化物部件282的多餘金屬層。在形成汲極矽化物部件282之後,可以將金屬填充層沉積到前側汲極接觸開口中以形成汲極插塞284。金屬填充層可以包括鋁(Al)、銠(Rh)、釕(Ru)、銅(Cu)、銥(Ir)或鎢(W)。可以接著進行平坦化製程,例如CMP製程,以去除多餘的材料並提供平坦的頂表面。前側汲極接觸件280電性耦合至汲極部件242D。
雖然沒有明確示出,但是可以在工件200被翻轉之前在工件200上方形成前側互連結構。前側互連結構可以包括多個金屬間介電(intermetal dielectric, IMD)層以及每個IMD層中的多個金屬線或接觸導孔。在一些情況下,IMD層和ILD層248可以具有相似的組成。每個IMD層中的金屬線和接觸導孔可以由金屬形成,例如鋁(Al)、鎢(W)、釕(Ru)或銅(Cu)。在一些實施例中,金屬線和接觸導孔可以襯有阻障層以將金屬線和接觸導孔與IMD層絕緣並防止電遷移。
參考第1、11A、11B、11C、12A、12B、12C、13A、13B、13C、14A、14B以及14C圖,方法100包括步驟124,形成第二背側源極接觸開口2620。在一些實施例中,步驟124處的操作可以包括上下翻轉工件200(第11A、11B以及11C圖所示)、非等向性蝕刻基板202以露出虛設磊晶部件238(第12A、12B以及12C圖所示)、等向性且選擇性地蝕刻虛設磊晶部件238以露出第一磊晶層240(第13A、13B以及13C圖所示)以及沉積介電阻障層264並回蝕(第14A、14B以及14C圖所示)。為了上下翻轉工件200,載體基板(未明確示出)被接合到工件200(或前側互連結構)。在一些實施例中,載體基板可以通過熔融接合、通過使用黏著層或其組合而接合到工件200。在一些實施例中,載體基板可以由半導體材料(例如矽)、藍寶石、玻璃、聚合材料或其他合適的材料形成。 在使用熔融接合的實施例中,載體基板包括底部氧化層並且工件200包括頂部氧化層。底部氧化層和頂部氧化層都經過處理後,將它們設置為毛絨接觸(plush contact)彼此,以在室溫或高溫下直接接合。一旦載體基板結合到工件200,工件200被翻轉,如第11A、11B以及11C圖所示。如第12A、12B以及12C圖中代表性地所示,在翻轉工件200之後,工件200的背側被平坦化直到隔離部件(未明確示出)。
繼續第12A、12B以及12C圖,在工件200的背側上方形成圖案化的硬遮罩260。圖案化的硬遮罩260選擇性地露出源極區212S同時覆蓋汲極區212D。之後非等向性蝕刻基板202,直到在第一背側源極接觸開口262中露出虛設磊晶部件238。步驟124處的非等向性蝕刻可以是非等向性乾式蝕刻製程,其包括使用氧氣(O2 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體、其他合適的氣體及/或電漿及/或其組合。在一個實施例中,非等向性蝕刻乾式蝕刻可以包括使用溴化氫(HBr)、氧氣(O2 )以及氯氣(Cl2 )的混合物。在一些實施方式中,蝕刻劑流速可以在大約5標準立方公分每分鐘(standard cubic centi-meter per minute, SCCM)至大約200SCCM之間,腔室壓力在大約1mTorr至大約100mTorr之間,製程時間可以在大約5秒至大約180秒之間,並且偏置射頻(radio frequency, RF)功率在大約50W至大約250W之間。選擇性乾式蝕刻製程對基板202不具選擇性,並且也可以蝕刻虛設磊晶部件238。在形成第一背側源極接觸開口262之後,可以通過蝕刻、灰化或其他合適的製程去除圖案化的硬遮罩260。
現在參考第13A、13B以及13C圖,選擇性地及等向性地蝕刻在第一背側源極接觸開口262中露出的虛設磊晶部件238以露出第一磊晶層240。如圖所示,選擇性去除虛設磊晶部件238使第一背側源極接觸開口262朝向源極部件242S延伸以形成第二背側源極接觸開口2620。在一些實施例中,步驟124處的選擇性及等向性蝕刻可以是等向性乾式蝕刻,其包括含氟氣體(例如,氟氣(F2 )、NF3 、CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )以及氟化氫(HF)。在一個實施例中,選擇性及等向性乾式蝕刻可以包括使用氟氣(F2 )以及氟化氫(HF)的混合物。在一些實施方式中,蝕刻劑流速可以在大約5SCCM至大約200SCCM之間,腔室壓力在大約1mTorr至大約100mTorr之間,製程時間可以在大約5秒至大約180秒之間,並且電漿功率可以在大約50W至大約250W之間。應當注意的是,由於等向性蝕刻不是定向的(directional),等向性蝕刻的電漿由遠程電漿系統(remote plasma system, RPS)產生。如第13A、13B以及13C圖所示,由於第一背側源極接觸開口262的形成為非等向性,並且第一背側源極接觸開口262的延伸對虛設磊晶部件238具有選擇性,所以第二背側源極接觸開口2620包括階梯式的(step-wise)寬度變化。此處,階梯式的寬度變化是指第二背側源極接觸開口2620沿著X方向的寬度包括階梯變化(step change)。
現在參考第14A、14B以及14C圖。介電阻障層264沉積在工件200上方,之後被回蝕。在一些實施例中,介電阻障層264可以包括氮化矽。之後回蝕或回拉(pull back)介電阻障層264,使第二背側源極接觸開口2620的側壁被介電阻障層264覆蓋。如第14A、14B以及14C圖所示,回蝕不僅去除第一磊晶層240上的介電阻障層264,並且去除第一磊晶層240,從而露出源極部件242S。此處,第一磊晶層240用作防止對源極部件242S造成意外損壞的磊晶蝕刻停止層。在一些實施例中,可以使用CVD、ALD或合適的製程沉積介電阻障層264,並且回蝕可以包括使用非等向性蝕刻製程,其包括使用氮、氫、含氟氣體(例如NF3 、CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )、含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )、含溴氣體(例如HBr及/或CHBR3 )、含碘氣體、其他合適的氣體及/或電漿及/或其組合。
參考第1、15A、15B以及15C圖,方法100包括步驟126,形成背側源極接觸件270。在第二背側源極接觸開口2620中露出源極部件242S之後,在第二背側源極接觸開口2620中形成背側源極接觸件270。背側源極接觸件270可以包括源極矽化物部件266以及源極插塞268。為了形成源極矽化物部件266,在源極部件242S露出的表面上方沉積金屬層並且執行退火製程,以引起金屬層和源極部件242S之間的矽化反應。合適的金屬層可以包括鈦(Ti)、鉭(Ta)、鎳(Ni)、鈷(Co)或鎢(W)。源極矽化物部件266可以包括矽化鈦(TiSi)、氮化鈦矽(TiSiN)、矽化鉭(TaSi)、矽化鎢(WSi)、矽化鈷(CoSi)或矽化鎳(NiSi)。儘管未明確示出,但可以去除未形成源極矽化物部件266的多餘金屬層。在形成源極矽化物部件266之後,可以將金屬填充層沉積到第二背側源極接觸開口2620中以形成源極插塞268。金屬填充層可以包括鎢(W)、釕(Ru)、銅(Cu)、鈷(Co)、鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鈦(TaN)、鉬(Mo)或鎳(Ni)。可以接著進行平坦化製程,例如CMP製程,以去除多餘的材料並提供平坦的頂表面。背側源極接觸件270電性耦合至源極部件242S。
參考第1、16A、16B、16C、17A、17B以及17C圖,方法100包括步驟128,以背側介電層278替代基板202。如第15A、15B以及15C圖所示,在步驟126的操作結束時,剩餘的基板202被由不同材料形成的部件圍繞,包括隔離部件214、介電阻障層264以及背側源極接觸件270。此種配置允許選擇性地去除剩餘的基板202,如第16A、16B以及16C圖所示。在一些實施例中,可以使用選擇性濕式蝕刻或選擇性乾式蝕刻以選擇性去除基板202。示例的選擇性濕式蝕刻製程可以包括硝酸和氫氟酸的混合物或四甲基氫氧化銨(tetramethylammonium hydroxide, TMAH)溶液。示例的選擇性乾式蝕刻製程可以包括含氟氣體(例如NF3 、CF4 、SF6 、CH2 F2 、CHF3 及/或C2 F6 )以及含氯氣體(例如Cl2 、CHCl3 、CCl4 及/或BCl3 )。現在參考第17A、17B以及17C圖,在選擇性地去除剩餘的基板202之後,使用CVD、ALD或合適的沉積技術在工件200的背側上方沉積襯層276。襯層276可以包括氮化矽。之後在襯層276上沉積背側介電層278。背側介電層278可以包括氧化矽並且可以使用旋轉塗佈、CVD或電漿輔助CVD(PECVD)沉積。可以執行平坦化製程,例如CMP製程,以去除多餘的材料。
在所描繪的實施例中,第二背側源極接觸件開口2620的階梯式輪廓可以導致背側源極接觸件270的階梯式輪廓。如第17A圖所示,背側源極接觸件270包括相鄰源極部件242S的第一部分270-1,以及遠離源極部件242S的第二部分270-2。第一部分270-1包括沿著X方向的第一寬度W1以及沿著Z方向的第一高度H1。第二部分270-2包括沿著X方向的第二寬度W2以及沿著Z方向的第二高度H2。在一些實施例中,第二高度H2等於或大於第一高度H1並且第一寬度W1不同於第二寬度W2。在一些實施例中,第二高度H2與第一高度H1的比例在大約1至大約2之間。在這些實施方式中,第一高度H1可以在大約1nm至大約30nm之間,並且第二高度H2可以在大約1nm至大約30nm之間。在一些替代實施例中,第一高度H1小於第二高度H2。在所描繪的實施例中,具有從第一寬度W1至第二寬度W2的階梯式過渡(step-wise transition)。也就是說,從第一寬度W1至第二寬度W2的變化並非漸進的(gradual)。背側源極接觸件270的此種階梯式寬度變化使其與具有錐形(tapered)輪廓的接觸件區分開來,其寬度變化為漸進且連續的。在第17A圖所示的實施例中,第二寬度W2大於第一寬度W1。在一些實施例中,第二寬度W2與第一寬度W1的比例在大約1.1至大約2.5之間。在這些實施例中,第二寬度W2可以在大約6nm至大約20nm之間,並且第一寬度W1可以在大約5nm至大約15nm之間。
參考第1圖,方法100包括步驟130,執行進一步製程。上述進一步的製程可以包括例如形成背側電源軌(未示出)。在示例的製程中,具有相似於ILD層248的組成的絕緣層可以沉積在工件200的背側之上,包括在背側介電層278、隔離部件214以及背側源極接觸件270之上。然後,可以在絕緣層中圖案化電源軌溝槽。之後將阻障層和金屬填充材料沉積到電源軌溝槽中以形成背側電源軌。在一些實施例中,背側電源軌中的阻障層可以包括氮化鈦、氮化鉭、氮化鈷、氮化鎳或氮化鎢,並且背側電源軌中的金屬填充材料可以包括鈦(Ti)、釕(Ru)、銅(Cu)、鎳(Ni)、鈷(Co)、鎢(W)、鉭(Ta)或鉬(Mo)。阻障層和金屬填充層可以使用PVD、CVD、ALD或化鍍沉積。可以執行平坦化製程,例如CMP製程,以去除絕緣層上方的多餘材料。
在第17A以及18A圖所示的實施例中,第一部分270-1和第二部分270-2沿著Z方向對準。即,第一部分270-1的中心線與第二部分270-2的中心線重合(coincide)。在第19圖所示的一些替代實施例中,當形成第一背側源極接觸開口262時,偏置(offset)的背側源極接觸件271可能由不完美的遮罩覆蓋所致。偏置背側源極接觸件271包括第一部分270-1和偏置第二部分270-2’。如第19圖所示,偏置背側源極接觸件271的第二部分270-2’沿著Z方向與第一部分270-1不完全地對準。即,第二部分270-2’的中心線與第一部分270-1的中心線偏置。第19圖中所示的偏置顯示本揭露的益處。即使當第一背側源極接觸開口262未對準時,它也會在虛設磊晶部件238的水平處附近停止,而不會一直延伸到閘極結構250。如果允許第一背側源極接觸開口262切入閘極結構250,則閘極結構250將與源極部件242S造成短路,導致MBC電晶體存在缺陷。
在第17A以及18A圖所示的實施例中,第二寬度W2大於第一寬度W1。第二部分270-2沿著X方向比第一部分270-1寬。在第20圖所示的一些替代實施例中,當第一背側源極接觸開口262比虛設磊晶部件238窄時,可以形成替代的背側源極接觸件272。如第20圖所示,替代的背側源極接觸件272包括第一部分270-1和替代的第二部分270-2’’。替代的第二部分270-2’’具有小於第一部分270-1的第一寬度W1的第三寬度W3。在一些實施例中,第三寬度W3介於大約4nm至大約13nm之間。
在第17A、18A、19以及20圖所示的工件200中,由於沒有形成背側汲極接觸件以耦合至汲極部件242D,所以保留了汲極區212D中的虛設磊晶部件238和第一磊晶層240。如第17A、18A、19以及20圖所示,第一磊晶層240與汲極部件242D接觸並設置在虛設磊晶部件238和汲極部件242D之間。
本揭露的一些實施例提供一些益處。例如,本揭露的方法包括將虛設磊晶部件形成在延伸至基板中的源極開口之中。當形成背側源極接觸開口時,首先非等向性蝕刻基板以形成第一背側源極接觸開口以露出虛設磊晶部件,之後選擇性地且等向性地去除虛設磊晶部件。作為兩步驟蝕刻製程的結果,所得的背側源極接觸件包括階梯式的寬度變化。此外,當未形成背側汲極接觸件時,虛設磊晶部件可以保留在汲極區中。此種背側源極接觸開口的兩步驟形成製程有益於防止閘極結構和源極部件之間的短路。
在一個示例方面,本揭露提供一種半導體結構,包括:磊晶源極部件以及磊晶汲極部件;通道構件(members)的垂直堆疊,設置在背側介電層上方,通道構件的垂直堆疊沿著方向在磊晶源極部件以及磊晶汲極部件之間延伸;閘極結構,包繞(wrap around)垂直堆疊的每個通道構件;以及背側源極接觸件,設置在背側介電層之中,其中背側源極接觸件包括頂部以及底部,頂部相鄰磊晶源極部件並且底部遠離磊晶源極部件,其中頂部以及底部沿著上述方向包括階梯式(step)寬度變化。
在一些實施例中,更包括:前側汲極接觸件,在磊晶汲極部件上方。
在一些實施例中,沿著上述方向,頂部的寬度大於底部的寬度。
在一些實施例中,沿著上述方向,頂部的寬度小於底部的寬度。
在一些實施例中,底部的中心線從頂部的中心線偏置(offset)。
在一些實施例中,更包括虛設磊晶部件,嵌入在背側介電層之中。
在一些實施例中,磊晶汲極部件包括第一磊晶層以及第二磊晶層,第一磊晶層與虛設磊晶部件接觸,且第二磊晶層在第一磊晶層之上。
在一些實施例中,第二磊晶層與通道構件的垂直堆疊接觸,且第一磊晶層與通道構件的垂直堆疊隔開(spaced apart)。
在另一個示例方面,本揭露提供一種半導體結構,包括:源極部件以及汲極部件;通道構件,設置在背側介電層上方,通道構件沿著第一方向在源極部件以及汲極部件之間延伸,通道構件沿著第二方向堆疊,第二方向垂直於第一方向;閘極結構,包繞每個通道構件;以及背側源極接觸件,設置在背側介電層之中,背側源極接觸件包括第一部分以及第二部分,第一部分相鄰源極部件且第二部分遠離源極部件,其中第一部分包括沿著第一方向的第一寬度,且第二部分包括沿著第一方向的第二寬度,其中第一部分包括沿著第二方向的第一高度,且第二部分包括沿著第二方向的第二高度,其中第一寬度不同於第二寬度,其中第一高度小於第二高度。
在一些實施例中,第二高度與第一高度的比例在大約1.1以及大約2之間。
在一些實施例中,第二部分的中心線從第一部分的中心線偏置。
在一些實施例中,更包括虛設磊晶部件,嵌入於背側介電層之中。
在一些實施例中,汲極部件包括第一磊晶層以及第二磊晶層,第一磊晶層與虛設磊晶部件接觸,且第二磊晶層在第一磊晶層上方。
在一些實施例中,第一磊晶層以及第二磊晶層包括摻質,在第二磊晶層中的摻質的濃度大於在第一磊晶層中的摻質的濃度。
在一些實施例中,第二磊晶層與通道構件接觸,且第一磊晶層與通道構件隔開。
在又一個示例方面,本揭露提供形成半導體結構的方法,包括:在基板上方形成堆疊,堆疊包括與犧牲層交錯(interleaved)的通道層;圖案化堆疊以及基板以形成鰭狀結構;形成源極開口以及汲極開口;在源極開口以及汲極開口之中沉積虛設磊晶部件;在源極開口之中形成源極部件以及在汲極開口之中形成汲極部件,源極部件以及汲極部件設置在虛設磊晶部件上方;非等向性地蝕刻基板以形成背側接觸開口,背側接觸開口露出源極部件的虛設磊晶部件;選擇性地以及等向性地蝕刻虛設磊晶部件;以及在背側接觸開口之中形成背側源極接觸件,以耦合至源極部件。
在一些實施例中,非等向性地蝕刻的步驟包括使用溴化氫、氧或氯。
在一些實施例中,選擇性地以及等向性地蝕刻的步驟包括使用氟以及氟化氫。
在一些實施例中,更包括:在形成源極部件以及汲極部件之前,在虛設磊晶部件上方沉積磊晶層。
在一些實施例中,更包括:在形成背側源極接觸件之前,在源極開口上方沉積介電阻障層;以及回蝕介電阻障層,回蝕也去除在源極部件上方的磊晶層。
以上概述數個實施例之特徵,以使本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。本發明所屬技術領域中具有通常知識者應理解,可輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且可在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:方法 102:步驟 104:步驟 106:步驟 108:步驟 110:步驟 112:步驟 114:步驟 116:步驟 118:步驟 120:步驟 122:步驟 124:步驟 126:步驟 128:步驟 130:步驟 200:裝置 202:基板 204:堆疊 206:犧牲層 208:通道層 210:硬遮罩層 212:鰭狀結構 214:隔離部件 216:包覆層 220:介電鰭片 222:襯層 224:填充層 226:罩層 230:虛設閘極堆疊 232:閘極間隔物 236:內間隔物部件 238:虛設磊晶部件 240:第一磊晶層 242:第二磊晶層 246:接觸蝕刻停止層 248:層間介電層 249:溝槽 250:閘極結構 260:硬遮罩 262:開口 264:介電阻障層 266:矽化物部件 268:插塞 270:接觸件 271:接觸件 272:接觸件 276:襯層 278:介電層 280:接觸件 282:矽化物部件 284:插塞 2080:通道構件 2620:開口 212B:基部 212C:通道區 212D:汲極區 212S:源極區 212T:頂部 235D:汲極開口 235S:源極開口 242D:汲極部件 242S:源極部件 270-1:第一部分 270-2:第二部分 270-2’:第二部分 270-2’’:第二部分 H1:高度 H2:高度 W1:寬度 W2:寬度 W3:寬度
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小單元的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據本揭露的一個或多個方面,繪示用於形成具有背側接觸件的半導體裝置的方法流程圖。 第2A至17A、2B至17B以及10C至17C圖係根據本揭露的一或多個方面,繪示根據第1圖的方法在製造製程期間工件的局部剖面圖。 第18A、18B以及18C圖係根據本揭露的一個或多個方面,繪示使用第1圖的方法製造的替代半導體結構。 第19以及20圖係根據本揭露的一個或多個方面,繪示使用第1圖的方法製造的替代半導體結構。
200:裝置
2080:通道構件
212C:通道區
212D:汲極區
212S:源極區
236:內間隔物部件
238:虛設磊晶部件
240:第一磊晶層
242D:汲極部件
242S:源極部件
250:閘極結構
270:接觸件
270-1:第一部分
270-2:第二部分
276:襯層
278:介電層
H1:高度
H2:高度
W1:寬度
W2:寬度

Claims (14)

  1. 一種半導體結構,包括:一磊晶源極部件以及一磊晶汲極部件;多個通道構件(members)的一垂直堆疊,設置在一背側介電層上方,該些通道構件的該垂直堆疊沿著一方向在該磊晶源極部件以及該磊晶汲極部件之間延伸;一閘極結構,包繞(wrap around)該垂直堆疊的每個通道構件;一虛設磊晶部件,嵌入在該背側介電層之中;以及一背側源極接觸件,設置在該背側介電層之中,其中該背側源極接觸件包括一頂部以及一底部,該頂部相鄰該磊晶源極部件並且該底部遠離該磊晶源極部件,其中該頂部以及該底部沿著該方向包括一階梯式(step)寬度變化。
  2. 如請求項1所述之半導體結構,更包括:一前側汲極接觸件,在該磊晶汲極部件上方。
  3. 如請求項1所述之半導體結構,其中沿著該方向,該頂部的一寬度大於該底部的一寬度。
  4. 如請求項1所述之半導體結構,其中沿著該方向,該頂部的一寬度小於該底部的一寬度。
  5. 如請求項1至4中任一項所述之半導體結構,其中該底部的一中心線從該頂部的一中心線偏置(offset)。
  6. 如請求項1所述之半導體結構,其中該磊晶汲極部件包括一第一磊晶層以及一第二磊晶層,該第一磊晶層與該虛設磊晶部件接觸,且該第 二磊晶層在該第一磊晶層之上。
  7. 如請求項6所述之半導體結構,其中該第二磊晶層與該些通道構件的該垂直堆疊接觸,且該第一磊晶層與該些通道構件的該垂直堆疊隔開(spaced apart)。
  8. 一種半導體結構,包括:一源極部件以及一汲極部件;複數個通道構件,設置在一背側介電層上方,該複數個通道構件沿著一第一方向在該源極部件以及該汲極部件之間延伸,該複數個通道構件沿著一第二方向堆疊,該第二方向垂直於該第一方向;一閘極結構,包繞每個通道構件;以及一背側源極接觸件,設置在該背側介電層之中,該背側源極接觸件包括一第一部分以及一第二部分,該第一部分相鄰該源極部件且該第二部分遠離該源極部件,其中該第一部分包括沿著該第一方向的一第一寬度,且該第二部分包括沿著該第一方向的一第二寬度,其中該第一部分包括沿著該第二方向的一第一高度,且該第二部分包括沿著該第二方向的一第二高度,其中該第一寬度不同於該第二寬度,其中該第一高度小於該第二高度,其中該閘極結構藉由一襯層與該背側介電層分開,其中該背側介電層的組成與該襯層的組成不同。
  9. 如請求項8所述之半導體結構,其中該第二高度與該第一高 度的比例在大約1.1以及大約2之間。
  10. 一種形成半導體結構的方法,在一基板上方形成一堆疊,該堆疊包括與複數個犧牲層交錯(interleaved)的複數個通道層;圖案化該堆疊以及該基板以形成一鰭狀結構;形成一源極開口以及一汲極開口;在該源極開口以及該汲極開口之中沉積一虛設磊晶部件;在該源極開口之中形成一源極部件以及在該汲極開口之中形成一汲極部件,該源極部件以及該汲極部件設置在該虛設磊晶部件上方;非等向性地蝕刻該基板以形成一背側接觸開口,該背側接觸開口露出該源極部件的該虛設磊晶部件;選擇性地以及等向性地蝕刻該虛設磊晶部件;以及在該背側接觸開口之中形成一背側源極接觸件,以耦合至該源極部件。
  11. 如請求項10所述之形成半導體結構的方法,其中該非等向性地蝕刻的步驟包括使用溴化氫、氧或氯。
  12. 如請求項10所述之形成半導體結構的方法,其中該選擇性地以及等向性地蝕刻的步驟包括使用氟以及氟化氫。
  13. 如請求項10至12中任一項所述之形成半導體結構的方法,更包括:在形成該源極部件以及該汲極部件之前,在該虛設磊晶部件上方沉積一磊晶層。
  14. 如請求項13所述之形成半導體結構的方法,更包括: 在形成該背側源極接觸件之前,在該源極開口上方沉積一介電阻障層;以及回蝕該介電阻障層,其中該回蝕也去除在該源極部件上方的該磊晶層。
TW110129442A 2020-08-31 2021-08-10 半導體結構及其形成方法 TWI792483B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063072476P 2020-08-31 2020-08-31
US63/072,476 2020-08-31
US17/112,293 US11588050B2 (en) 2020-08-31 2020-12-04 Backside contact
US17/112,293 2020-12-04

Publications (2)

Publication Number Publication Date
TW202211472A TW202211472A (zh) 2022-03-16
TWI792483B true TWI792483B (zh) 2023-02-11

Family

ID=78892949

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129442A TWI792483B (zh) 2020-08-31 2021-08-10 半導體結構及其形成方法

Country Status (5)

Country Link
US (2) US11588050B2 (zh)
KR (1) KR102495805B1 (zh)
CN (1) CN113809076A (zh)
DE (1) DE102020134644B4 (zh)
TW (1) TWI792483B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11723218B2 (en) * 2020-06-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US20220359676A1 (en) * 2021-05-05 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric Source/Drain for Backside Source Contact
US20230411289A1 (en) * 2022-05-24 2023-12-21 International Business Machines Corporation Self-aligned backside contact with increased contact area

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160284821A1 (en) * 2013-03-15 2016-09-29 Intel Corporation Nanowire transistor with underlayer etch stops
TW201817011A (zh) * 2016-07-01 2018-05-01 英特爾公司 用於帶有雙面金屬化之半導體裝置的背面接觸電阻降低之技術
US20200091348A1 (en) * 2018-09-18 2020-03-19 Intel Corporation Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US20200294998A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Backside contacts for semiconductor devices
US20200381525A1 (en) * 2015-09-25 2020-12-03 Intel Corporation Backside contact structures and fabrication for metal on both sides of devices

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402866B2 (en) * 2006-06-27 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Backside contacts for MOS devices
KR101275758B1 (ko) * 2007-07-20 2013-06-14 삼성전자주식회사 복수개의 적층된 트랜지스터들을 구비하는 반도체 소자 및그 제조방법
WO2017052562A1 (en) 2015-09-24 2017-03-30 Intel Corporation Methods of forming backside self-aligned vias and structures formed thereby
TWI622171B (zh) * 2016-06-24 2018-04-21 財團法人國家實驗研究院 異質整合半導體裝置及其製造方法
US9997607B2 (en) * 2016-06-30 2018-06-12 International Business Machines Corporation Mirrored contact CMOS with self-aligned source, drain, and back-gate
US9780210B1 (en) 2016-08-11 2017-10-03 Qualcomm Incorporated Backside semiconductor growth
WO2018106233A1 (en) * 2016-12-07 2018-06-14 Intel Corporation Integrated circuit device with crenellated metal trace layout
US10944009B2 (en) * 2018-10-31 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating a FinFET device with wrap-around silicide source/drain structure
KR20200134362A (ko) * 2019-05-21 2020-12-02 삼성전자주식회사 반도체 소자
US11081559B1 (en) * 2020-01-31 2021-08-03 Qualcomm Incorporated Backside contact of a semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160284821A1 (en) * 2013-03-15 2016-09-29 Intel Corporation Nanowire transistor with underlayer etch stops
US20200381525A1 (en) * 2015-09-25 2020-12-03 Intel Corporation Backside contact structures and fabrication for metal on both sides of devices
TW201817011A (zh) * 2016-07-01 2018-05-01 英特爾公司 用於帶有雙面金屬化之半導體裝置的背面接觸電阻降低之技術
US20200091348A1 (en) * 2018-09-18 2020-03-19 Intel Corporation Gate-all-around integrated circuit structures having asymmetric source and drain contact structures
US20200294998A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Backside contacts for semiconductor devices

Also Published As

Publication number Publication date
CN113809076A (zh) 2021-12-17
US20220367705A1 (en) 2022-11-17
TW202211472A (zh) 2022-03-16
US11588050B2 (en) 2023-02-21
KR20220029302A (ko) 2022-03-08
DE102020134644A1 (de) 2022-03-03
DE102020134644B4 (de) 2022-10-13
US20220069117A1 (en) 2022-03-03
KR102495805B1 (ko) 2023-02-06

Similar Documents

Publication Publication Date Title
US11355611B2 (en) Multi-gate device and method of fabrication thereof
US11532627B2 (en) Source/drain contact structure
CN109801914B (zh) 衬底和隔离结构之间的蚀刻停止层
TWI792483B (zh) 半導體結構及其形成方法
US11948987B2 (en) Self-aligned backside source contact structure
TW202205449A (zh) 半導體裝置及其形成方法
TWI793675B (zh) 半導體裝置及其形成方法
TW202213789A (zh) 半導體結構之製造方法
TW202234526A (zh) 半導體裝置及其形成方法
TWI801864B (zh) 半導體裝置及其形成方法
US20230369405A1 (en) Source/drain features
US20230335435A1 (en) Integrated circuit structure and manufacturing method thereof
US20230197802A1 (en) Connection between gate and source/drain feature
TWI768893B (zh) 半導體裝置及其形成方法
US20220285512A1 (en) Semiconductor Device With Gate Isolation Features And Fabrication Method Of The Same
TWI790157B (zh) 接點插塞
US11152475B2 (en) Method for forming source/drain contacts utilizing an inhibitor
TW202217976A (zh) 半導體裝置、其形成方法及半導體結構
TW202201495A (zh) 積體電路裝置之製造方法
US11942371B2 (en) Etch profile control of via opening
US11670691B2 (en) Method for forming source/drain contacts utilizing an inhibitor
US20230061857A1 (en) Source/drain structures
TW202418473A (zh) 半導體結構及其製造方法