TWI793675B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI793675B
TWI793675B TW110125991A TW110125991A TWI793675B TW I793675 B TWI793675 B TW I793675B TW 110125991 A TW110125991 A TW 110125991A TW 110125991 A TW110125991 A TW 110125991A TW I793675 B TWI793675 B TW I793675B
Authority
TW
Taiwan
Prior art keywords
layer
gate
metal layer
dielectric
gate structure
Prior art date
Application number
TW110125991A
Other languages
English (en)
Other versions
TW202221793A (zh
Inventor
游家權
張家豪
江國誠
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/952,812 external-priority patent/US11450662B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202221793A publication Critical patent/TW202221793A/zh
Application granted granted Critical
Publication of TWI793675B publication Critical patent/TWI793675B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66484Unipolar field-effect transistors with an insulated gate, i.e. MISFET with multiple gate, at least one gate being an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

依據本發明實施例的半導體裝置包含沿一方向對齊的第一閘極結構和第二閘極結構、設置於第一閘極結構上方的第一金屬層、設置於第二閘極結構上方的第二金屬層以及延伸於第一閘極結構與第二閘極結構之間以及第一金屬層與第二金屬層之間的閘極隔離結構。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件或線路)縮小。此元件尺寸微縮化的製程提供增加生產效率與降低相關費用的益處。此元件尺寸微縮化也增加了加工和製造積體電路的複雜性。
舉例來說,積體電路(IC)技術朝向較小的技術節點進步,已引進多閘極裝置透過增加閘極通道耦合、降低關態電流及減少短通道效應(short-channel effects,SCEs)來改善閘極控制。多閘極裝置一般代表具有閘極結構或閘極結構的一部分設置於通道區多於一面上方的裝置。鰭式場效電晶體(fin-like field effect transistors,FinFETs)和多橋接通道(multi-bridge-channel,MBC)電晶體為多閘極裝置的範例,多閘極裝置已成為高效能和低漏電應用的流行及有希望的候選裝置。鰭式場效電晶體具有透過閘極環繞多於一面(例如閘極環繞從基底延伸的半導體材料的“鰭”的頂部和側壁)之抬升的通道。多橋接通道電晶體具有可延伸以部分或完全環繞通道區的閘極結構,以在兩面或多於兩面上提供到通道區的路徑。由於多橋接通道電晶體的閘極結構圍繞通道區,因此多橋接通道電晶體也可被稱為環繞式閘極電晶體(surrounding gate transistor,SGT)或全繞式閘極(gate-all-around,GAA)電晶體。多橋接通道電晶體的通道區可從奈米線、奈米片或其他奈米結構形成。因此,多橋接通道電晶體也可被稱為奈米線電晶體或奈米片電晶體。
多閘極電晶體的閘極切割部件或介電鰭定義閘極結構的填充裕度。當增加了閘極切割部件或介電鰭的寬度以降低相鄰閘極結構之間的寄生電容時,可降低填充裕度,使得難以形成令人滿意的閘極結構。雖然傳統閘極切割部件或介電鰭一般對於其預期目的為足夠的,但是傳統閘極切割部件或介電鰭非在所有方面都令人滿意。
在一些實施例中,提供半導體裝置,半導體裝置包含第一閘極結構和第二閘極結構,沿一方向對齊;第一金屬層,設置於第一閘極結構上方;第二金屬層,設置於第二閘極結構上方;以及閘極隔離結構,延伸於第一閘極結構與第二閘極結構之間以及第一金屬層與第二金屬層之間。
在一些其他實施例中,提供半導體裝置,半導體裝置包含第一複數個通道元件,垂直堆疊;第二複數個通道元件,垂直堆疊;第一閘極結構,設置於第一複數個通道元件的每一者上方並環繞第一複數個通道元件的每一者,其中第一閘極結構包含:第一閘極介電層;及第一電極層,位於第一閘極介電層上方;第二閘極結構,設置於第二複數個通道元件的每一者上方並環繞第二複數個通道元件的每一者,其中第二閘極結構包含:第二閘極介電層;及第二電極層,位於第二閘極介電層上方;第一金屬層,設置於第一閘極結構上方;第二金屬層,設置於第二閘極結構上方;以及閘極隔離結構,延伸於第一閘極結構與第二閘極結構之間以及第一金屬層與第二金屬層之間,其中閘極隔離結構直接接觸第一電極層和第二電極層。
在另外一些實施例中,提供半導體裝置的形成方法,此方法包含接收工件,包含:第一介電鰭、第二介電鰭和第三介電鰭;第一閘極結構,設置於第一介電鰭與第二介電鰭之間;及第二閘極結構,設置於第二介電鰭與第三介電鰭之間;在第一閘極結構和第二閘極結構上選擇性沉積第一金屬層;選擇性移除第二介電鰭,以形成隔離溝槽;以及在隔離溝槽中沉積介電材料,以形成閘極隔離結構。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
再者,當用“大約”、“近似”及類似術語描述數字或數字範圍時,此術語目的在涵蓋在考慮到本發明所屬技術領域中具通常知識者可理解之製造期間固有出現的變化時的合理範圍內的數字。舉例來說,基於與製造具有與數字相關聯的特徵的部件有關的已知製造公差,數字或數字範圍包含所描述數字的合理的範圍,例如在所描述數字的+/-10%之內。舉例來說,具有厚度“約5nm”的材料層涵蓋了尺寸範圍從4.25nm至5.75nm,其中與本發明所屬技術領域中具通常知識者已知的與沉積材料層相關的製造公差為+/-15%。再者,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
本發明實施例一般有關於用以降低寄生電容的隔離結構,且特別有關於設置於閘極結構之間的隔離結構。
對於多閘極電晶體,例如鰭式場效電晶體或多橋接通道電晶體,閘極切割部件(或介電鰭)用於形成隔離的閘極結構。由於介電鰭突出於主動區之上,因此在沉積閘極結構層並將閘極結構層平坦化之後,介電鰭將閘極結構層隔開為兩個閘極結構。隨著裝置尺寸持續縮小,相鄰裝置部件之間的寄生電容降低了裝置效能。舉例來說,相鄰閘極結構可能帶有寄生電容,進而降低了開關速度。雖然可使介電鰭變寬以增加相鄰閘極結構之間的距離,但是這種尺寸的增加與整體趨勢相反,且可能需要縮小用於閘極結構的填充裕度,以補償較寬的介電鰭。較小的填充裕度可導致形成閘極結構的製程裕度變小,並降低良率。
本發明實施例提供閘極隔離結構的形成方法,此方法縮小閘極間寄生電容,而不犧牲閘極形成裕度和良率。本發明實施例的方法提供形成介電鰭,在介電鰭上方沉積閘極結構層,將閘極結構層平坦化以形成閘極結構,在閘極結構上選擇性沉積金屬層,移除介電鰭以形成隔離溝槽,以及在隔離溝槽中形成閘極隔離結構。介電鰭的移除也移除了閘極結構中的閘極介電層的一部分,使得閘極隔離結構直接接觸閘極結構的閘極電極層。閘極隔離結構包含在閘極結構之間的下部以及設置於金屬層的一部分之間的上部。在一些範例中,沿閘極結構之間的方向,下部的寬度大於上部的寬度。相較於介電鰭,本發明實施例的閘極隔離結構較寬,並降低閘極間寄生電容,同時閘極填充裕度保持不變。
以下將參考圖式更詳細地描述本發明實施例的各方面。第1A和1B圖共同顯示形成半導體裝置的方法100的流程圖。方法100僅為範例,且不意圖將本發明實施例限制在方法100所明確顯示的內容中。可在方法100之前、期間及之後提供額外的步驟,且對於方法的其他實施例,可取代、消除或移動所描述的一些步驟。為了簡單起見,本文並未詳細描述所有步驟。以下結合第2-31圖描述方法100,第2-31圖顯示依據方法100的實施例,在製造的不同階段之工件200的局部透視圖或局部剖面示意圖。由於工件200將形成為半導體裝置,因此在上下文中,工件200有時也可被稱為半導體裝置。雖然圖式顯示包含多橋接通道電晶體的實施例,但是本發明實施例不限於此,且可應用至其他多閘極裝置,例如鰭式場效電晶體。在第2-31圖中,X方向、Y方向和Z方向彼此垂直,且被一致地使用。舉例來說,一個圖式中的X方向平行於不同圖式中的X方向。此外,在本發明實施例中,使用相似的參考符號標註相似的部件。
請參照第1A和2圖,方法100包含方塊102,其中接收工件200。如第2圖所示,工件200包含基底202和設置於基底202上的堆疊物204。在一實施例中,基底202可為矽(Si)基底。在一些其他實施例中,基底202可包含其他半導體材料,例如鍺(Ge)、矽鍺(SiGe)或第III-V族半導體材料。例示性的第III-V族半導體材料可包含砷化鎵(GaAs)、磷化銦(InP)、磷化鎵(GaP)、氮化鎵(GaN)、磷化砷鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、磷化鎵銦(GaInP)和砷化銦鎵(InGaAs)。基底202也可包含絕緣層,例如氧化矽層,以具有絕緣層上覆矽(silicon-on-insulator,SOI)結構或絕緣層上覆鍺(germanium-on-insulator,GeOI)結構。在一些實施例中,基底202可包含一個或多個井區,例如用以形成不同類型的裝置,摻雜n型摻雜物(即磷(P)或砷(As))的n型井區或摻雜p型摻雜物(即硼(B))的p型井區。n型井和p型井的摻雜可透過使用離子佈植或熱擴散形成。
請參照第2圖,堆疊物204可包含複數個通道層208和複數個犧牲層206交錯。通道層208和犧牲層206可具有不同的半導體組成。在一些實施例中,通道層208由矽(Si)形成,且犧牲層206由矽鍺(SiGe)形成。在這些實施例中,在犧牲層206中額外的鍺含量允許犧牲層206的選擇性移除或凹陷,而不會對通道層208造成實質性損壞。在一些實施例中,犧牲層206和通道層208可透過使用磊晶製程沉積。堆疊物204可透過使用化學氣相沉積(chemical vapor deposition,CVD)沉積技術(例如氣相磊晶(vapor-phase epitaxy,VPE)及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD))、分子束磊晶(molecular beam epitaxy,MBE)及/或其他合適的製程來磊晶沉積。可交替、依序沉積犧牲層206和通道層208,以形成堆疊物204。應當注意的是,第2圖顯示交替且垂直排列的5層犧牲層206和4層通道層208,顯示的數量僅為顯示目的,並不意圖將本發明實施例限制於請求項中明確敘述的範圍之外。層的數量取決於所期望之工件200的通道元件的數量。在一些實施例中,通道層208的數量在2與10之間。
請參照第1A和2圖,方法100包含方塊104,其中在堆疊物204上方沉積第一硬遮罩層210。第一硬遮罩層210作為蝕刻遮罩,以將堆疊物204和基底202的一部分圖案化。在一些實施例中,第一硬遮罩層210可透過使用化學氣相沉積、電漿輔助化學氣相沉積(plasma-enhanced CVD,PECVD)、原子層沉積(atomic layer deposition,ALD)、電漿輔助原子層沉積(plasma-enhanced ALD,PEALD)或合適的沉積方法來沉積。第一硬遮罩層210可為單一層或多層。當第一硬遮罩層210為多層時,第一硬遮罩層210包含第一層和沉積於第一層上方的第二層。在一實施例中,第一層可為墊氧化物層,且第二層可為墊氮化物層。在另一實施例中,第一層由矽鍺(SiGe)形成,且第二層由矽(Si)形成。
請參照第1A、3和4圖,方法100包含方塊106,其中形成鰭狀結構212。在一些實施例中,將堆疊物204和基底202的一部分圖案化,以形成鰭狀結構212。如第3圖所示,每個鰭狀結構212包含由基底202的一部分形成基部212B以及由堆疊物204形成的頂部212T。頂部212T設置於基部212B上方。鰭狀結構212沿X方向縱向延伸,且從基底202沿Z方向垂直延伸。鰭狀結構212可透過合適的製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一實施例中,材料層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化材料層旁邊。接著,移除材料層,且可接著使用剩下的間隔物或心軸將第一硬遮罩層210圖案化,並接著透過蝕刻堆疊物204和基底202,可使用圖案化的第一硬遮罩層210將鰭狀結構212圖案化。蝕刻製程可包含乾蝕刻、濕蝕刻、反應性離子蝕刻(reactive ion etching,RIE)及/或其他合適的製程。
在一些實施例中,在鰭狀結構212上方可沉積半導體襯墊214,如第4圖所示。半導體襯墊214可包含矽(Si)或富矽矽鍺(SiGe)。在一些實施例中,半導體襯墊214可透過使用原子層沉積、電漿輔助原子層沉積、氣相磊晶、分子束磊晶或合適的方法沉積。在使用氣相磊晶或分子束磊晶的一些實施例中,選擇製程條件,使得半導體襯墊214的沉積對堆疊物204和基底202的表面不是選擇性的。在這些實施例中,半導體襯墊214也沉積於第一硬遮罩層210的頂表面和側壁上方。在第一硬遮罩層210包含半導體材料的一些其他實施例中,可選擇氣相磊晶或分子束磊晶製程的製程條件,使得半導體襯墊214的沉積對半導體材料的表面有選擇性。
請參照第1A和5圖,方法100包含方塊108,其中形成隔離部件216。在形成鰭狀結構212之後,在相鄰鰭狀結構212之間形成第5圖所示的隔離部件216。隔離部件216也可被稱為淺溝槽隔離(shallow trench isolation,STI)部件。在一範例製程中,先在工件200上方的半導體襯墊214上方沉積隔離部件216的介電材料,以介電材料填充相鄰鰭狀結構212之間的溝槽。在一些實施例中,介電材料可包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低介電常數介電質、前述之組合及/或其他合適的材料。在各種範例中,介電材料可透過化學氣相沉積製程、次常壓化學氣相沉積(subatmospheric CVD,SACVD)製程、可流動化學氣相沉積(flowable CVD,FCVD)製程、原子層沉積製程、旋塗及/或其他合適的製程來沉積。接著,例如透過化學機械研磨(chemical mechanical polishing,CMP)製程來將沉積的介電材料薄化和平坦化,直到暴露半導體襯墊214的至少一部分。透過乾蝕刻製程、濕蝕刻製程及/或前述之組合將平坦化的介電材料進一步凹陷,以形成隔離部件216。如第5圖所示,鰭狀結構212的頂部212T突出於隔離部件216之上,而隔離部件216圍繞基部212B。
請參照第1A和6圖,方法100包含方塊110,其中在鰭狀結構212上方形成包覆層218。在一些實施例中,包覆層218可具有相似於犧牲層206的組成。在一範例中,包覆層218可由矽鍺(SiGe)形成。此共同的組成允許在後續製程中選擇性移除犧牲層206和包覆層218。在一些實施例中,包覆層218可透過使用氣相磊晶(VPE)或分子束磊晶(MBE)來順應性及磊晶成長。如第6圖所示,包覆層218選擇性設置於半導體襯墊214的暴露表面上。在一些範例中,包覆層218可具有厚度在約5nm與約10nm之間。在沉積包覆層218之後,包覆層218的相鄰側壁可定義溝槽221。基底202的一部分暴露於溝槽221中。
請參照第1A、7和8圖,方法100包含方塊112,其中形成第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3。在方塊112,第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3沉積於溝槽221(顯示於第6圖)中。在所示的實施例中,第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3包含多層。在一範例製程中,襯墊220順應性沉積於工件200上方,包含沉積於溝槽221中,如第7圖所示。襯墊220可透過使用電漿輔助化學氣相沉積、原子層沉積或合適的方法來沉積。襯墊220作為溝槽221的側壁和底表面的襯墊。接著,使用化學氣相沉積製程、次常壓化學氣相沉積、可流動化學氣相沉積、原子層沉積製程、旋塗及/或其他合適的製程將填充層222沉積於工件200上的襯墊220上方。在一些範例中,襯墊220的介電常數小於填充層222的介電常數。襯墊220可包含氧化矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿或合適的介電材料。填充層222可包含氧化矽、碳化矽、氮氧化矽、氮碳氧化矽或合適的介電材料。在沉積襯墊220和填充層222之後,使用平坦化製程(例如透過化學機械研磨(CMP)製程)將工件200平坦化,直到移除襯墊220和填充層222在包覆層218上方的部分,如第7圖所示。請參照第8圖,在平坦化之後,選擇性且部分凹陷填充層222,以形成由襯墊220定義的凹口。接著,頂部襯墊223和帽層224沉積於工件200上方。頂部襯墊223可具有相似於襯墊220的組成。帽層224可包含氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿或合適的介電材料。接著,使用化學機械研磨製程將工件200平坦化,以移除在包覆層218上多餘的帽層224。在此階段,大致形成第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3。第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3各包含設置於頂部襯墊223上方的帽層224以及設置於填充層222上方的頂部襯墊223。帽層224、頂部襯墊223和填充層222透過襯墊220與包覆層218和基底202間隔開。在一實施例中,襯墊220和頂部襯墊223包含氮化矽,填充層222包含氧化矽,且帽層224包含氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁或氧化鉿。
請參照第1A和9圖,方法100包含方塊114,其中移除第一硬遮罩層210。在一些實施例中,非等向性蝕刻工件200,以選擇性移除包覆層218的一部分、半導體襯墊214的一部分、第一硬遮罩層210、頂部襯墊223的一部分和襯墊220的一部分,以暴露犧牲層206,而大致不損壞帽層224。方塊114的非等向性蝕刻製程可為單一階段蝕刻製程或多階段蝕刻製程。當非等向性蝕刻製程為單一階段時,單一階段對半導體材料(例如矽和矽鍺)和氮化矽有選擇性。當非等向性蝕刻製程為多階段時,第一階段可對半導體材料(例如矽和矽鍺)有選擇性,且第二階段可對氮化矽有選擇性。在一些實施例中,方塊114的非等向性蝕刻製程可包含氫、含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2H 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合。
請參照第1A和10圖,方法100包含方塊116,其中在鰭狀結構212上方形成虛設閘極堆疊物240。在一些實施例中,採用閘極取代製程(或閘極後製製程),其中虛設閘極堆疊物240用作功能性閘極結構的佔位物。可能有其他製程或外觀。如第10圖所示,虛設閘極堆疊物240包含虛設介電層228和設置於虛設介電層228上方的虛設電極230。作為圖案化目的,閘極頂部硬遮罩236沉積於虛設閘極堆疊物240上方。閘極頂部硬遮罩236可為多層,且包含氮化矽遮罩層232和設置於氮化矽遮罩層232上方的氧化矽遮罩層234。鰭狀結構212在虛設閘極堆疊物240下方的部分可被稱為通道區。在鰭狀結構212中的每個通道區設置於源極/汲極形成的兩個源極/汲極區之間。在一範例製程中,虛設介電層228透過化學氣相沉積毯覆式沉積於工件200上方。接著,虛設電極230的材料層毯覆式沉積於虛設介電層228上方。接著,使用光微影製程將虛設介電層228和虛設電極230的材料層圖案化,以形成虛設閘極堆疊物240。在一些實施例中,虛設介電層228可包含氧化矽,且虛設電極230可包含多晶矽(polycrystalline silicon,polysilicon)。
請參照第1A和11圖,方法100包含方塊118,其中沿虛設閘極堆疊物240的側壁形成至少一閘極間隙壁242。至少一閘極間隙壁242可包含兩個或更多個閘極間隔層。可選擇用於閘極間隙壁242的介電材料,以選擇性移除虛設閘極堆疊物240。合適的介電材料可包含氮化矽、氮碳氧化矽、氮碳化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽及/或前述之組合。在一範例製程中,至少一閘極間隙壁242可透過使用化學氣相沉積、次常壓化學氣相沉積(SACVD)或原子層沉積來順應性沉積於工件200上方。
請參照第1A和11圖,方法100包含方塊120,其中將鰭狀結構212的源極/汲極區凹陷,以形成源極/汲極溝槽244。虛設閘極堆疊物240和至少一閘極間隙壁242作為蝕刻遮罩,非等向性蝕刻工件200,以在鰭狀結構212的源極/汲極區上方形成源極/汲極溝槽244。在第11圖所示的一些實施例中,方塊120的操作可大致移除源極/汲極區中鰭狀結構212的頂部212T。在一些其他實施例中,源極/汲極溝槽244可延伸至由基底202形成的基部212B中。方塊120的非等向性蝕刻可包含乾蝕刻製程或合適的蝕刻製程。舉例來說,乾蝕刻製程可使用含氧氣體、氫、含氟氣體(例如CF 4、SF 6、CH 2F 2、CHF 3及/或C 2H 6)、含氯氣體(例如Cl 2、CHCl 3、CCl 4及/或BCl 3)、含溴氣體(例如HBr及/或CHBr 3)、含碘氣體、其他合適的氣體及/或電漿及/或前述之組合。如第11圖所示,方塊120的乾蝕刻製程可以較慢速率蝕刻至少一閘極間隙壁242和襯墊220,並將至少一閘極間隙壁242和襯墊220留在填充層222和虛設閘極堆疊物240的側壁上。複數個通道層208、複數個犧牲層206和包覆層218暴露於源極/汲極溝槽244中。
請參照第1A、11和12圖,方法100包含方塊122,其中形成內部間隙壁部件246。請參照第11圖,在方塊122,先選擇性且部分凹陷暴露於源極/汲極溝槽244中的犧牲層206,以形成內部間隙壁凹口,而大致不蝕刻暴露的通道層208。由於包覆層218和犧牲層206具有相似組成,因此在方塊122可能蝕刻包覆層218。在通道層208本質上由矽(Si)組成的實施例中,犧牲層206本質上由矽鍺(SiGe)組成,且包覆層218本質上由矽鍺(SiGe)組成,犧牲層206和包覆層218的選擇性且部分凹陷可包含SiGe氧化製程以及之後的SiGe氧化物移除。在這些實施例中,SiGe氧化製程可包含使用臭氧。在一些其他實施例中,選擇性凹陷可包含選擇性等向性蝕刻製程(例如選擇性乾蝕刻製程或選擇性濕蝕刻製程),且犧牲層206和包覆層218凹陷的程度透過蝕刻製程的持續時間來控制。選擇性乾蝕刻製程可包含使用一種或多種氟基蝕刻劑,例如氟氣體或氫氟碳化合物。選擇性濕蝕刻製程可包含氫氧化銨-過氧化氫-水混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)蝕刻。在形成內部間隙壁凹口之後,接著內部間隔材料層透過使用化學氣相沉積或原子層沉積順應性沉積於工件200上方,包含沉積於內部間隙壁凹口上方和內部間隙壁凹口中以及包覆層218的移除部分所留下的空間中。內部間隔材料可包含氮化矽、氮碳氧化矽、氮碳化矽、氧化矽、碳氧化矽、碳化矽或氮氧化矽。在沉積內部間隔材料層之後,回蝕刻內部間隔材料層,以形成內部間隙壁部件246,如第12圖所示。
請參照第1A和13圖,方法100包含方塊124,其中在源極/汲極溝槽244中形成源極/汲極部件248。源極/汲極部件248選擇性且磊晶沉積於通道層208和基底202的暴露半導體表面上。源極/汲極部件248可透過使用磊晶製程來沉積,例如氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、分子束磊晶(MBE)及/或其他合適的製程。源極/汲極部件248可為n型或p型。當源極/汲極部件248為n型時,源極/汲極部件248可包含矽(Si),且可摻雜n型摻雜物,例如磷(P)或砷(As)。當源極/汲極部件248為p型時,源極/汲極部件248可包含矽鍺(SiGe)或鍺(Ge),且可摻雜p型摻雜物,例如例如硼(B)或鎵(Ga)。源極/汲極部件248的摻雜在源極/汲極部件248的沉積期間原位進行,或使用佈植製程(例如接面佈植製程)異位進行。雖然未明確顯示於圖式中,但是源極/汲極部件248可包含第一磊晶層和設置於第一磊晶層上的第二磊晶層。在一些範例中,第一磊晶層和第二磊晶層可摻雜相同的摻雜物種。在一些其他實施例中,第一磊晶層和第二磊晶層可摻雜不同的摻雜物種。第二磊晶層可包含比第一磊晶層更大的摻雜濃度,以降低接觸電阻。雖然源極/汲極部件248並非從內部間隙壁部件246和襯墊220的表面磊晶成長,但是源極/汲極部件248的過成長可覆蓋並接觸內部間隙壁部件246和襯墊220的表面。源極/汲極部件248設置於與虛設閘極堆疊物240下方的通道區相鄰的源極/汲極區中。
請參照第1A和14圖,方法100包含方塊126,其中沉積接觸蝕刻停止層(contact etch stop layer,CESL)252和層間介電(interlayer dielectric,ILD)層254。在一範例製程中,接觸蝕刻停止層252先順應性沉積於工件200上方,並接著層間介電層254毯覆式沉積於接觸蝕刻停止層252上方。接觸蝕刻停止層252可包含氮化矽、氧化矽、氮氧化矽及/或本領域已知的其他材料。接觸蝕刻停止層252可透過原子層沉積、電漿輔助化學氣相沉積(PECVD)製程及/或其他合適的沉積或氧化製程沉積。在一些實施例中,層間介電層254包含材料例如四乙氧基矽烷(tetraethylorthosilicate,TEOS)氧化物、未摻雜矽酸鹽玻璃或摻雜氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融石英玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼摻雜矽玻璃(boron doped silicon glass,BSG)及/或其他合適的介電材料。層間介電層254可透過旋塗、可流動化學氣相沉積製程或其他合適的沉積技術沉積。在一些實施例中,在形成層間介電層254之後,可將工件200退火,以改善層間介電層254的完整性。為了移除多餘材料並暴露虛設閘極堆疊物240的虛設電極230的頂表面,可對工件200進行平坦化製程(例如化學機械研磨(CMP)製程),以提供平坦的頂表面。虛設電極230的頂表面暴露於平坦的頂表面上。
請參照第1A和14圖,方法100包含方塊128,其中移除虛設閘極堆疊物240。在方塊128,方塊126結束之後暴露的虛設閘極堆疊物240透過選擇性蝕刻製程從工件200移除,如第14圖所示。選擇性蝕刻製程可為選擇性濕蝕刻製程、選擇性乾蝕刻製程或前述之組合。在所示的實施例中,選擇性蝕刻製程選擇性移除虛設介電層228和虛設電極230,而大致不損壞帽層224和填充層222。虛設閘極堆疊物240的移除在通道區上方形成閘極溝槽250。
請參照第1A和15圖,方法100包含方塊130,其中移除通道區中的犧牲層206,以釋放通道元件2080。在移除虛設閘極堆疊物240之後,通道區中的通道層208、犧牲層206和包覆層218暴露於閘極溝槽250中。由於包覆層218和犧牲層206具有相似組成的緣故,可選擇性移除通道層208之間暴露的犧牲層206和包覆層218,以形成通道元件2080,如第15圖所示。通道元件2080沿Z方向垂直堆疊。犧牲層206和包覆層218的選擇性移除可透過選擇性乾蝕刻、選擇性濕蝕刻或其他選擇性蝕刻製程來進行。在一些實施例中,選擇性濕蝕刻可包含氫氧化銨-過氧化氫-水混合物(APM)蝕刻。在一些其他實施例中,選擇性移除可包含矽鍺氧化以及之後的矽鍺氧化物移除。舉例來說,氧化可透過臭氧清潔提供,且接著矽鍺氧化物透過蝕刻劑(例如NH 4OH)移除。隨著在通道區中移除犧牲層206和包覆層218,襯墊220、通道元件2080、基部212B的頂表面、半導體襯墊214和隔離部件216暴露於閘極溝槽250中。
請參照第1B和16圖,方法100包含方塊132,其中沉積閘極結構層,以環繞通道元件2080的每一者。閘極結構層可包含在通道元件2080和基底202上的界面層262、在界面層262上方的閘極介電層264以及在閘極介電層264上方的閘極電極層266。在一些實施例中,界面層262包含氧化矽,且可由預清潔製程形成。範例的預清潔製程可包含使用RCA SC-1(氨、過氧化氫和水)及/或RCA SC-2(氫氯酸、過氧化氫和水)。預清潔製程將通道元件2080和基底202的暴露表面氧化,以形成界面層262。接著,閘極介電層264透過使用原子層沉積、化學氣相沉積及/或其他合適的方法沉積於界面層262上方。閘極介電層264可包含高介電常數介電材料。如本文所用,高介電常數介電材料包含具有高介電常數的介電材料,例如大於熱氧化矽的介電常數(~3.9)。在一實施例中,閘極介電層264可包含氧化鉿。或鍺,閘極介電層264可包含其他高介電常數介電質,例如氧化鈦(TiO 2)、氧化鉿鋯(HfZrO)、氧化鉭(Ta 2O 5)、氧化鉿矽(HfSiO 4)、氧化鋯(ZrO 2)、氧化鋯矽(ZrSiO 2)、氧化鑭(La 2O 3)、氧化鋁(Al 2O 3)、氧化鋯(ZrO)、氧化釔(Y 2O 3)、SrTiO 3(STO)、BaTiO 3(BTO)、BaZrO、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、(Ba,Sr)TiO 3(BST)、氮化矽(SiN)、 氮氧化矽(SiON)、前述之組合或其他合適的材料。在形成或沉積界面層262和閘極介電層264之後,閘極電極層266沉積於閘極介電層264上方。閘極電極層266可為包含至少一功函數層和金屬填充層的多層結構。舉例來說,至少一功函數層可包含氮化鈦(TiN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、氮化鉭(TaN)、鉭鋁(TaAl)、氮化鉭鋁(TaAlN)、碳化鉭鋁(TaAlC)、氮碳化鉭(TaCN)或碳化鉭(TaC)。金屬填充層可包含鋁(Al)、鎢(W)、鎳(Ni)、鈦(Ti)、釕(Ru)、鈷(Co)、鉑(Pt)、氮化鉭矽(TaSiN)、銅(Cu)、其他耐火金屬、其他合適的金屬材料或前述之組合。在各種實施例中,閘極電極層266可透過原子層沉積、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、電子束蒸鍍或其他合適的製程形成。在各種實施例中,可進行平坦化製程(例如化學機械研磨製程)以移除多餘材料,以為閘極結構提供大致平坦的頂表面。請參照第16圖,沉積的閘極結構層環繞通道元件2080的每一者,且第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3將沉積的閘極結構層分開。
請參照第1B和17圖,方法100包含方塊134,其中將工件200平坦化,以形成透過第二介電鰭225-2分開的第一閘極結構269-1和第二閘極結構269-2。如第17圖所示,在方塊134,移除閘極電極層266在第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3之上的部分,使得第一閘極結構269-1設置於第三介電鰭225-3與第二介電鰭225-2之間,且第二閘極結構269-2設置於第二介電鰭225-2與第一介電鰭225-1之間。應當注意的是,在方塊134,也可移除第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3中的帽層224、頂部襯墊223和填充層222的一部分。第二介電鰭225-2將第一閘極結構269-1和第二閘極結構269-2分開。方塊134的平坦化可透過使用化學機械研磨製程進行。第一閘極結構269-1和第二閘極結構269-2各環繞由鰭狀結構212的一者形成的通道元件2080。
請參照第1B和17圖,方法100包含方塊136,其中在第一閘極結構269-1和第二閘極結構269-2上選擇性沉積第一金屬層268。在方塊136,第一金屬層268選擇性沉積於第一閘極結構269-1和第二閘極結構269-2的暴露閘極電極層上,但是不沉積於第一介電鰭225-1、第二介電鰭225-2和第三介電鰭225-3的表面上。因此,第一金屬層268包含兩個隔開的部分,一個部分設置於第一閘極結構269-1上方,且另一個部分設置於第二閘極結構269-2上方。在一些實施例中,第一金屬層268可透過使用金屬有機前驅物的金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)來沉積,金屬有機前驅物例如四(乙基甲基胺基)鈦(tetrakis(ethylmethylamido)titanium,TEMAT)或包含金屬原子和有機配體(organic ligand)的其他前驅物。在一些實施例中,第一金屬層268可包含鈦、氮化鈦、氮化鉭、鎢、釕、鋁、鈷或鎳。第一金屬層268可形成至厚度約2nm與約20nm之間。如以下將描述,第一金屬層268作為與第二硬遮罩層270共同作用的金屬硬遮罩層。在第二硬遮罩層270有足夠抗蝕刻性的一些其他實施例中,可省略第一金屬層268。
請參照第1B、18和19圖,方法100包含方塊138,其中透過使用第二硬遮罩層270選擇性移除第二介電鰭225-2,以形成隔離溝槽274。使用光微影技術,以選擇性移除第二介電鰭225-2。在一範例製程中,第二硬遮罩層270毯覆式沉積於工件200上方,包含沉積於第一介電鰭225-1、第二介電鰭225-2、第三介電鰭225-3和第一金屬層268上方。在一些實施例中,第二硬遮罩層270可透過使用化學氣相沉積、電漿輔助化學氣相沉積或合適的沉積製程沉積。第二硬遮罩層270可包含氧化矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿或合適的介電材料。將第二硬遮罩層270圖案化,以形成暴露第二介電鰭225-2的開口272。使用可流動化學氣相沉積或旋塗將光阻層毯覆式沉積於第二硬遮罩層270上方,並使用光微影製程將光阻層圖案化。當蝕刻第二硬遮罩層270以形成開口272時,可使用圖案化的光阻層作為蝕刻遮罩,如第18圖所示。
請參照第19圖,在第二介電鰭225-2暴露於開口272的情況下,對工件200進行等向性蝕刻製程,以形成隔離溝槽274。方塊138的範例等向性蝕刻製程可為對介電材料有選擇性的濕蝕刻製程,且此等向性蝕刻製程以較慢速率蝕刻金屬。範例的濕蝕刻製程可包含氫氟酸、稀釋氫氟酸(diluted hydrofluoric acid,DHF)。如第19圖所示,方塊138的等向性及選擇性蝕刻不僅移除第二介電鰭225-2,也移除暴露於隔離溝槽274中的閘極介電層264。也就是說,第一閘極結構269-1和第二閘極結構269-2的側壁暴露於隔離溝槽274中。在一些實施例中,方塊138的選擇性濕蝕刻製程允許底切第一金屬層268。在一些實施例中,隔離溝槽274在第一金屬層268下方的部分沿Y方向比隔離溝槽274在第一金屬層268之上的部分更寬。換句話說,第一金屬層268懸垂(overhang)於第一閘極結構269-1和第二閘極結構269-2之上。當第一金屬層268不在方塊136形成時,方塊138的選擇性濕蝕刻可底切第二硬遮罩層270。
請參照第1B和20圖,方法100包含方塊140,其中在隔離溝槽274中形成隔離結構280。在一些實施例中,使用具有良好的填孔能力的沉積技術將用於隔離結構280的介電材料沉積於隔離溝槽274中。在一些範例中,用於隔離結構280的介電材料透過使用原子層沉積或電漿輔助原子層沉積來沉積。在沉積用於隔離結構280的介電材料之後,進行平坦化製程(例如化學機械研磨製程),以從第二硬遮罩層270上方移除多餘材料。隔離結構280可包含氧化矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿或合適的介電材料。隔離結構280的形狀和輪廓對應隔離溝槽274的形狀和輪廓。
請參照第1B和21圖,方法100包含方塊142,其中選擇性移除第二硬遮罩層270。在一些實施例中,由於第二硬遮罩層270的組成不同於隔離結構280的組成,因此可選擇性移除第二硬遮罩層270,而大致不損壞隔離結構280。在一實施例中,第二硬遮罩層270由氮化矽形成,且隔離結構280由氧化矽形成。在此實施例中,第二硬遮罩層270的選擇性移除可透過使用對氮化矽有選擇性的蝕刻製程來進行。在選擇性移除第二硬遮罩層270之後,隔離結構280的一部分突出於第一金屬層268之上。
請參照第1B、21、22和24-27圖,方法100包含方塊144,其中在第一金屬層268上方形成第二金屬層284。本發明實施例提供多於一個範例製程,以形成第二金屬層284。請先參照第21和22圖。在一些實施例中,使用物理氣相沉積(PVD)或合適的沉積方法沉積,如第21圖所示。在沉積第二金屬層284之後,回蝕刻第二金屬層284,直到隔離結構280將第二金屬層284隔開為在第一閘極結構269-1上方的第一區段284-1以及在第二閘極結構269-2上方的第二區段284-2。也就是說,移除第二金屬層284設置於隔離結構280的側壁和頂表面上的部分,以物理及電性隔離第一區段284-1和第二區段284-2。在第24圖呈現的一些實施例中,第二金屬層284的回蝕刻留下角落部分2840,角落部分2840為第一區段284-1的一部分和第二區段284-2的一部分沿隔離結構280的側壁垂直延伸。當存在角落部分2840時,角落部分2840可具有高度在約1nm與約3nm之間。第二金屬層284可包含鈦、氮化鈦、氮化鉭、鎢、釕、鋁、鈷或鎳。第一區段284-1和第二區段284-2可具有厚度在約2nm與約20nm之間。如第22圖所示,不同於第一金屬層268,第一區段284-1延伸至第三介電鰭225-3上方,且第二區段284-2延伸至第一介電鰭225-1上方。第一區段284-1直接接觸第三介電鰭225-3,且第二區段284-2直接接觸第一介電鰭225-1。雖然未明確顯示,但是第一區段284-1和第二區段284-2各可更延伸至相鄰閘極結構上方,並作為局部互連結構。
接著,請參照第25、26和27圖。在一些其他實施例中,形成第二金屬層284的步驟包含使用晶種層282。請參照第25圖,在選擇性移除第二硬遮罩層270之後,晶種層282毯覆式沉積於工件200上方,包含沉積於第一金屬層268和隔離結構280上。晶種層282可包含鈦、氮化鈦、氮化鉭、鎢、釕、鋁、鈷或鎳,且可具有厚度在約1nm與約5nm之間。請參照第26圖,進行回蝕刻,以將晶種層282物理及電性隔開為在第一閘極結構269-1上方的第一部分282-1以及在第二閘極結構269-2上方的第二部分282-2。在回蝕刻製程之後,第一部分282-1和第二部分282-2透過隔離結構280隔開。接著,請參照第27圖,第一區段284-1和第二區段284-2分別選擇性沉積於第一部分282-1和第二部分282-2上。在一些實施例中,第二金屬層284的第一區段284-1和第二區段284-2透過使用金屬有機化學氣相沉積或無電電鍍沉積。由於第一部分282-1和第二部分282-2已隔開且沉積為選擇性的,因此第一區段284-1和第二區段284-2的形成不需要第二金屬層284的回蝕刻製程。也就是說,晶種層282的第一部分282-1和第二部分282-2允許第二金屬層284的自對準沉積。
請參照第1B、23、24和27圖,方法100包含方塊146,其中在第二金屬層284上方形成閘極自對準接觸(self-aligned contact,SAC)介電層288。在一些實施例中,閘極自對準接觸介電層288可包含氧化矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁、氧化鉿或合適的介電材料。閘極自對準接觸介電層288可透過使用化學氣相沉積、原子層沉積、電漿輔助原子層沉積或合適的方法沉積。
請參照第23和27圖。在一些實施例中,隔離結構280包含下部280L和設置於下部280L上方的上部280U。下部280L係指隔離結構280在第一金屬層268之下的部分,而上部280U係指隔離結構280在第一金屬層268之上的部分。在第23圖呈現的一些實施例中,下部280L設置或夾設於第一閘極結構269-1與第二閘極結構269-2之間。上部280U設置於第一金屬層268的兩個隔開的部分之間以及第一區段284-1與第二區段284-2之間。上部280U也設置於閘極自對準接觸介電層288之間。沿Y方向,上部280U具有第一寬度W1,下部280L具有第二寬度W2。由於當形成隔離溝槽274時發生底切,因此第二寬度W2大於第一寬度W1。在一些實施例中,第一寬度W1在約5nm與約50nm之間,且第二寬度W2在約10nm與約60nm之間。第一寬度W1與第二寬度W2之間的差異表示底切的延伸。在一些範例中,第一寬度W1與第二寬度W2之間的差異在約2nm與約20nm之間。換句話說,第一金屬層268、第二金屬層284(包含第一區段284-1和第二區段284-2)以及晶種層282(當形成時,包含第一部分282-1和第二部分282-2)懸垂於第一閘極結構269-1和第二閘極結構269-2之上。在第27圖呈現的一些實施例中,上部280U更設置或夾設於晶種層282的第一部分282-1與第二部分282-2之間。
由於製程變化,因此本發明實施例提供其他實施例,如第28-31圖所示。請參照第28圖,當開口272(顯示於第18圖)沿Z方向與第二介電鰭225-2並非完全對齊時,第二介電鰭225-2的移除可導致形成彎曲隔離結構290。彎曲隔離結構290包含下部290L以及在下部290L上方的上部290U。如第28圖所示,上部290U沿Z方向與下部290L並非垂直對齊。下部290L大致設置於第一閘極結構269-1與第二閘極結構269-2之間。上部290U大致設置於第一區段284-1與第二區段284-2之間。在一些範例中,彎曲隔離結構290切入第一閘極結構269-1和第二閘極結構269-2的其中一者的閘極電極層266中。
請參照第29圖,當開口272(顯示於第18圖)沿Y方向比第二介電鰭225-2更寬時,第二介電鰭225-2的移除可導致形成螺栓狀隔離結構292。螺栓狀隔離結構292包含下部292L以及在下部292L上方的上部292U。如第29圖所示,上部292U具有第三寬度W3,且下部292L具有小於第三寬度W3的第四寬度W4。在一些範例中,第四寬度W4可在約10nm與約60nm之間,且第三寬度W3可在約20nm與約75nm之間。下部292L大致設置於第一閘極結構269-1與第二閘極結構269-2之間。上部292U大致設置於第一區段284-1與第二區段284-2之間。在一些範例中,螺栓狀隔離結構292的上部292U切入第一閘極結構269-1和第二閘極結構269-2的其中一者的閘極電極層266中。
請參照第30圖,當第二介電鰭225-2的移除蝕刻進入隔離部件216時,可形成圓底隔離結構294。圓底隔離結構294包含延伸至隔離部件216中的底部295。底部295可延伸至隔離部件216中約1nm至約20nm。
請參照第31圖,當用於隔離結構280的沉積製程不具有足夠的填孔能力,空隙297可形成於隔離結構280中。當形成空隙297時,空隙297可具有沿Y方向的寬度在約1nm與約5nm之間以及沿Z方向的高度在約2nm與約20nm之間。
基於以上討論,可以看到本發明實施例提供優於傳統製程的許多優點。然而,應當理解的是,其他實施例可提供額外的優點,且本文不需要揭露所有優點,且所有實施例不需要特定的優點。舉例來說,本發明實施例揭露的製程在介電鰭上方沉積閘極結構層,且大致移除介電鰭,以在閘極結構之間形成隔離溝槽。接著,在隔離溝槽中沉積介電材料,以形成隔離結構。相較於介電鰭,隔離結構在閘極結構之間較寬,以增加閘極間隔離(gate-to-gate separation)。閘極間隔離使得閘極間電容(gate-to-gate capacitance)降低,這是有利的。
在一例示性方面,本發明實施例針對半導體裝置。半導體裝置包含沿一方向對齊的第一閘極結構和第二閘極結構。半導體裝置包含設置於第一閘極結構上方的第一金屬層、設置於第二閘極結構上方的第二金屬層以及延伸於第一閘極結構與第二閘極結構之間以及第一金屬層與第二金屬層之間的閘極隔離結構。
在一些實施例中,閘極隔離結構包含空隙。在一些實施例中,閘極隔離結構包含設置於第一閘極結構與第二閘極結構之間的下部以及設置於第一金屬層與第二金屬層之間的上部,且下部沿此方向的寬度大於上部沿此方向的寬度。在一些範例中,半導體裝置可更包含位於第一金屬層上方的第一自對準(SAC)接觸介電層以及位於第二金屬層上方的第二自對準接觸介電層。上部更設置於第一自對準接觸介電層與第二自對準接觸介電層之間。在一些實施例中,第一閘極結構設置於閘極隔離結構與介電鰭之間,其中第一金屬層延伸至介電鰭上方。在一些實施例中,閘極隔離結構為單一層,其中介電鰭包含襯墊和設置於襯墊上方的填充層。在一些實施例中,半導體裝置可更包含設置於第一閘極結構與第一金屬層之間的第三金屬層,且介電鰭直接接觸第一金屬層。在一些範例中,半導體裝置可更包含夾設於第一金屬層與第三金屬層之間的晶種層。
在另一例示性方面,本發明實施例針對半導體裝置。半導體裝置可包含垂直堆疊的第一複數個通道元件、垂直堆疊的第二複數個通道元件、設置於第一複數個通道元件的每一者上方並環繞第一複數個通道元件的每一者的第一閘極結構,第一閘極結構具有第一閘極介電層及位於第一閘極介電層上方的第一電極層;設置於第二複數個通道元件的每一者上方並環繞第二複數個通道元件的每一者的第二閘極結構,第二閘極結構具有第二閘極介電層及位於第二閘極介電層上方的第二電極層;設置於第一閘極結構上方的第一金屬層、設置於第二閘極結構上方的第二金屬層以及延伸於第一閘極結構與第二閘極結構之間以及第一金屬層與第二金屬層之間的閘極隔離結構。閘極隔離結構直接接觸第一電極層和第二電極層。
在一些實施例中,第一金屬層的一部分懸垂於第一閘極結構之上,且第二金屬層的一部分懸垂於第二閘極結構之上。在一些實施例中,閘極隔離結構包含設置於第一閘極結構與第二閘極結構之間的下部,且下部底切第一金屬層和第二金屬層的至少一者。在一些實施例中,第一複數個通道元件設置於由基底形成的第一基部上方,第二複數個通道元件設置於由基底形成的第二基部上方,且閘極隔離結構的一部分延伸至第一基部與第二基部之間的隔離部件中。在一些範例中,第一閘極結構設置於閘極隔離結構與介電鰭之間,且第一金屬層延伸至介電鰭上方。在一些實施例中,半導體裝置可更包含設置於第一金屬層與第一閘極結構之間的晶種層,且晶種層延伸至介電鰭上方。
在另一例示性方面,本發明實施例針對方法。此方法包含接收工件,此工件包含第一介電鰭、第二介電鰭和第三介電鰭;設置於第一介電鰭與第二介電鰭之間的第一閘極結構及設置於第二介電鰭與第三介電鰭之間的第二閘極結構;在第一閘極結構和第二閘極結構上選擇性沉積第一金屬層;選擇性移除第二介電鰭,以形成隔離溝槽;以及在隔離溝槽中沉積介電材料,以形成閘極隔離結構。
在一些實施例中,選擇性移除第二介電鰭的步驟包含在工件上方沉積硬遮罩層;將硬遮罩層圖案化,以形成暴露第二介電鰭的開口;以及通過開口蝕刻第二介電鰭,以形成隔離溝槽。在一些實施例中,此方法可更包含在沉積介電材料之後,選擇性移除圖案化的硬遮罩層,以暴露在第一閘極結構和第二閘極結構上的第一金屬層;以及在第一金屬層、第一介電鰭和第三介電鰭上方沉積第二金屬層。在一些範例中,沉積第二金屬層的步驟包含在第一金屬層、第一介電鰭、第三介電鰭和閘極隔離結構上方沉積第二金屬層;以及回蝕刻第二金屬層,以移除閘極隔離結構上的第二金屬層。在一些實施例中,沉積第二金屬層的步驟包含在第一金屬層、第一介電鰭、第三介電鰭和閘極隔離結構上方沉積晶種層;回蝕刻晶種層,以移除閘極隔離結構上的晶種層;以及在回蝕刻之後,在晶種層上選擇性沉積第二金屬層。在一些範例中,第一閘極結構包含第一閘極介電層,第二閘極結構包含第二閘極介電層,且選擇性移除第二介電鰭也移除第一閘極介電層的一部分和第二閘極介電層的一部分。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:方法 102,104,106,108,110,112,114,116,118,120,122,124,126,128,130,132,134,136,138,140,142,144,146:方塊 200:工件 202:基底 204:堆疊物 206:犧牲層 208:通道層 210:第一硬遮罩層 212:鰭狀結構 212B:基部 212T:頂部 214:半導體襯墊 216:隔離部件 218:包覆層 220:襯墊 221:溝槽 222:填充層 223:頂部襯墊 224:帽層 225-1:第一介電鰭 225-2:第二介電鰭 225-3:第三介電鰭 228:虛設介電層 230:虛設電極 232:氮化矽遮罩層 234:氧化矽遮罩層 236:閘極頂部硬遮罩 240:虛設閘極堆疊物 242:閘極間隙壁 244:源極/汲極溝槽 246:內部間隙壁部件 248:源極/汲極部件 250:閘極溝槽 252:接觸蝕刻停止層 254:層間介電層 262:界面層 264:閘極介電層 266:閘極電極層 268:第一金屬層 269-1:第一閘極結構 269-2:第二閘極結構 270:第二硬遮罩層 272:開口 274:隔離溝槽 280:隔離結構 280L,290L,292L:下部 280U,290U,292U:上部 282:晶種層 282-1:第一部分 282-2:第二部分 284:第二金屬層 284-1:第一區段 284-2:第二區段 288:自對準接觸介電層 290:彎曲隔離結構 292:螺栓狀隔離結構 294:圓底隔離結構 295:底部 297:空隙 2080:通道元件 2840:角落部分 W1:第一寬度 W2:第二寬度 W3:第三寬度 W4:第四寬度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1A和1B圖共同顯示依據本發明實施例的一個或多個方面,形成半導體裝置的方法的流程圖。 第2-31圖顯示依據本發明實施例的一個或多個方面,在第1A和1B圖的方法中的各製造階段期間的工件的局部透視圖或局部剖面示意圖。
200:工件
202:基底
216:隔離部件
220:襯墊
222:填充層
262:界面層
264:閘極介電層
266:閘極電極層
268:第一金屬層
269-1:第一閘極結構
269-2:第二閘極結構
280:隔離結構
280L:下部
280U:上部
284-1:第一區段
284-2:第二區段
288:自對準接觸介電層
2080:通道元件
W1:第一寬度
W2:第二寬度

Claims (14)

  1. 一種半導體裝置,包括:一第一閘極結構和一第二閘極結構,沿一方向對齊;一第一金屬層,設置於該第一閘極結構上方;一第二金屬層,設置於該第二閘極結構上方;以及一閘極隔離結構,延伸於該第一閘極結構與該第二閘極結構之間以及該第一金屬層與該第二金屬層之間,其中該第一閘極結構設置於該閘極隔離結構與一介電鰭之間,其中該閘極隔離結構為一單一層,其中該介電鰭包括一襯墊和設置於該襯墊上方的一填充層。
  2. 如請求項1之半導體裝置,其中該閘極隔離結構包括一空隙。
  3. 如請求項1或2之半導體裝置,其中該閘極隔離結構包括設置於該第一閘極結構與該第二閘極結構之間的一下部以及設置於該第一金屬層與該第二金屬層之間的一上部,其中該下部沿該方向的寬度大於該上部沿該方向的寬度。
  4. 如請求項3之半導體裝置,更包括:一第一自對準接觸介電層,位於該第一金屬層上方;以及一第二自對準接觸介電層,位於該第二金屬層上方,其中該上部更設置於該第一自對準接觸介電層與該第二自對準接觸介電層之間。
  5. 如請求項1或2之半導體裝置,其中該第一金屬層延伸至該介電鰭上方。
  6. 如請求項5之半導體裝置,更包括:一第三金屬層,設置於該第一閘極結構與該第一金屬層之間,其中該介電鰭 直接接觸該第一金屬層。
  7. 如請求項6之半導體裝置,更包括:一晶種層,夾設於該第一金屬層與該第三金屬層之間。
  8. 一種半導體裝置,包括:一第一複數個通道元件,垂直堆疊;一第二複數個通道元件,垂直堆疊;一第一閘極結構,設置於該第一複數個通道元件的每一者上方並環繞該第一複數個通道元件的每一者,其中該第一閘極結構包括:一第一閘極介電層;及一第一電極層,位於該第一閘極介電層上方;一第二閘極結構,設置於該第二複數個通道元件的每一者上方並環繞該第二複數個通道元件的每一者,其中該第二閘極結構包括:一第二閘極介電層;及一第二電極層,位於該第二閘極介電層上方;一第一金屬層,設置於該第一閘極結構上方;一第二金屬層,設置於該第二閘極結構上方;以及一閘極隔離結構,延伸於該第一閘極結構與該第二閘極結構之間以及該第一金屬層與該第二金屬層之間,其中該閘極隔離結構直接接觸該第一電極層和該第二電極層,其中該第一閘極結構設置於該閘極隔離結構與一介電鰭之間,其中該閘極隔離結構為一單一層,其中該介電鰭包括一襯墊和設置於該襯墊上方的一填充層。
  9. 如請求項8之半導體裝置,其中該第一金屬層的一部分懸垂於該 第一閘極結構之上,其中該第二金屬層的一部分懸垂於該第二閘極結構之上。
  10. 如請求項8之半導體裝置,其中該閘極隔離結構包括設置於該第一閘極結構與該第二閘極結構之間的一下部,其中該下部底切該第一金屬層和該第二金屬層的至少一者。
  11. 如請求項8至10中任一項之半導體裝置,其中該第一複數個通道元件設置於由一基底形成的一第一基部上方,其中該第二複數個通道元件設置於由該基底形成的一第二基部上方,其中該閘極隔離結構的一部分延伸至該第一基部與該第二基部之間的一隔離部件中。
  12. 一種半導體裝置的形成方法,包括:接收一工件,包括:一第一介電鰭、一第二介電鰭和一第三介電鰭;一第一閘極結構,設置於該第一介電鰭與該第二介電鰭之間;及一第二閘極結構,設置於該第二介電鰭與該第三介電鰭之間;在該第一閘極結構和該第二閘極結構上選擇性沉積一第一金屬層;選擇性移除該第二介電鰭,以形成一隔離溝槽;以及在該隔離溝槽中沉積一介電材料,以形成一閘極隔離結構。
  13. 如請求項12之半導體裝置的形成方法,其中選擇性移除該第二介電鰭的步驟包括:在該工件上方沉積一硬遮罩層;將該硬遮罩層圖案化,以形成暴露該第二介電鰭的一開口;以及通過該開口蝕刻該第二介電鰭,以形成該隔離溝槽。
  14. 如請求項13之半導體裝置的形成方法,更包括: 在沉積該介電材料之後,選擇性移除圖案化的該硬遮罩層,以暴露在該第一閘極結構和該第二閘極結構上的該第一金屬層;以及在該第一金屬層、該第一介電鰭和該第三介電鰭上方沉積一第二金屬層。
TW110125991A 2020-08-10 2021-07-15 半導體裝置及其形成方法 TWI793675B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063063654P 2020-08-10 2020-08-10
US63/063,654 2020-08-10
US16/952,812 US11450662B2 (en) 2020-08-10 2020-11-19 Gate isolation structure
US16/952,812 2020-11-19

Publications (2)

Publication Number Publication Date
TW202221793A TW202221793A (zh) 2022-06-01
TWI793675B true TWI793675B (zh) 2023-02-21

Family

ID=78672510

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110125991A TWI793675B (zh) 2020-08-10 2021-07-15 半導體裝置及其形成方法

Country Status (5)

Country Link
US (1) US11916072B2 (zh)
KR (1) KR102458020B1 (zh)
CN (1) CN113725275A (zh)
DE (1) DE102020131140A1 (zh)
TW (1) TWI793675B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289579B2 (en) * 2019-09-29 2022-03-29 Applied Materials, Inc. P-type dipole for p-FET
US20230197816A1 (en) * 2021-12-21 2023-06-22 Mohammad Hasan Integrated circuit structures having metal gate plug landed on dielectric anchor
KR20240033851A (ko) * 2022-09-06 2024-03-13 삼성전자주식회사 게이트 구조물 및 분리 구조물을 포함하는 반도체 소자

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201839820A (zh) * 2017-04-28 2018-11-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202008436A (zh) * 2018-07-27 2020-02-16 美商格芯(美國)集成電路科技有限公司 使用單元隔離柱對主動奈米結構間的n-p空間之功函數金屬圖案化
US20200135932A1 (en) * 2018-10-31 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FET Silicide and Fabrication Methods Thereof

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
US10199502B2 (en) 2014-08-15 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of S/D contact and method of making same
US9818872B2 (en) 2015-06-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US10032627B2 (en) 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9887269B2 (en) 2015-11-30 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US9601514B1 (en) * 2016-01-26 2017-03-21 International Business Machines Corporation Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US9899398B1 (en) 2016-07-26 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Non-volatile memory device having nanocrystal floating gate and method of fabricating same
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US10475902B2 (en) 2017-05-26 2019-11-12 Taiwan Semiconductor Manufacturing Co. Ltd. Spacers for nanowire-based integrated circuit device and method of fabricating same
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
DE102018101016B4 (de) 2017-09-29 2021-07-29 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum Schneiden von Metall-Gates und daraus gebildete Strukturen
US10504798B2 (en) 2018-02-15 2019-12-10 Globalfoundries Inc. Gate cut in replacement metal gate process
US11329138B2 (en) 2018-04-02 2022-05-10 Intel Corporation Self-aligned gate endcap (SAGE) architecture having endcap plugs
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
KR102636464B1 (ko) 2018-06-12 2024-02-14 삼성전자주식회사 게이트 분리층을 갖는 반도체 소자 및 그 제조 방법
US10756087B2 (en) * 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10861750B2 (en) * 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10510620B1 (en) * 2018-07-27 2019-12-17 GlobalFoundries, Inc. Work function metal patterning for N-P space between active nanostructures
KR102491089B1 (ko) * 2018-07-27 2023-01-26 삼성전자주식회사 반도체 소자
US11264380B2 (en) 2018-08-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11355608B2 (en) 2018-09-24 2022-06-07 Intel Corporation Self-aligned gate endcap (SAGE) architectures with gate-all-around devices having epitaxial source or drain structures
US10916477B2 (en) 2018-09-28 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor devices and methods of forming the same
US10825918B2 (en) * 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11450662B2 (en) * 2020-08-10 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate isolation structure

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201839820A (zh) * 2017-04-28 2018-11-01 台灣積體電路製造股份有限公司 半導體裝置的製造方法
TW202008436A (zh) * 2018-07-27 2020-02-16 美商格芯(美國)集成電路科技有限公司 使用單元隔離柱對主動奈米結構間的n-p空間之功函數金屬圖案化
US20200135932A1 (en) * 2018-10-31 2020-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. FET Silicide and Fabrication Methods Thereof

Also Published As

Publication number Publication date
DE102020131140A1 (de) 2022-02-10
KR20220019606A (ko) 2022-02-17
TW202221793A (zh) 2022-06-01
KR102458020B1 (ko) 2022-10-21
CN113725275A (zh) 2021-11-30
US11916072B2 (en) 2024-02-27
US20220367457A1 (en) 2022-11-17

Similar Documents

Publication Publication Date Title
US11532627B2 (en) Source/drain contact structure
TWI793675B (zh) 半導體裝置及其形成方法
TWI768834B (zh) 半導體裝置及其製造方法
US11862701B2 (en) Stacked multi-gate structure and methods of fabricating the same
TW202205449A (zh) 半導體裝置及其形成方法
CN114512442A (zh) 半导体装置
TWI783606B (zh) 半導體裝置及其形成方法
KR102495805B1 (ko) 후면 콘택
US20220367482A1 (en) Source/Drain Feature Separation Structure
TW202228245A (zh) 半導體結構
KR102593872B1 (ko) 콘택 구조물을 형성하는 방법
US11450662B2 (en) Gate isolation structure
TWI776442B (zh) 半導體裝置及半導體結構
US11688793B2 (en) Integrated circuit structure and manufacturing method thereof
KR102481143B1 (ko) 소스/드레인 컨택 구조체
US20230395686A1 (en) Semiconductor device with gate isolation features and fabrication method of the same
KR20220113231A (ko) 하이브리드 반도체 디바이스
US20230010541A1 (en) Gate all around device and method of forming the same
TW202230463A (zh) 半導體裝置及其製造方法
US11888049B2 (en) Dielectric isolation structure for multi-gate transistors
CN221102089U (zh) 半导体结构
US11862519B2 (en) Integrated circuit device with epitaxial features having adjusted profile and method for manufacturing the same
US20230187518A1 (en) Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof
TW202418473A (zh) 半導體結構及其製造方法
TW202418405A (zh) 半導體結構及其形成方法