CN114975251A - 半导体结构及形成触点结构的方法 - Google Patents

半导体结构及形成触点结构的方法 Download PDF

Info

Publication number
CN114975251A
CN114975251A CN202210064591.3A CN202210064591A CN114975251A CN 114975251 A CN114975251 A CN 114975251A CN 202210064591 A CN202210064591 A CN 202210064591A CN 114975251 A CN114975251 A CN 114975251A
Authority
CN
China
Prior art keywords
source
contact
layer
drain contact
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210064591.3A
Other languages
English (en)
Inventor
苏富祥
陈仪宪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN114975251A publication Critical patent/CN114975251A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

根据本发明的方法包括:接收工件,包括:第一栅极结构,在第一栅极结构上包括第一覆盖层;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构,在第二栅极结构上包括第二覆盖层;第二源极/漏极触点;ESL,位于第一源极/漏极触点和第二源极/漏极触点上方;以及第一介电层,位于ESL上方。该方法还包括:形成对接触点开口,以暴露第一覆盖层和第一源极/漏极触点;在对接触点开口中形成对接触点;在形成对接触点之后,沉积第二介电层;形成穿过第二介电层、ESL层、和第一介电层的源极/漏极触点通孔开口,以暴露第二源极/漏极触点;以及在源极/漏极触点通孔开口中形成源极/漏极触点通孔。本申请的实施例提供了半导体结构及形成触点结构的方法。

Description

半导体结构及形成触点结构的方法
技术领域
本申请的实施例涉及半导体结构及形成触点结构的方法。
背景技术
集成电路(IC)行业经历了快速增长。IC材料和设计的技术进步已经产生了几代IC,其中每一代都比前一代具有更小、更复杂的电路。在IC发展的过程中,通常是功能密度(即每个芯片区域的互连器件的数量)增加了,而几何尺寸(即可以使用制造工艺产生的最小部件(或者导线))却减小了。这种按比例缩小的工艺通常通过提高生产效率和降低相关成本来提供收益。
随着IC器件的按比例缩小的持续进行,诸如栅极触点通孔和源极/漏极触点通孔的触点通孔的尺寸变得越来越小。虽然先进的光刻技术允许形成高纵横比的通孔开口,但是在高纵横比的通孔开口中填充导电材料已被证明具有挑战性。另外,金属填充层在不同金属表面上的沉积可能经历不同的沉积速率,从而导致不能令人满意的金属填充或者空隙。虽然用于形成至晶体管的触点的现有方法对于其预期目的是足够的,但是其并不是在所有方面都令人满意。
发明内容
在一个实施例中,提供了一种方法。该方法包括:接收工件,包括:第一栅极结构,在第一栅极结构上包括第一覆盖层;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构,在第二栅极结构上包括第二覆盖层;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;以及第一介电层,位于ESL上方。该方法还包括:形成对接触点开口,以暴露第一覆盖层和第一源极/漏极触点;在对接触点开口中形成对接触点;在形成对接触点之后,沉积第二介电层;形成穿过第二介电层、ESL层、和第一介电层的源极/漏极触点通孔开口,以暴露第二源极/漏极触点;以及在源极/漏极触点通孔开口中形成源极/漏极触点通孔。
在另一个实施例中,提供了一种方法。该方法包括:接收工件,包括:第一栅极结构;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;以及第一介电层,位于ESL上方。该方法可以还包括:形成对接触点,以连接至第一栅极结构和第一源极/漏极触点;在第一介电层和对接触点上方沉积第二介电层;形成穿过第二介电层、第一介电层、和ESL层的源极/漏极触点通孔,以连接第二源极/漏极触点;在源极/漏极触点通孔和第二介电层上方沉积第三介电层;以及形成穿过第三介电层、第二介电层、第一介电层、和ESL层的栅极触点,以连接第二栅极结构。
在又一个实施例中,提供了一种半导体结构。半导体结构包括:第一栅极结构,在第一栅极结构上包括第一覆盖层;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构,在第二栅极结构上包括第二覆盖层;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;第一介电层,位于ESL上方;第二介电层,位于第一介电层上方;对接触点,横跨在第一栅极结构和第一源极/漏极触点上方,对接触点与第一源极/漏极触点和第一覆盖层接触;源极/漏极触点通孔,设置在第二源极/漏极触点上方;栅极触点,设置在第二覆盖层上方。第二介电层设置在对接触点的顶面正上方。
附图说明
当结合附图进行阅读时,从以下详细描述可最佳理解本发明的各个方面。应该指出,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各个部件的尺寸可以任意地增大或减小。
图1是根据本发明的各个方面的用于制造共轨触点的方法的流程图;
图2-图14是根据本发明的各个方面的在图1中的方法的制造的各个阶段的工件的局部截面图;
图15是根据本发明的各个方面的包括栅极触点、源极/漏极触点通孔、和对接触点的半导体器件的局部俯视图。
具体实施方式
以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面描述了组件和布置的具体实例以简化本发明。当然,这些仅仅是实例,而不旨在限制本发明。例如,以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成额外的部件,从而使得第一部件和第二部件可以不直接接触的实施例。另外,本发明可以在各个实例中重复参考数字和/或字母。该重复是出于简化和清楚的目的,其本身并不指示所讨论的各种实施例和/或配置之间的关系。
为便于描述,在此可以使用诸如“在…之下”、“在…下方”、“下部”、“在…之上”、“上部”等空间相对术语,以容易地描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对术语旨在包括器件在使用或操作中的不同方位。装置可以以其他方式定向(旋转90度或在其他方位上),而本文使用的空间相对描述符可以同样地作出相应的解释。
另外,当用“大约”“近似”等描述数字或者数字范围时,该术语旨在涵盖在合理范围内的考虑了如由本领域普通技术人员所理解的在制造期间引起的固有变化的数字。例如,该数字或者数字范围涵盖一个包括所描述的数字的合理的范围,例如,基于与制造一个部件(其具有与该数字相关的特征)相关的已知制造公差,在所描述的数字的+/-10%以内。例如,厚度为“约5nm”的材料层可以涵盖的尺寸范围为从4.25nm至5.75nm,其中本领域的普通技术人员已知与沉积材料层相关的制造公差为+/-15%。更进一步,本发明可以在各个示例中重复参考数字和/或字母。该重复是出于简单和清楚的目的,并且其本身并不指示所讨论的各种实施例和/或配置之间的关系。
随着半导体器件的尺寸持续按比例缩小,使用局部互连结构来连接栅极结构和相邻的源极/漏极触点变得司空见惯。在一些示例中,栅极结构由与源极/漏极触点的组成不同的覆盖层来覆盖。在局部互连结构的形成期间,填充层通过化学气相沉积(CVD)或者选择性沉积方法来沉积。可以观察到,填充层在源极/漏极触点上的沉积速度快于在覆盖层上方的沉积速度。另外,在用以形成局部互连结构的金属填充工艺之前,可以将源极/漏极触点和覆盖层的表面暴露至各种氧化或者还原气氛中。不同材料之间的还原性差异也可能会导致不同的沉积速率。在不同表面上的不同沉积速率可能会导致局部互连结构具有至栅极结构的不良接触。
本发明提供了在形成源极/漏极触点通孔和形成栅极触点之前形成对接触点,以将栅极结构与相邻的源极/漏极触点连接的方法。用于对接触点的对接触点开口具有低的纵横比,并且将金属填充至对接触点开口中是使用物理气相沉积(PVD)和化学汽相沉积(CVD)的组合来实施。结果,源极/漏极触点通孔和栅极触点的顶面高于对接触点的顶面。本发明的实施例可以减少或者消除与在不同表面上的不同沉积速率相关的问题。本发明的方法减少了空隙形成的可能性。
现在将参考附图更详细地描述本发明的各个方面。就这一点而言,图1是示出根据本发明的实施例的形成触点结构的方法100的流程图。方法100仅是示例,并不旨在将本发明限制为方法100中明确示出的内容。可以在方法100之前、期间、和之后提供其他步骤,并且对于该方法的其他实施例而言,可以替换、消除、或者移动所描述的一些步骤。为了简单起见,本文并未详细描述所有步骤。下面结合图2-图14来描述方法100,图2-图14是根据图1中的方法100的实施例的在不同制造阶段的工件200的局部截面图。为了避免疑问,图2-图14中的X、Y、和Z方向为彼此垂直,并且在整个图2-图14中始终使用。由于工件200将制造成半导体器件或者半导体结构,因此根据上下文需要,工件200在本文中可以称为半导体器件200或者半导体结构200。贯穿本发明,除非另有说明,否则相似的附图标记表示相似的特征。
参考图1和图2,方法100包括框102,其中接收工件200。工件200包括衬底202。在所描绘的实施例中,衬底202包括硅(Si)。可替代地或者另外地,衬底202可以包括:另一种基本半导体,例如锗(Ge);化合物半导体,例如碳化硅(SiC)、砷化镓(GaAs)、磷化镓(GaP)、磷化铟(InP)、砷化铟(InAs)、和/或锑化铟(InSb);合金半导体,例如硅锗(SiGe)、磷化砷化镓(GaAsP)、砷化铝铟(AlInAs)、砷化铝镓(AlGaAs)、砷化镓铟(GaInAs)、磷化镓铟(GaInP)、和/或磷砷化镓铟(GaInAsP);或其组合。在一些实施方式中,衬底202包括一种或者多种III-V族材料、一种或者多种II-VI族材料、或其组合。在一些实施方式中,衬底202是绝缘体上半导体衬底,例如绝缘体上硅(SOI)衬底、绝缘体上硅锗(SGOI)衬底、或者绝缘体上锗(GeOI)衬底。绝缘体上半导体衬底可以使用氧的注入(SIMOX)、晶圆接合、和/或其他合适的方法来制造。衬底202可以包括根据半导体器件200的设计要求配置的各种掺杂区(未示出),例如p型掺杂区、n型掺杂区、或其组合。P型掺杂区(例如p型阱)包括p型掺杂剂,例如硼(B)、二氟化硼(BF2)、其他p型掺杂剂、或其组合。N型掺杂区(例如n型阱)包括n型掺杂剂,例如磷(P)、砷(As)、其他n型掺杂剂、或其组合。可以实施离子注入工艺、扩散工艺、和/或其他合适的掺杂工艺,以形成各种掺杂区。衬底202在图2中以虚线示出,并且为了简单起见,在图3-图14中省略。
如图2所示,工件200包括多栅极器件的有源区204,例如鳍型场效应晶体管(FinFET)或者多桥沟道(MBC)晶体管。当有源区204用于FinFET时,有源区204可以是长度沿着X延伸的鳍部元件(或者鳍部结构)。当有源区204用于MBC晶体管时,有源区可以是沟道构件的垂直堆叠件,其中的每一个都是长度沿着X方向延伸。由于MBC晶体管的栅极结构包围在沟道区中的每一个的周围,因此MBC晶体管也可以称为环绕栅极晶体管(SGT)或者全环栅(GAA)晶体管。沟道构件以纳米结构的形式出现,例如纳米片、纳米线、或者纳米棒。有源区204可以通过对衬底202进行图案化或者在衬底202上方沉积的一个或者多个外延层来形成。在所描绘的实施例中,有源区204通过对衬底202的一部分进行图案化来形成,并且包括硅(Si)。虽然在附图中并未明确示出,但是隔离部件可以形成在有源区204和相邻的有源区(未明确示出)之间。在一些实施例中,隔离部件可以包括氧化硅、氮化硅、氧氮化硅、掺杂氟的硅酸盐玻璃(FSG)、低k电介质、其组合、和/或其他合适的材料。
如图2所示,工件200还包括设置在有源区204的沟道区10上方的第一栅极结构206-1、第二栅极结构206-2、和第三栅极结构206-3。为了便于参考,第一栅极结构206-1、第二栅极结构206-2、和第三栅极结构206-3可以统称为栅极结构206。有源区204的沟道区10由源极/漏极区20间插。沟道区10中的每一个介入于两个源极/漏极区20之间。栅极结构206包围在有源区204的沟道区10上方。当有源区204包括沟道构件的垂直堆叠件时,栅极结构206包围在沟道构件中的每一个的周围。虽然在附图中并未明确示出,但是栅极结构206中的每一个包括栅极介电层和位于栅极电介质上方的栅极电极。栅极介电层可以包括界面层和高k介电层。在一些情况下,界面层可以包括氧化硅。高k介电层通过具有高介电常数(例如大于氧化硅的介电常数(k≈3.9))的介电材料形成。用于高k介电层的示例性高k介电材料包括氧化铪(HfO)、氧化钛(TiO2)、氧化铪锆(HfZrO)、氧化钽(Ta2O5)、氧化铪硅(HfSiO4)、氧化锆(ZrO2)、氧化锆硅(ZrSiO2)、氧化镧(La2O3)、氧化铝(Al2O3)、氧化锆(ZrO)、氧化钇(Y2O3)、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、铪镧氧化物(HfLaO)、镧硅氧化物(LaSiO)、铝硅氧化物(AlSiO)、铪钽氧化物(HfTaO)、铪钛氧化物(HfTiO)、(Ba,Sr)TiO3(BST)、氮化硅(SiN)、氧氮化硅(SiON)、其组合、或者其他合适的材料。在一个实施例中,高k介电层由氧化铪(HfO)形成。栅极电极可以包括多层,例如功函层、胶合/阻挡层、和/或金属填充(或者块)层。功函层包括经调节以具有期望的功函数(例如n型功函数或者p型功函数)的导电材料,例如n型功函材料和/或p型功函材料。P型功函材料包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他p型功函材料、或其组合。N型功函材料包括Ti、Al、Ag、Mn、Zr、TiAl、TiAlC、TaC、TaCN、TaSiN、TaAl、TaAlC、TiAlN、其他n型功函材料、或其组合。胶合/阻挡层可以包括促进相邻层(例如功函层和金属填充层)之间的粘附的材料,和/或,阻挡和/或减少栅极层(例如功函层和金属填充层)之间的扩散的材料。例如,胶合/阻挡层包括金属(例如W、Al、Ta、Ti、Ni、Cu、Co、其他合适的金属、或其组合)、金属氧化物、金属氮化物(例如TiN)、或其组合。金属填充层可以包括合适的导电材料,例如铝(Al)、铜(Cu)、钨(W)、钌(Ru)、钛(Ti)、合适的金属、或其组合。当功函材料占据所有栅极开口时,金属填充层可以省略。
栅极结构206中的每一个的侧壁由栅极间隔件210衬垫。栅极间隔件210可以是单层或者多层。在一些实施例中,栅极间隔件210可以包括碳氮化硅、氧碳化硅、氧碳氮化硅、或者氮化硅。在一些实施例中,可以使用栅极替换或者后栅极工艺来形成栅极结构206。在后栅极工艺的示例中,伪栅极堆叠件形成在有源区204的沟道区10上方。然后,栅极间隔件210沉积在工件200上方,包括伪栅极堆叠件的侧壁上方。然后,实施各向异性蚀刻工艺,以凹进源极/漏极区20,以形成源极/漏极沟槽,留下沿着伪栅极堆叠件的侧壁延伸的后面的栅极间隔件210。在形成源极/漏极沟槽之后,第一源极/漏极部件205-1和第二源极/漏极部件205-2沉积至源极/漏极区20中的源极/漏极沟槽中。第一源极/漏极部件205-1和第二源极/漏极部件205-2可以通过气相外延(VPE)、超高真空CVD(UHV-CVD)、低压CVD(LPCVD)、和/或等离子体增强(PECVD)、分子束外延(MBE)、或者其他合适的外延工艺、或其组合来形成。源极/漏极部件也可以称为外延部件。根据半导体器件200的设计,第一源极/漏极部件205-1和第二源极/漏极部件205-2可以是n型或者p型。当它们是n型时,它们可以包括掺杂有n型掺杂剂(例如磷(P)或者砷(As))的硅(Si)。当它们是p型时,它们可以包括掺杂有p型掺杂剂(例如硼(B)或者二氟化硼(BF2))的硅锗(SiGe)。在一些实施方式中,可以实施退火工艺,以激活第一源极/漏极部件205-1和第二源极/漏极部件205-2中的掺杂剂。在所描绘的实施例中,第一源极/漏极部件205-1和第二源极/漏极部件205-2可以包括掺杂磷的硅(Si:P)或者掺杂硼的硅锗(SiGe:B)。
在形成源极/漏极部件(例如第一源极/漏极部件205-1和第二源极/漏极部件205-2)之后,接触蚀刻停止层(CESL)212和第一层间介电(ILD)层214沉积在工件200上方。在一些实施例中,CESL212可以包括氮化硅、氧氮化硅、和/或本领域已知的其他材料。CESL212可以使用原子层沉积(ALD)、等离子体增强ALD(PEALD)、等离子体增强化学气相沉积(PECVD)、和/或其他合适的沉积工艺来沉积。第一ILD层214可以包括的材料例如:正硅酸四乙酯(TEOS)氧化物,未掺杂的硅酸盐玻璃,或者诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、掺杂硼的硅玻璃(BSG)的掺杂硅的氧化物,和/或其他合适的介电材料。第一ILD层214可以通过CVD、可流动CVD(FCVD)、旋涂、或者其他合适的沉积技术,沉积在CESL212上方。然后使用化学机械抛光(CMP)工艺来平坦化工件200,以暴露伪栅极堆叠件。然后伪栅极堆叠件去除,并且用栅极结构206替代,栅极结构206的组成如上所述。
栅极结构206由覆盖层208覆盖。在一些实施例中,覆盖层208可以包括使用化学气相沉积(CVD)或者金属有机化学气相沉积(MOCVD)来沉积的无氟钨(FFW)。如图2所示,工件200还可以包括位于覆盖层208上方的自对准覆盖(SAC)层216。在一些实施例中,SAC层216可以包括氧化硅、氮化硅、碳化硅、碳氮化硅、氧氮化硅、氧碳氮化硅、氧化铝、氮化铝、氧氮化铝、氧化锆、氮化锆、氧化铝锆、氧化铪、或者合适的介电材料。SAC层216可以使用CVD、ALD、PEALD、或者合适的方法来沉积。
现在参考图1和图3,方法100包括框104,其中第一源极/漏极触点224-1形成为连接至第一源极/漏极部件205-1,第二源极/漏极触点224-2形成为连接至第二源极/漏极部件205-2。框104包括在源极/漏极区20上方穿过第一ILD层214和CESL212形成源极/漏极触点开口,以及在源极/漏极触点开口中形成第一源极/漏极触点224-1和第二源极/漏极触点224-2。源极/漏极触点开口的形成可以包括使用光刻工艺和/或蚀刻工艺。在一些实施方式中,光刻工艺包括:在工件200上方形成抗蚀剂层、使抗蚀剂层暴露于图案辐射、以及显影曝光的抗蚀剂层,从而形成图案化的抗蚀剂层。然后,使用图案化的抗蚀剂层作为掩模元件,对工件200进行干蚀刻工艺,以暴露第一源极/漏极部件205-1的一部分和第二源/漏部件205-2的一部分。框104处的干蚀刻工艺可以包括使用含氟气体(例如CF4、SF6、CH2F2、CHF3、和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4、和/或BCl3)、烃类物质(例如CH4)、含溴气体(例如HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体、和/或其组合。在形成源极/漏极触点开口之后,在源极/漏极触点开口中形成硅化物层218。在一些情况下,硅化物层218可以包括硅化钛、硅化钴、硅化镍、硅化钽、或者硅化钨。之后,使用自下而上的选择性CVD在硅化物层218上方沉积金属填充层222,以在第一源极/漏极部件205-1上方形成第一源极/漏极触点224-1,以及在第二源极/漏极部件205-2上方形成第二源极/漏极触点224-2。值得注意的是,由于使用了自下而上的选择性CVD,因此在沉积金属填充层222之前,并未沉积阻挡层。自下而上的选择性CVD提供了金属在金属上金属的选择性沉积,其具有较慢的常规CVD沉积速率。金属填充层222可以包括钌(Ru)、钴(Co)、镍(Ni)、或者铜(Co)。在所描绘的实施例中,金属填充层222包括钴(Co)。在沉积金属填充层222之后,可以实施化学机械抛光(CMP)工艺,以去除多余的材料,并且限定第一源极/漏极触点224-1和第二源极/漏极触点224-2的最终形状。在CMP工艺之后,工件200的顶面基本上是平坦的。
现在参考图1和图4,方法100包括框106,其中中间蚀刻停止层(MESL)226和第二层间介电(ILD)层228沉积在工件200上方。在框106,MESL226和第二层间介电(ILD)层228顺序地沉积在工件200上方。在一些实施例中,MESL226的组成和形成工艺可以与CESL212的组成和形成工艺类似,而第二ILD层228的组成和形成工艺可以与第一ILD层214的组成和形成工艺类似。
参考图1和图5,方法100包括框108,其中形成对接触点开口230,以暴露第一栅极结构206-1上方的覆盖层208,以及第一源极/漏极触点224-1。在示例性工艺中,图案化的光刻胶层可以形成在工件200上方,以暴露位于第一栅极结构206-1和第一源极/漏极触点224-1正上方的区域。然后,使用图案化的光刻胶层作为蚀刻掩模,来各向异性地蚀刻工件200。由于在框108处的各向异性蚀刻对于第二ILD层228、MESL226、和SAC层216具有选择性,因此对接触点开口230的端点可以落在第一源极/漏极触点224--1的顶面上,以及第一栅极结构206-1上方的覆盖层208的顶面上。结果,形成了图5所示的对接触点开口230。对接触点开口230不仅暴露第一源极/漏极触点224-1,而且暴露第一栅极结构206-1上方的覆盖层208。框108处的各向异性蚀刻工艺可以是干蚀刻,其使用氧(O2)、氮(N2)、含氟气体(例如CF4、SF6、NF3、BF3、CH2F2、CHF3、和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4、和/或BCl3)、烃类物质(例如CH4)、含溴气体(例如HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体、和/或其组合。在一些实施例中,在形成对接触点开口230之后,可以实施预清洁工艺,以从第一源极/漏极触点224-1和覆盖层208去除氧化物。
参考图1和图6,方法100包括框110,其中对接触点232形成在对接触点开口230中。框110处的操作包括:阻挡层231和金属填充层沉积至对接触点开口230中,并且平坦化工件200,以去除多余的金属材料。在框110处,阻挡层231可以使用物理气相沉积(PVD)来沉积,并且金属填充层可以使用化学气相沉积(CVD)沉积在阻挡层231上方。在一些实施例中,阻挡层231可以包括诸如氮化钛(TiN)的金属氮化物,而阻挡层231上方的金属填充层可以包括钨(W)。值得注意的是,对接触点232的沉积工艺不同于源极/漏极触点的沉积。如以上所描述的,源极/漏极触点(例如第一源极/漏极触点224-1)是使用自下而上的选择性CVD来沉积,并且无阻挡,而对接触点232是使用CVD来沉积,并且包括阻挡层231。在沉积金属材料之后,工件200通过例如CMP工艺进行平坦化,直至第二ILD层228上方的所有金属材料去除。在平坦化之后,对接触点232形成在对接触点开口230中。对接触点232(或者,精确地,对接触点232的阻挡层231)与第二ILD层228、MESL226、第一源极/漏极触点224-1的金属填充层222、栅极间隔件210、SAC层216、和第一栅极结构206-1上方的覆盖层208直接接触。由于覆盖层208是导电的,因此落在第一源极/漏极触点224-1和覆盖层208上的对接触点232电连接至第一源极/漏极触点224-1和第一栅极结构206-1。
参考图1和图7,方法100包括框112,其中第三ILD层234沉积在工件200上方。如同第一ILD层214和第二ILD层228,第三ILD层234可以包括的材料例如:正硅酸四乙酯(TEOS)氧化物,未掺杂的硅酸盐玻璃,或者诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、掺杂硼的硅玻璃(BSG)的掺杂硅的氧化物,和/或其他合适的介电材料。第三ILD层234可以通过CVD、可流动CVD(FCVD)、旋涂、或者其他合适的沉积技术,沉积在第二ILD层228和对接触点232上方。
参考图1和图8,方法100包括框114,其中形成源极/漏极触点通孔开口236,以暴露第二源极/漏极触点224-2。源极/漏极触点通孔开口236的形成可以包括光刻工艺和蚀刻工艺。光刻工艺形成蚀刻掩模,其包括位于第二源极/漏极触点224-2正上方的开口。参考图8,然后实施干蚀刻工艺,以完全地蚀刻穿过第三ILD层234、第二ILD层228、和MESL226,以暴露第二源极/漏极触点224-2的金属填充层222的顶面。框114处的示例性干蚀刻工艺可以包括使用氧气(O2)、氮气(N2)、氢气(H2)、含氟气体(例如CF4、SF6、NF3、BF3、CH2F2、CHF3、和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4、和/或BCl3)、含溴气体(例如HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体、和/或其组合。在一个实施例中,源极/漏极触点通孔开口236使用氮等离子体、氢等离子体、或者两者来蚀刻。
参考图1和图9,方法100包括框116,其中第二源极/漏极触点224-2凹进。可以实施选择性湿蚀刻工艺,以选择性地使第二源极/漏极触点224-2的金属填充层222凹进,以使源极/漏极触点通孔开口236延伸至第二源极/漏极触点224-2中。在一些实施方式中,选择性湿蚀刻工艺包括使用去离子(DI)水、硝酸(HNO3)、过氧化氢(H2O2)、盐酸盐(HCl)、或者异丙醇(IPA)。在一个实施例中,金属填充层222由钴(Co)形成,并且框116处的凹进使用过氧化氢(H2O2)来实施。如图9所示,由于湿蚀刻工艺的各向同性性质,使得第二源极/漏极触点224-2的金属填充层222的顶面变为凹形或者坑状。在图9所代表的一些实施例中,在凹进之后,源极/漏极触点通孔开口236的一部分可以在第二源极/漏极触点224-2周围底切MESL226。框116处的凹进可以改善粘附力,并且增加与将要形成的源极/漏极触点通孔240(将在下面描述)的界面表面积。
参考图1和图10,方法100包括框118,其中源极/漏极触点通孔240形成在源极/漏极触点通孔开口236中。框118处的操作可以包括金属沉积和表面平坦化。在示例性工艺中,金属填充层沉积在工件200上方,包括源极/漏极触点通孔开口236中。在一些实施例中,金属填充层可以包括钨(W)或者钌(Ru)。在所描绘的实施例中,金属填充层包括钨(W)。在一些实施方式中,金属填充层可以使用自下而上的选择性CVD或者合适的沉积技术来沉积。在沉积金属填充层之后,实施CMP工艺,以平坦化工件200,以去除多余的材料,并且形成源极/漏极触点通孔240。如图10所示,源极/漏极触点通孔240延伸穿过第三ILD层234、第二ILD层228、和MESL226。由于框116处的凹进工艺,使得源极/漏极触点通孔240部分地延伸至第二源极/漏极触点224-2的金属填充层222中,并且可以在第二源极/漏极触点224-2的边缘周围底切MESL226。在图10所代表的一些实施例中,源极/漏极触点通孔240的顶面比对接触点232的顶面高出的差基本上等于第三ILD层234的厚度。
参考图1和图11,方法100包括框120,其中第四介电层242沉积在工件200上方。如同第一ILD层214和第二ILD层228,第四ILD层242可以包括的材料例如:正硅酸四乙酯(TEOS)氧化物,未掺杂的硅酸盐玻璃,或者诸如硼磷硅酸盐玻璃(BPSG)、熔融石英玻璃(FSG)、磷硅酸盐玻璃(PSG)、掺杂硼的硅玻璃(BSG)的掺杂硅的氧化物,和/或其他合适的介电材料。第四ILD层242可以通过CVD、可流动CVD(FCVD)、旋涂、或者其他合适的沉积技术,沉积在第三ILD层234上方和源极/漏极触点通孔240的顶面上方。
参考图1和图12,方法100包括框122,其中形成栅极触点开口244,以暴露第二栅极结构206-2上方的覆盖层208。在第二栅极结构206-2或者第三栅极结构206-3上方、穿过第四ILD层242、第三ILD层234、第二ILD层228、MESL226、和SAC层216形成栅极触点开口244,可以包括光刻工艺和/或蚀刻工艺的使用。光刻工艺包括:在第四ILD层242上方形成抗蚀剂层、使抗蚀剂层暴露于图案辐射、以及显影曝光的抗蚀剂层,从而形成图案化的抗蚀剂层。然后,使用图案化的抗蚀剂层作为蚀刻掩模,在干蚀刻工艺中对工件200进行蚀刻。用于框122的示例性干蚀刻工艺可以包括使用氧气(O2)、氮气(N2)、氢气(H2)、含氟气体(例如CF4、SF6、NF3、BF3、CH2F2、CHF3、和/或C2F6)、含氯气体(例如Cl2、CHCl3、CCl4、和/或BCl3)、含溴气体(例如HBr和/或CHBr3)、含碘气体、其他合适的气体和/或等离子体、和/或其组合。在干蚀刻工艺之后,图案化的抗蚀剂层可以通过灰化去除。可以实施湿式清洁工艺,以去除第二栅极结构206-2和第三栅极结构206-3上方的覆盖层208上的碎屑。在一些实施方式中,湿式清洁工艺可以包括使用去离子(DI)水或者异丙醇(IPA)。
参考图1、图13、和图14,方法100包括框124,其中栅极触点250形成在栅极触点开口244中。栅极触点250可以包括金属填充层248。用于栅极触点250的金属填充层248可以包括钨(W)或者钌(Ru),并且可以使用自下而上的选择性CVD进行沉积。在如图13所示金属填充层248沉积在工件200上方之后,以CMP工艺对工件200进行平坦化,以形成如图14所示的栅极触点250。在框124处实施平面化,直至栅极触点250、源极/漏极触点通孔240、和第三ILD层234的顶面共面。栅极触点250、源极/漏极触点通孔240、和第三ILD层234的顶面均比对接触点232的顶面高出第三ILD层234的厚度T。在一些情况下,图14中的第三ILD层234的厚度T可以在约5nm和约45nm之间。当第三ILD层234的厚度大于45nm时,栅极触点开口244或者源极/漏极触点通孔开口236的纵横比可能太大而导致不能令人满意的金属填充。当第三ILD层234的厚度小于5nm时,对接触点232可能不能与上覆的金属线或者触点结构充分地绝缘。
仍然参考图14。根据本发明,对接触点232包括延伸至第一栅极结构206-1上方的SAC层216中的下部,和设置在第一源极/漏极触点224-1和下部之上的上部。对接触点232的下部设置在两个相邻的栅极间隔件210之间,并且与第一栅极结构206-1上的覆盖层208接触。对接触点232的上部设置在MESL226和第二ILD层228中。如图14所示,沿着垂直于衬底202(图2所示)的Z方向,下部具有第一高度H1,并且上部具有第二高度H2。在所描绘的实施例中,第一高度H1基本上对应于SAC层216的厚度,并且落在约10nm和约25nm之间的范围内。当SAC层216的厚度大于25nm时,当形成对接触点开口230时,用以破坏SAC层216所需的额外蚀刻可能会穿透第一源极/漏极触点224-1。当SAC层216的厚度小于10nm时,则对接触点开口230可能会横向扩展,导致与相邻的栅极触点的不希望的连接。上部的第二高度H2基本上对应于MESL226和第二ILD层228的总厚度,并且可以在约30nm和约40nm之间。当第二高度H2小于30nm时,对接触点开口230的形成可能会损坏第一栅极结构206-1和覆盖层208。当第二高度H2大于40时,对接触点开口230可能不能令人满意地暴露第一栅极结构206-1上方的覆盖层208。对接触点232具有第三高度H3,其是下部的第一高度H1和上部的第二高度H2的总和。在一些情况下,第三高度H3可以在约40nm和60nm之间。下部具有沿着X方向的第一顶部开口宽度W1,而上部具有沿着X方向的第二开口宽度W2。在一些情况下,第一顶部开口宽度W1可以在约10nm和约25nm之间,而第二顶部开口宽度W2可以在约14nm和约40nm之间。当第二顶部开口宽度W2小于14nm时,对接触点232可能在第一源极/漏极触点224-1上具有不良的着落。当第二顶部开口宽度W2大于40nm时,对接触点232可能与相邻的栅极触点接触,导致不期望的电连接。总体上,本发明的对接触点232具有在约0.9和约2之间的标称纵横比(即,第三高度H3除以第二顶部开口宽度W2或者H3/W2)。可以看出,如果假设的对接触点也延伸穿过具有厚度T的第三ILD层234,则其纵横比将会计算为第三高度H3和厚度T之和除以第二开口宽度W2。这种假设的对接触点具有在约1.5和3之间的标称纵横比,这会妨碍在其下部中的令人满意的金属填充,并且可能导致空隙和缺陷。这些空隙和缺陷可能会增加接触电阻。
图14描绘了沿着相同的截面示出对接触点232、源极/漏极触点通孔240、和栅极触点250。在一些实施例中,虽然形状、深度、和相对垂直位置可以保持相同,但是对接触点232、源极/漏极触点通孔240、和栅极触点250可以不在相同的截面上。图15提供了示例,其中半导体器件200的对接触点232、源极/漏极触点通孔240、和栅极触点250不一定出现在一个截面上。图15中的半导体器件200包括长度沿着Y方向延伸的多个栅极结构206、长度沿着X方向延伸的多个有源区204、以及长度沿着Y方向延伸的多个源极/漏极触点224。半导体器件200包括多个对接触点232、多个源极/漏极触点通孔240、和多个栅极触点250。对接触点232中的每一个跨越并且电连接至栅极结构206和相邻的源极/漏极触点224。源极/漏极触点通孔240中的每一个设置在源极/漏极触点224的正上方。栅极触点250中的每一个设置在栅极结构206正上方,并且电连接至栅极结构206。如图15所示,沿着X方向切开对接触点232的截面并未切穿任何源极/漏极触点通孔240或者任何栅极触点250。
本发明的对接触点和方法提供了多个益处。例如,暴露栅极结构和相邻的源极/漏极触点的对接触点开口并未深于源极/漏极触点通孔开口或者栅极触点开口。这样,对接触点开口具有较小的纵横比,这有利于令人满意的金属填充。对接触点可以由钨(W)形成,并且可以使用PVD和CVD的组合进行沉积。较小的纵横比和两阶段金属填充,可以改善对接触点的完整性,并且减小至栅极结构的接触电阻。
本发明提供了许多不同的实施例。在一个实施例中,提供了一种方法。该方法包括:接收工件,包括:第一栅极结构,在第一栅极结构上包括第一覆盖层;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构,在第二栅极结构上包括第二覆盖层;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;以及第一介电层,位于ESL上方。该方法还包括:形成对接触点开口,以暴露第一覆盖层和第一源极/漏极触点;在对接触点开口中形成对接触点;在形成对接触点之后,沉积第二介电层;形成穿过第二介电层、ESL层、和第一介电层的源极/漏极触点通孔开口,以暴露第二源极/漏极触点;以及在源极/漏极触点通孔开口中形成源极/漏极触点通孔。
在一些实施例中,该方法可以还包括:在形成源极/漏极触点通孔之后,在源极/漏极触点通孔上方沉积第三介电层;形成栅极触点通孔开口,以暴露第二覆盖层;以及在栅极触点通孔开口中形成栅极触点通孔。在一些实施例中,该方法可以还包括:在形成源极/漏极触点通孔之前,凹进第二源极/漏极触点。在一些实施方式中,凹进第二源极/漏极触点包括使用过氧化氢。在一些情况下,第一覆盖层和第二覆盖层包括无氟钨。在一些实施例中,第一源极/漏极触点和第二源极/漏极触点包括钴。在一些实施例中,形成对接触点包括:使用物理气相沉积(PVD)在对接触点开口上方沉积阻挡层;使用化学气相沉积(CVD)在阻挡层上方沉积金属填充层;以及平坦化所沉积的金属填充层。在一些实施例中,在平坦化之后,对接触点的顶面与第一介电层的顶面共面。在一些实施方式中,金属填充层包括钨。
在另一个实施例中,提供了一种方法。该方法包括:接收工件,包括:第一栅极结构;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;以及第一介电层,位于ESL上方。该方法可以还包括:形成对接触点,以连接至第一栅极结构和第一源极/漏极触点;在第一介电层和对接触点上方沉积第二介电层;形成穿过第二介电层、第一介电层、和ESL层的源极/漏极触点通孔,以连接第二源极/漏极触点;在源极/漏极触点通孔和第二介电层上方沉积第三介电层;以及形成穿过第三介电层、第二介电层、第一介电层、和ESL层的栅极触点,以连接第二栅极结构。
在一些实施例中,该方法可以还包括:在形成栅极触点之后,平坦化工件,直至栅极触点的顶面与源极/漏极触点通孔的顶面共面。在一些实施方式中,工件可以还包括:第一覆盖层,位于第一栅极结构上方;第二覆盖层,位于第二栅极结构上方;第一自对准覆盖(SAC)层,位于第一覆盖层上方;以及第二SAC层,位于第二覆盖层上方。在一些实施例中,对接触点的一部分延伸穿过第一SAC层,以着落在第一覆盖层上。在一些实施方式中,栅极触点延伸穿过第二SAC层,以着落在第二覆盖层上。在一些实施例中,形成对接触点包括:形成对接触点开口,以暴露第一源极/漏极触点和第一覆盖层的顶面;使用物理气相沉积(PVD)在对接触点开口上方沉积阻挡层;使用化学气相沉积(CVD)在阻挡层上方沉积金属填充层;以及平坦化所沉积的金属填充层。在一些实施例中,金属填充层包括钨。
在又一个实施例中,提供了一种半导体结构。半导体结构包括:第一栅极结构,在第一栅极结构上包括第一覆盖层;第一源极/漏极触点,邻接第一栅极结构;第二栅极结构,在第二栅极结构上包括第二覆盖层;第二源极/漏极触点;蚀刻停止层(ESL),位于第一源极/漏极触点和第二源极/漏极触点上方;第一介电层,位于ESL上方;第二介电层,位于第一介电层上方;对接触点,横跨在第一栅极结构和第一源极/漏极触点上方,对接触点与第一源极/漏极触点和第一覆盖层接触;源极/漏极触点通孔,设置在第二源极/漏极触点上方;栅极触点,设置在第二覆盖层上方。第二介电层设置在对接触点的顶面正上方。
在一些实施例中,第一源极/漏极触点和第二源极/漏极触点包括钴。在一些情况下,第一覆盖层和第二覆盖层包括无氟钨。在一些实施例中,对接触点包括钨。
前面概述了若干实施例的特征,使得本领域的技术人员可以更好地理解本公开的各个方面。本领域的技术人员应该理解,他们可以容易地使用本公开作为用于设计或修改用于执行与本公开相同或类似的目的和/或实现相同或类似优点的其他工艺和结构的基础。本领域的技术人员还应该意识到,这种等效结构不背离本公开的精神和范围,并且可以进行各种改变、替换和变更而不背离本公开的精神和范围。

Claims (10)

1.一种形成触点结构的方法,包括:
接收工件,包括:
第一栅极结构,在所述第一栅极结构上包括第一覆盖层;
第一源极/漏极触点,邻接所述第一栅极结构;
第二栅极结构,在所述第二栅极结构上包括第二覆盖层;
第二源极/漏极触点;
蚀刻停止层(ESL),位于所述第一源极/漏极触点和所述第二源极/漏极触点上方;以及
第一介电层,位于所述ESL上方;
形成对接触点开口,以暴露所述第一覆盖层和所述第一源极/漏极触点;
在所述对接触点开口中形成对接触点;
在所述形成所述对接触点之后,沉积第二介电层;
形成穿过所述第二介电层、所述ESL层、和所述第一介电层的源极/漏极触点通孔开口,以暴露所述第二源极/漏极触点;以及
在所述源极/漏极触点通孔开口中形成源极/漏极触点通孔。
2.根据权利要求1所述的形成触点结构的方法,还包括:
在所述形成所述源极/漏极触点通孔之后,在所述源极/漏极触点通孔上方沉积第三介电层;
形成栅极触点通孔开口,以暴露所述第二覆盖层;以及
在所述栅极触点通孔开口中形成栅极触点通孔。
3.根据权利要求1所述的形成触点结构的方法,还包括:
在所述形成源极/漏极触点通孔之前,凹进所述第二源极/漏极触点。
4.根据权利要求3所述的形成触点结构的方法,其中,所述凹进所述第二源极/漏极触点包括使用过氧化氢。
5.根据权利要求1所述的形成触点结构的方法,其中,所述第一覆盖层和所述第二覆盖层包括无氟钨。
6.根据权利要求1所述的形成触点结构的方法,其中,所述第一源极/漏极触点和所述第二源极/漏极触点包括钴,并且无阻挡层。
7.根据权利要求1所述的形成触点结构的方法,其中,所述形成所述对接触点包括:
使用物理气相沉积(PVD)在所述对接触点开口上方沉积阻挡层;
使用化学气相沉积(CVD)在所述阻挡层上方沉积金属填充层;以及
平坦化所沉积的所述金属填充层。
8.根据权利要求7所述的形成触点结构的方法,其中,在所述平坦化之后,所述对接触点的顶面与所述第一介电层的顶面共面。
9.一种形成触点结构的方法,包括:
接收工件,包括:
第一栅极结构;
第一源极/漏极触点,邻接所述第一栅极结构;
第二栅极结构;
第二源极/漏极触点;
蚀刻停止层(ESL),位于所述第一源极/漏极触点和所述第二源极/漏极触点上方;以及
第一介电层,位于所述ESL上方;
形成对接触点,以连接至所述第一栅极结构和所述第一源极/漏极触点;
在所述第一介电层和所述对接触点上方沉积第二介电层;
形成穿过所述第二介电层、所述第一介电层、和所述ESL层的源极/漏极触点通孔,以连接所述第二源极/漏极触点;
在所述源极/漏极触点通孔和所述第二介电层上方沉积第三介电层;以及
形成穿过所述第三介电层、所述第二介电层、所述第一介电层、和所述ESL层的栅极触点,以连接所述第二栅极结构。
10.一种半导体结构,包括:
第一栅极结构,在所述第一栅极结构上包括第一覆盖层;
第一源极/漏极触点,邻接所述第一栅极结构;
第二栅极结构,在所述第二栅极结构上包括第二覆盖层;
第二源极/漏极触点;
蚀刻停止层(ESL),位于所述第一源极/漏极触点和所述第二源极/漏极触点上方;
第一介电层,位于所述ESL上方;
第二介电层,位于所述第一介电层上方;
对接触点,横跨在所述第一栅极结构和所述第一源极/漏极触点上方,所述对接触点与所述第一源极/漏极触点和所述第一覆盖层接触;
源极/漏极触点通孔,设置在所述第二源极/漏极触点上方;以及
栅极触点,设置在所述第二覆盖层上方,
其中,所述第二介电层设置在所述对接触点的顶面正上方。
CN202210064591.3A 2021-02-19 2022-01-20 半导体结构及形成触点结构的方法 Pending CN114975251A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163151108P 2021-02-19 2021-02-19
US63/151,108 2021-02-19
US17/229,069 2021-04-13
US17/229,069 US11658215B2 (en) 2021-02-19 2021-04-13 Method of forming contact structures

Publications (1)

Publication Number Publication Date
CN114975251A true CN114975251A (zh) 2022-08-30

Family

ID=82702129

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210064591.3A Pending CN114975251A (zh) 2021-02-19 2022-01-20 半导体结构及形成触点结构的方法

Country Status (5)

Country Link
US (2) US11658215B2 (zh)
KR (1) KR102593872B1 (zh)
CN (1) CN114975251A (zh)
DE (1) DE102021109760A1 (zh)
TW (1) TWI808497B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11652171B2 (en) * 2021-02-22 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact for semiconductor device and method of forming thereof
US20230323543A1 (en) * 2022-04-06 2023-10-12 Applied Materials, Inc. Integrated cleaning and selective molybdenum deposition processes

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
KR101919040B1 (ko) 2012-08-13 2018-11-15 삼성전자주식회사 반도체 기억 소자
US8901627B2 (en) 2012-11-16 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Jog design in integrated circuits
US8921947B1 (en) 2013-06-10 2014-12-30 United Microelectronics Corp. Multi-metal gate semiconductor device having triple diameter metal opening
US20160336183A1 (en) 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9997522B2 (en) 2015-12-03 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
DE102017118475B4 (de) 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10083863B1 (en) 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US10522423B2 (en) * 2017-08-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for fin-like field effect transistor
US10157790B1 (en) 2017-09-28 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10658237B2 (en) * 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US10950729B2 (en) 2018-10-26 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure with insulating cap
US10777455B2 (en) 2019-01-29 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-etching process for forming via opening in semiconductor device structure

Also Published As

Publication number Publication date
TWI808497B (zh) 2023-07-11
US20230299154A1 (en) 2023-09-21
TW202234581A (zh) 2022-09-01
KR102593872B1 (ko) 2023-10-24
US20220271130A1 (en) 2022-08-25
DE102021109760A1 (de) 2022-08-25
US11658215B2 (en) 2023-05-23
KR20220118880A (ko) 2022-08-26

Similar Documents

Publication Publication Date Title
US20230299154A1 (en) Method of forming contact structures
CN113054026A (zh) 半导体器件及其形成方法
US20230187270A1 (en) Etch profile control of gate contact opening
US20230361185A1 (en) Etch profile control of via opening
TW202221793A (zh) 半導體裝置及其形成方法
CN113809076A (zh) 半导体结构及其形成方法
CN114078847A (zh) 半导体结构及其制造方法
US20230395686A1 (en) Semiconductor device with gate isolation features and fabrication method of the same
US20220045051A1 (en) Gate Isolation Structure
CN113363257A (zh) 半导体器件及其形成方法
US20230290842A1 (en) Common rail contact
CN113113468A (zh) 半导体器件和半导体结构
US20230298934A1 (en) Etch profile control of gate contact opening
US11316023B2 (en) Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
CN113745215A (zh) 半导体结构、半导体器件及其形成方法
US20220336269A1 (en) Homogeneous source/drain contact structure
CN219873539U (zh) 半导体结构
US20230245920A1 (en) Semiconductor structure and method for manufacturing the same
US20220285346A1 (en) Semiconductor Devices and Methods of Fabricating the Same
US20220406900A1 (en) Gate Isolation Features In Semiconductor Devices And Methods Of Fabricating The Same
US20230187518A1 (en) Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof
US20240030070A1 (en) Etch profile control of via opening
CN116598346A (zh) 多栅极器件的栅极结构
KR20230127123A (ko) 트랜지스터에 대한 아이솔레이션 구조물
CN115148604A (zh) 半导体装置及其制造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination