DE102020121496A1 - Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen - Google Patents

Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen Download PDF

Info

Publication number
DE102020121496A1
DE102020121496A1 DE102020121496.0A DE102020121496A DE102020121496A1 DE 102020121496 A1 DE102020121496 A1 DE 102020121496A1 DE 102020121496 A DE102020121496 A DE 102020121496A DE 102020121496 A1 DE102020121496 A1 DE 102020121496A1
Authority
DE
Germany
Prior art keywords
layer
contact
source
drain
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020121496.0A
Other languages
English (en)
Inventor
Shih-Che Lin
Po-Yu Huang
Chao-Hsun Wang
Kuo-Yi Chao
Mei-Yun Wang
Feng-Yu Chang
Rueijer Lin
Wei-Jung Lin
Chen-Yuan Kao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/984,884 external-priority patent/US11532561B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020121496A1 publication Critical patent/DE102020121496A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es werden Durchkontaktierungen zusammen mit Verfahren für die Fertigung von Durchkontaktierungen offenbart, die eine geringere Kapazität und einen geringeren Widerstand aufweisen. Eine beispielhafte Interconnect-Struktur enthält einen ersten und einen zweiten Source-Drain-Kontakt, die in einer Dielektrikumschicht angeordnet sind. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit einem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit einem zweiten Source-Drain-Element. Eine erste Durchkontaktierung mit einer ersten Durchkontaktierungsschichtkonfiguration, eine zweite Durchkontaktierung mit einer zweiten Durchkontaktierungsschichtkonfiguration und eine dritte Durchkontaktierung mit einer dritten Durchkontaktierungsschichtkonfiguration sind in der Dielektrikumschicht angeordnet. Die erste und die zweite Durchkontaktierung erstrecken sich bis in den ersten beziehungsweise den zweiten Source-Drain-Kontakt und befinden sich damit in physischem Kontakt. Eine erste Dicke der ersten Durchkontaktierung entspricht einer zweiten Dicke der zweiten Durchkontaktierung. Die dritte Durchkontaktierung befindet sich in physischem Kontakt mit einer Gate-Struktur, die zwischen dem ersten und dem zweiten Source-Drain-Kontakt angeordnet ist.

Description

  • Bei der vorliegenden Anmeldung handelt es sich um eine nicht-vorläufige Anmeldung, und sie beansprucht die Priorität der US-Patentanmeldung mit der lfd. Nr. 62/907,823 , die am 30. September 2019 eingereicht wurde und durch Verweis darauf als in ihrer Gesamtheit in die vorliegende Anmeldung mit aufgenommen gilt.
  • HINTERGRUND
  • Die Halbleiterindustrie hat exponentielles Wachstum erfahren. Durch technische Fortschritte bei Materialien und Designs für integrierte Schaltungen (IC - Integrated Circuit) sind Generationen von IC entstanden, bei denen die Schaltungen von Generation zu Generation immer kleiner und komplexer geworden sind. Im Verlauf der IC-Entwicklung hat sich die Funktionsdichte (d.h. die Anzahl miteinander verbundener IC-Bauteile pro Chipfläche) allgemein erhöht, während sich das Geometriemaß (d.h. Abmessungen und/oder Größe von IC-Merkmalen und/oder Abstände zwischen diesen IC-Merkmalen) verringert hat. Eine Verkleinerung ist in der Regel nur durch eine lithografische Definierbarkeit von IC-Merkmalen bei den immer kleiner werdenden Geometriemaßen begrenzt gewesen. Beim Umsetzen kleinerer Geometriemaße zum Erzielen von IC mit höherer Betriebsgeschwindigkeit (z.B. durch Reduzieren der Entfernungen, die elektrische Signale zurücklegen müssen) hat sich jedoch eine Widerstand-Kapazität-Verzögerung (RC-Verzögerung) als wesentliches Problem erwiesen, was manche der Vorteile zunichtemacht, die durch Verkleinerung erzielt werden, und einer weiteren Verkleinerung von IC entgegensteht. Eine RC-Verzögerung gibt allgemein eine Verzögerung bei der Geschwindigkeit von elektrischen Signalen in einer IC an, die sich als Produkt aus Widerstand (R) (d.h. wie ein Material dem Fließen von elektrischem Strom entgegenwirkt) und Kapazität (C) (d.h. Fähigkeit eines Materials, elektrische Ladung zu speichern) ergibt. Daher ist eine Reduzierung sowohl des Widerstands als auch der Kapazität erwünscht, damit sich die RC-Verzögerung reduzieren und die Leistung verkleinerter IC optimieren lässt. Die Auswirkungen von Interconnects von IC, die IC-Bausteine und/oder IC-Merkmale der IC physisch und/oder elektrisch verbinden, auf die RC-Verzögerung sind besonders problematisch. Somit besteht ein Bedarf, Interconnects von IC und/oder Verfahren zum Fertigen der Interconnects zu verbessern.
  • Figurenliste
  • Die vorliegende Offenbarung lässt sich am besten nachvollziehen, wenn die nachfolgende ausführliche Beschreibung zusammen mit den beiliegenden Figuren studiert wird. Es sei betont, dass diverse Merkmale der in der Branche üblichen Praxis entsprechend nicht maßstabsgerecht dargestellt sind und nur zur Veranschaulichung dienen. Die Maße für die diversen Merkmale können zum Zwecke der Veranschaulichung vielmehr beliebig vergrößert oder verringert sein.
    • Bei den 1A und 1B handelt es sich um ein Ablaufdiagramm für ein Verfahren zum Fertigen eines Teils einer mehrschichtigen Interconnect-Struktur gemäß verschiedenen Aspekten der vorliegenden Offenbarung.
    • Die 2 bis 6, 7A bis 11A, 7B bis 11B, 7C bis 11C und 12 bis 16 sind schematische Teilansichten eines (Teils des oder des gesamten) IC-Bauteils in verschiedenen Phasen der Fertigung einer mehrschichtigen Interconnect-Struktur wie dem Verfahren zum Fertigen der mehrschichtigen Interconnect-Struktur des IC-Bauteils aus 1A und 1B gemäß einigen Ausführungsformen der vorliegenden Offenbarung.
    • Die 17A bis 17C sind schematische Teilansichten eines (Teils des oder des gesamten) IC-Bauteils mit einer mehrschichtigen Interconnect-Struktur, die mit dem Verfahren aus 1A und 1B gefertigt werden kann, gemäß anderen Ausführungsformen der vorliegenden Offenbarung.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die vorliegende Offenbarung betrifft allgemein IC-Bauteile und insbesondere mehrschichtige Interconnect-Strukturen für IC-Bauteile.
  • Die nachfolgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für das Umsetzen verschiedener Merkmale der Erfindung. Nachfolgend werden zur Vereinfachung der vorliegenden Offenbarung bestimmte Beispiele für Komponenten und Anordnungen beschrieben. Dabei handelt es sich natürlich lediglich um Beispiele, die keine Einschränkung darstellen sollen. In der nachfolgenden Beschreibung können zum Ausbilden eines ersten Elements über oder auf einem zweiten Element beispielsweise Ausführungsformen gehören, bei denen das erste und das zweite Element in direktem Kontakt ausgebildet werden, sowie Ausführungsformen, bei denen zwischen dem ersten und dem zweiten Element zusätzliche Elemente ausgebildet sein können, so dass sich das erste und das zweite Element möglicherweise nicht in direktem Kontakt befinden. Begriffe mit räumlichem Bezug, wie zum Beispiel „untere/r“, „obere/r“, „horizontal“, „vertikal“, „oberhalb“, „auf“, „unterhalb“, „unter“, „nach oben“, „nach unten“, „oben“, „unten“ usw. sowie Ableitungen davon (z.B. „horizontale/r“, „nach unten gerichtete/r“, „nach oben gerichtete/r“ usw.) dienen hier zudem der einfacheren Offenbarung der Beziehung eines Elements zu einem anderen. Die Begriffe mit räumlichem Bezug sollen unterschiedliche Ausrichtungen des Bauteils, das die Merkmale aufweist, mit abdecken. Darüber hinaus soll, wenn eine Zahl oder ein Zahlenbereich mit „etwa“, „ungefähr“ und dergleichen beschrieben wird, der Begriff Zahlen erfassen, die im Hinblick auf Abweichungen, welche - wie Durchschnittsfachleuten bekannt ist - beim Herstellen anlagebedingt entstehen, in einem angemessenen Bereich liegen. Die Zahl oder der Zahlenbereich umfasst zum Beispiel auf der Grundlage bekannter Fertigungstoleranzen, die mit der Herstellung eines Elements mit einer mit der Zahl verknüpften Eigenschaft verknüpft sind, einen angemessenen Bereich einschließlich der beschriebenen Zahl, wie beispielsweise innerhalb von +/-10% der beschriebenen Zahl. So kann zum Beispiel eine Materialschicht mit einer Dicke von „etwa 5 nm“ einen Maßbereich von 4,5 nm bis 5,5 nm umfassen, wobei Durchschnittsfachleuten bekannt ist, dass mit dem Abscheiden der Materialschicht verknüpfte Fertigungstoleranzen +/-10% betragen. Zudem können sich bei der vorliegenden Offenbarung Bezugszahlen und/oder Bezugszeichen in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Vereinfachung und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erläuterten Ausführungsformen und/oder Konfigurationen vor.
  • IC-Technologien stoßen immer weiter in den Bereich von Sub-20nm-Technologieknoten vor, so dass schrumpfende kritische Maße auf Bauteilschichten von IC (z.B. Gate-Längen, Gate-Abstände, Finnenabstände usw.) zu einem entsprechenden Schrumpfen kritischer Maße der Interconnects (z.B. Maße für Durchkontaktierungen, Maße für Metallleitungen, Abstände von Durchkontaktierungen, Abstände von Metalleitungen usw.) geführt haben, die ein Funktionieren der Bauteilschichten ermöglichen. Dies hat zu neuen Problemen geführt. So weisen in der Regel Durchkontaktierungen zu Source-Drain-Kontakten (die mit Source-Drain-Merkmalen verbunden sind) und zu Gate-Strukturen die gleiche Konfiguration auf und werden mithilfe der gleichen Verfahren gefertigt: Füllen einer Durchkontaktierungsöffnung in einer Dielektrikumschicht mit einer Metallfüllschicht, die über einer Metallkleber/Sperrschicht angeordnet wird, wobei die Metallkleber/Sperrschicht so konfiguriert ist, dass sie das Anhaften zwischen den Durchkontaktierungen und der Dielektrikumschicht verbessert (zum Beispiel überzieht die Metallkleber/Sperrschicht Seitenwände der Durchkontaktierungsöffnung, die durch die Dielektrikumschicht definiert sind), den Kontaktwiderstand reduziert und/oder ein Diffundieren von Bestandteilen der Durchkontaktierung in deren Umgebung verhindert. Während kritische Maße von Durchkontaktierungen schrumpfen, benötigt die Metallkleber/Sperrschicht mehr Platz in der Durchkontaktierungsöffnung und reduziert so einen Rest der Durchkontaktierungsöffnung für die Metallfüllschicht. Dies hat ein schlechtes Füllen der Lücke mit Metall zur Folge, da die Metallfüllschicht nicht in der Lage ist, den Rest der Durchkontaktierungsöffnung zu füllen, ohne dass sich Lücken (oder Fehlstellen) bilden, wodurch sich der Kontaktwiderstand beträchtlich erhöht. Eine Lösung besteht darin, die Metallkleber/Sperrschicht zu eliminieren und barrierefreie Durchkontaktierungen zu fertigen. Es wurde jedoch beobachtet, dass manche Durchkontaktierungen, wie Durchkontaktierungen zu Gate-Strukturen, die Metallkleber/Sperrschicht zur optimalen Reduzierung des Kontaktwiderstands benötigen, während bei anderen Durchkontaktierungen, wie Durchkontaktierungen zu Source-Drain-Kontakten, die Metallkleber/Sperrschicht zur optimalen Reduzierung des Kontaktwiderstands eliminiert werden muss.
  • Die vorliegende Offenbarung schlägt daher zwecks Berücksichtigung unterschiedlicher Durchkontaktierungsgrenzflächenanforderungen eine Mischung von Durchkontaktierungskonfigurationen vor. Die vorliegende Offenbarung schlägt zum Beispiel zur optimalen Reduzierung des Kontaktwiderstands das Fertigen von Durchkontaktierungen auf einer gleichen Ebene einer Mehrebenen-Interconnect-Struktur (MLI - Multi-Level Interconnect) (zum Beispiel Durchkontaktierungen in einer untersten Durchkontaktierungsschicht (Mo)) mit unterschiedlichen Konfigurationen/Strukturen vor. Bei manchen Ausführungsformen weisen Durchkontaktierungen zu Gate-Strukturen eine Metallkleber/Sperrschicht auf, während Durchkontaktierungen zu Source-Drain-Kontakten keine Metallkleber/Sperrschicht aufweisen. Bei den Durchkontaktierungen zu den Source-Drain-Kontakten umfassen die vorgeschlagenen Fertigungsverfahren für Durchkontaktierungen das Bilden von Durchkontaktierungsöffnungen in einer Dielektrikumschicht, die die Source-Drain-Kontakte freilegen, das Vertiefen der Source-Drain-Kontakte zum Vergrößern der Durchkontaktierungsöffnungen, das Füllen der vergrößerten Durchkontaktierungsöffnungen mit einem ersten Metallfüllmaterial mithilfe eines von unten nach oben erfolgenden Abscheidungsprozesses (Bottom-up Deposition), das Bilden einer Metallkleber/Sperrschicht über dem ersten Metallfüllmaterial (zum Beispiel über einen konformen Abscheidungsprozess), das Bilden eines zweiten Metallfüllmaterials über der Metallkleber/Sperrschicht (zum Beispiel über einen flächendeckenden Abscheidungsprozess) und das Durchführen eines Planarisierungsprozesses, bei dem zweites Metallfüllmaterial, Metallkleber/Sperrschicht und/oder erstes Metallfüllmaterial über einer oberen Oberfläche der Dielektrikumschicht entfernt wird. Bei den Durchkontaktierungen zu den Gate-Strukturen umfassen die vorgeschlagenen Fertigungsverfahren für Durchkontaktierungen das Bilden von Durchkontaktierungsöffnungen in einer Dielektrikumschicht, die die Gate-Strukturen freilegen, das Bilden einer zweiten Metallkleber/Sperrschicht an Seitenwänden der Durchkontaktierungsöffnungen, die durch die Dielektrikumschicht definiert sind, und einem Boden der Durchkontaktierungsöffnungen, der durch die Gate-Struktur definiert ist (zum Beispiel über einen konformen Abscheidungsprozess), das Bilden eines dritten Metallfüllmaterials über der zweiten Metallkleber/Sperrschicht und das Füllen eines Rests der Durchkontaktierungsöffnungen (zum Beispiel über einen flächendeckenden Abscheidungsprozess) und das Durchführen eines Planarisierungsprozesses, bei dem zweite Metallkleber/Sperrschicht und/oder drittes Metallfüllmaterial über der oberen Oberfläche der Dielektrikumschicht entfernt wird. Bei manchen Ausführungsformen werden die Durchkontaktierungen zu den Gate-Strukturen gebildet, bevor die Durchkontaktierungen zu den Source-Drain-Kontakten gebildet werden. Bei manchen Ausführungsformen werden die Durchkontaktierungen zu den Gate-Strukturen gebildet, nachdem die Durchkontaktierungen zu den Source-Drain-Kontakten gebildet worden sind.
  • Durch Vertiefen der Source-Drain-Kontakte vergrößert sich eine Kontaktfläche zwischen den Durchkontaktierungen und den Source-Drain-Kontakten. Prozessschwankungen in dem von unten nach oben erfolgenden Abscheidungsprozess führen zu einer unterschiedlichen Höhe des ersten Metallfüllmaterials. So kann zum Beispiel das erste Metallfüllmaterial eine erste Durchkontaktierungsöffnung zu einem ersten Source-Drain-Kontakt vollständig füllen, während es eine zweite Durchkontaktierungsöffnung zu einem zweiten Source-Drain-Kontakt nur teilweise füllt. Bei manchen Ausführungsformen füllt das erste Metallfüllmaterial die erste Durchkontaktierungsöffnung vollständig und erstreckt sich über die obere Oberfläche der Dielektrikumschicht hinaus, so dass es einen Durchkontaktierungsnietkopf bildet. Wenn sich eine Höhe des ersten Durchkontaktierungsnietkopfs vergrößert (die zwischen einer obersten Oberfläche des Durchkontaktierungsnietkopfs und der oberen Oberfläche der Dielektrikumschicht definiert ist), dann erhöht sich die Eigenspannung des ersten Metallfüllmaterials, was beim kontinuierlichen Aufwachsen des ersten Metallfüllmaterials und/oder einer nachfolgenden Bearbeitung zu Rissen führen kann. Bei manchen Ausführungsformen ist der von unten nach oben erfolgende Abscheidungsprozess so abgestimmt, dass die Höhe des Durchkontaktierungsnietkopfs auf eine vorgegebene Höhe beschränkt ist, die eine Eigenspannung des ersten Metallfüllmaterials auf ein Minimum beschränken kann. Bei manchen Ausführungsformen wird die Metallkleber/Sperrschicht vor dem Bilden des zweiten Metallfüllmaterials (das zum Füllen eines Rests der Durchkontaktierungsöffnungen benötigt wird, die teilweise mit dem ersten Metallfüllmaterial gefüllt sind) über dem ersten Metallfüllmaterial gebildet, um die Eigenspannung in dem ersten Metallfüllmaterial zu reduzieren und eine Rissbildung in Metallfüllschichten der Durchkontaktierungen zu vermeiden. Bei derartigen Fertigungsverfahren entstehen einige Durchkontaktierungen zu Source-Drain-Kontakten mit barrierefreien Grenzflächen und einige Durchkontaktierungen zu Source-Drain-Kontakten mit Teilbarriere-Grenzflächen. Einzelheiten zu den vorgeschlagenen Verfahren für die Fertigung von Durchkontaktierungen und den dabei entstehenden Durchkontaktierungsstrukturen und/oder -konfigurationen sind hier beschrieben.
  • Bei den 1A und 1B handelt es sich um ein Ablaufdiagramm für ein Verfahren 10 zum Fertigen eines Teils einer mehrschichtigen Interconnect-Struktur eines IC-Bauteils gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Der der mit dem Verfahren 10 gefertigte Teil der mehrschichtigen Interconnect-Struktur kann eine/n mit dem IC-Bauteil verknüpfte/n Kapazität und/oder Widerstand und dadurch eine damit verknüpfte RC-Verzögerung reduzieren. Bei Block 20 umfasst das Verfahren 10 das Bilden eines ersten und eines zweiten Source-Drain-Kontakts in einer Dielektrikumschicht. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit einem ersten Source-Drain-Merkmal. Der zweite Source-Drain-Kontakt befindet sich in physischem Kontakt mit einem zweiten Source-Drain-Merkmal. Bei Block 30 umfasst das Verfahren 10 das Bilden einer ersten und einer zweiten Durchkontaktierungsöffnung in der Dielektrikumschicht. Die erste Durchkontaktierungsöffnung legt den ersten Source-Drain-Kontakt und die zweite Durchkontaktierungsöffnung den zweiten Source-Drain-Kontakt frei. Bei Block 40 umfasst das Verfahren 10 das Vertiefen des ersten Source-Drain-Kontakts zum Vergrößern der ersten Durchkontaktierungsöffnung und das Vertiefen des zweiten Source-Drain-Kontakts zum Vergrößern der zweiten Durchkontaktierungsöffnung. Bei Block 50 wird ein von unten nach oben erfolgender Abscheidungsprozess zum Bilden einer ersten Durchkontaktierungsvolumenschicht in der ersten Durchkontaktierungsöffnung und einer zweiten Durchkontaktierungsvolumenschicht in der zweiten Durchkontaktierungsöffnung durchgeführt. Bei manchen Ausführungsformen unterscheidet sich eine erste Dicke der ersten Durchkontaktierungsvolumenschicht von einer zweiten Dicke der zweiten Durchkontaktierungsvolumenschicht (ist zum Beispiel größer oder geringer). Bei manchen Ausführungsformen gleicht eine erste Dicke der ersten Durchkontaktierungsvolumenschicht einer zweiten Dicke der zweiten Durchkontaktierungsvolumenschicht. Bei Block 60 und Block 70 wird über der ersten und der zweiten Durchkontaktierungsvolumenschicht eine erste Durchkontaktierungssperrschicht beziehungsweise über der ersten Durchkontaktierungssperrschicht eine dritte Durchkontaktierungsvolumenschicht gebildet.
  • Bei Block 80 umfasst das Verfahren 10 das Durchführen eines Planarisierungsprozesses zum Entfernen von über einer oberen Oberfläche der Dielektrikumschicht angeordneter dritter Durchkontaktierungsvolumenschicht, erster Durchkontaktierungssperrschicht, zweiter Durchkontaktierungsvolumenschicht und erster Durchkontaktierungsvolumenschicht, wodurch eine erste Durchkontaktierung mit einer dritten Dicke und einer ersten Durchkontaktierungsschichtkonfiguration und eine zweite Durchkontaktierung mit der dritten Dicke und einer sich von der ersten unterscheidenden zweiten Durchkontaktierungsschichtkonfiguration gebildet wird. Bei Block 90, 100 beziehungsweise 110 wird in der Dielektrikumschicht eine dritte Durchkontaktierungsöffnung, die eine Gate-Struktur freilegt, eine zweite Durchkontaktierungssperrschicht, die die dritte Durchkontaktierungsöffnung teilweise füllt, und über der zweiten Durchkontaktierungssperrschicht eine vierte Durchkontaktierungsvolumenschicht gebildet. Die vierte Durchkontaktierungsvolumenschicht füllt einen Rest der dritten Durchkontaktierungsöffnung. Bei Block 120 umfasst das Verfahren 10 das Durchführen eines Planarisierungsprozesses zum Entfernen von über der oberen Oberfläche der Dielektrikumschicht angeordneter vierter Durchkontaktierungsvolumenschicht und zweiter Durchkontaktierungssperrschicht, wodurch eine dritte Durchkontaktierung mit einer dritten Durchkontaktierungsschichtkonfiguration gebildet wird, die sich von der ersten und der zweiten Durchkontaktierungsschichtkonfiguration unterscheidet. Bei manchen Ausführungsformen handelt es sich bei der ersten, der zweiten und der dritten Durchkontaktierung um einen Teil einer untersten Durchkontaktierungsschicht der mehrschichtigen Interconnect-Struktur. Bei manchen Ausführungsformen kann die Fertigung mit dem Bilden zusätzlicher Schichten der mehrschichtigen Interconnect-Struktur weitergeführt werden, wie beispielsweise jeweiligen Leitungen über der ersten, der zweiten und der dritten Durchkontaktierung, die sich mit diesen in physischem Kontakt befinden. Eine zusätzliche Bearbeitung wird von der vorliegenden Offenbarung in Erwägung gezogen. Zusätzliche Schritte können vor, während oder nach dem Verfahren 10 erfolgen, und manche der beschriebenen Schritte können für zusätzliche Ausführungsformen des Verfahrens 10 umgestellt, ersetzt oder eliminiert werden. Die nachfolgende Erläuterung betrifft Interconnects, die gemäß dem Verfahren 10 gefertigt werden können.
  • Die 2 bis 6, 7A bis 11A, 7B bis 11B, 7C bis 11C und 12 bis 16 sind schematische Teilansichten eines (Teils des oder des gesamten) IC-Bauteils 200 in verschiedenen Phasen der Fertigung einer mehrschichtigen Interconnect-Struktur des IC-Bauteils 200 (wie den mit dem Verfahren 10 aus 1 verknüpften) gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Bei den 2 bis 6, 7A bis 11A und 12 bis 16 handelt es sich um Querschnittsteilansichten des IC-Bauteils 200 in einer XZ-Ebene in verschiedenen Fertigungsphasen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Die 7B bis 11B und 7C bis 11C sind Querschnittsteilansichten von Teilen des IC-Bauteils 200 in den 7A bis 11A in einer YZ-Ebene entlang von Linien in verschiedenen Fertigungsphasen gemäß verschiedenen Aspekten der vorliegenden Offenbarung. Das IC-Bauteil 200 kann in einem Mikroprozessor, einem Speicher und/oder einem anderen IC-Bauteil enthalten sein. Bei manchen Ausführungsformen kann das IC-Bauteil 200 ein Teil eines IC-Chips, ein Ein-Chip-System (SoC - System on a Chip) oder ein Teil davon sein, der verschiedene passive und aktive mikroelektronische Baulemente wie Widerstände, Kondensatoren, Induktoren, Dioden, p-FET (PFET), n-FET (NFET), Metall-Oxid-Halbleiter-FET (MOSFET - Metal Oxide Semiconductor FET), komplementäre MOS-Transistoren (CMOS-Transistoren), Bipolartransistoren (BJT - Bipolar Junction Transistor), lateral doppelt diffundierte MOS-Transistoren (LDMOS-Transistoren), Hochspannungstransistoren, Hochfrequenztransistoren, andere geeignete Komponenten oder Kombinationen davon aufweist. Bei den verschiedenen Transistoren kann es sich je nach Designanforderungen des IC Bauteils 200 um Planartransistoren oder Multi-Gate-Transistoren wie FinFET handeln. Die 2 bis 6, 7A bis 11A, 7B bis 11B, 7C bis 11C und 12 bis 16 sind der Übersichtlichkeit halber vereinfacht dargestellt, damit die erfindungsgemäßen Konzepte der vorliegenden Offenbarung besser verständlich sind. Es können zusätzliche Merkmale zu dem IC-Bauteil 200 hinzugefügt werden, und manche der nachfolgend beschriebenen Merkmale können bei anderen Ausführungsformen des IC-Bauteils 200 ersetzt, verändert oder eliminiert werden.
  • Das IC-Bauteil 200 in 2 weist ein Substrat (Wafer) 210 auf. Bei der abgebildeten Ausführungsform enthält das Substrat 210 Silizium. Das Substrat 210 kann alternativ oder zusätzlich dazu einen anderen elementaren Halbleiter wie Germanium, einen Verbindungshalbleiter wie Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter wie Siliziumgermanium (SiGe), GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP oder Kombinationen davon enthalten. Alternativ dazu handelt es sich bei dem Substrat 210 um ein Halbleiter-auf-Isolator-Substrat wie ein Silizium-auf-Isolator-Substrat (SOI - Silicon on Insulator), ein Siliziumgermanium-auf-Isolator-Substrat (SGOI-Substrat) oder ein Germanium-auf-Isolator-Substrat (GOI-Substrat) handeln. Halbleiter-auf-Isolator-Substrate können mithilfe einer Trennung durch Implantieren von Sauerstoff (SIMOX - Separation by Implanation of Oxygen), mithilfe von Waferbonden und/oder anderen geeigneten Verfahren gefertigt werden. Das Substrat 210 weist dotierte Gebiete auf, die durch einen Ionenimplantierungsprozess, einen Diffusionsprozess und/oder andere geeignete Dotierprozesse gebildet werden. Bei manchen Ausführungsformen weist das Substrat 210 p-dotierte Gebiete (zum Beispiel p-Wannen) auf, die mit p-Dotierstoffen wie Bor, Indium, einem anderen p-Dotierstoff oder Kombinationen davon dotiert sind. Bei manchen Ausführungsformen weist das Substrat 210 n-dotierte Gebiete (zum Beispiel n-Wannen) auf, die mit n-Dotierstoffen wie Phosphor, Arsen, einem anderen n-Dotierstoff oder Kombinationen davon dotiert sind. Bei manchen Ausführungsformen weist das Substrat 210 dotierte Gebiete auf, die mit einer Kombination von p- und n-Dotierstoffen gebildet sind. Die verschiedenen dotierten Gebiete können direkt auf und/oder in dem Substrat 210 gebildet werden und zum Beispiel eine p-Wannen-, eine n-Wannen-, eine Doppelwannen- oder eine erhabene Struktur oder Kombinationen davon bereitstellen.
  • Zum Isolieren von verschiedenen Gebieten wie Bauteilgebieten des IC-Bauteils 200 können über und/oder in dem Substrat 210 Isolationselemente gebildet werden. Isolationselemente definieren aktive und/oder passive Bauteilgebiete und isolieren diese elektrisch voneinander. Isolationselemente enthalten Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, anderes geeignetes Isolationsmaterial (wie zum Beispiel Silizium, Sauerstoff, Stickstoff, Kohlenstoff oder einen anderen geeigneten Isolationsbestandteil) oder Kombinationen davon. Isolationselemente können unterschiedliche Strukturen aufweisen, wie beispielsweise flache Grabenisolationsstrukturen (STI-Strukturen, SI - Shallow Trench Isolation), tiefe Grabenisolationsstrukturen (DTI-Strukturen, DTI - Deep Trench Isolation) und/oder Strukturen mit lokaler Oxidation von Silizium (LOCOS-Strukturen, LOCOS - Local Oxidation of Silicon). Bei manchen Ausführungsformen werden Isolationselemente durch Ätzen eines Grabens (oder von Gräben) in dem Substrat 210 und Füllen des Grabens mit Isoliermaterial gebildet (zum Beispiel mithilfe eines chemischen Gasphasenabscheidungsprozesses (CVD-Prozesses, CVD - Chemical Vapor Deposition) oder eines Spin-on-Glass-Prozesses). Zum Entfernen von überschüssigem Isoliermaterial und/oder Planarisieren einer oberen Oberfläche von Isolationselementen kann ein chemischmechanischer Polierprozess (CMP-Prozess) durchgeführt werden. Bei manchen Ausführungsformen können Isolationselemente nach dem Bilden von Finnenstrukturen durch Abscheiden eines Isoliermaterials über dem Substrat 210 (bei manchen Ausführungsformen so, dass das Isoliermaterial Lücken (Gräben) zwischen den Finnenstrukturen füllt) und Rückätzen des Isoliermaterials gebildet werden. Bei manchen Ausführungsformen weisen Isolationselemente eine mehrschichtige Struktur auf, die Gräben füllt, wie beispielsweise eine Volumendielektrikumschicht, die über einer Liner-Dielektrikumschicht angeordnet ist, wobei die Volumendielektrikumschicht und die Liner-Dielektrikumschicht von den Designanforderungen abhängige Materialien enthalten (zum Beispiel eine Volumendielektrikumschicht, bei der Siliziumnitrid über einer Liner-Dielektrikumschicht angeordnet ist, die thermisches Oxid enthält). Bei manchen Ausführungsformen weisen Isolationselemente eine Dielektrikumschicht auf, die über einer dotierten Liner-Schicht angeordnet ist (wie beispielsweise Borosilikatglas (BSG) oder Phosphorsilikatglas (PSG)).
  • Es werden verschiedene Gate-Strukturen über dem Substrat 210 abgeschieden, wie beispielsweise eine Gate-Struktur 230A, eine Gate-Struktur 230B und eine Gate-Struktur 230C. Jede der Gate-Strukturen 230A bis 230C liegt an einem jeweiligen Kanalgebiet an, das zwischen einem jeweiligen Source-Gebiet und einem jeweiligen Drain-Gebiet definiert ist (nachfolgend als Source-Drain-Gebiete bezeichnet), so dass im Betrieb zwischen den jeweiligen Source-Drain-Gebieten Strom fließen kann. Bei manchen Ausführungsformen werden Gate-Strukturen 230A bis 230C über einer Finnenstruktur so gebildet, dass sie jeweils einen Teil der Finnenstruktur umgeben und zwischen jeweiligen Source-Drain-Gebieten der Finnenstruktur liegen. Die Gate-Strukturen 230A bis 230C weisen jeweils einen Metall-Gate-Stapel (MG-Stapel) 232 auf. MG-Stapel 232 werden durch Abscheide-, Lithografie-, Ätz- und andere geeignete Prozesse oder Kombinationen davon gebildet. Zu Abscheidungsprozessen gehören CVD, physikalische Gasphasenabscheidung (PVD), Atomlagenabscheidung (ALD), CVD mit hochdichtem Plasma (HDPCVD), metallorganische CVD (MOCVD), Remote-Plasma-CVD (RPCVD), plasmaunterstützte CVD (PECVD), Niederdruck-CVD (LPCVD), Atomlagen-CVD (ALCVD), Atmosphärendruck-CVD (APCVD), plasmaunterstützte ALD (PEALD), Plattieren, andere geeignete Verfahren oder Kombinationen davon. Zu Lithografiestrukturierungsprozessen gehören Lackbeschichten (zum Beispiel Rotationsbeschichten), Weichbrennen, Maskenausrichten, Belichten, Brennen nach dem Belichten, Entwickeln des Lacks, Spülen, Trocknen (zum Beispiel Hartbrennen), andere geeignete Prozesse oder Kombinationen davon. Alternativ dazu wird der lithografische Belichtungsprozess durch andere Verfahren wie maskenlose Lithografie, Elektronen- oder Ionenstrahlschreiben unterstützt, implementiert oder ersetzt. Zu den Ätzprozessen gehören Trocken-, Nass- und andere Ätzprozesse oder Kombinationen davon. MG-Stapel 232 werden gemäß einem Gate-Last-, einem Gate-First- oder einem gemischten Gate-Last-/Gate-First-Prozess gefertigt. Bei Gate-Last-Ausführungsformen weisen die Gate-Strukturen 230A bis 230C Dummy-Gate-Stapel auf, die später vollständig oder teilweise durch MG-Stapel 232 ersetzt werden. Die Dummy-Gate-Stapel weisen zum Beispiel eine Grenzflächenschicht (zum Beispiel eine Siliziumoxidschicht) und eine über der Grenzflächenschicht angeordnete Dummy-Gate-Elektrodenschicht (zum Beispiel eine Polysiliziumschicht) auf. Bei solchen Ausführungsformen wird die Dummy-Gate-Elektrodenschicht entfernt, wodurch Öffnungen entstehen, die mit MG-Stapeln 232 gefüllt werden. Bei manchen Ausführungsformen können die Dummy-Gate-Stapel eine Dummy-Gate-Dielektrikumschicht aufweisen, die zwischen der Grenzflächenschicht und der Dummy-Gate-Elektrodenschicht angeordnet ist und bei dem Gate-Ersetzungsprozess entfernt werden kann. Bei manchen Ausführungsformen werden die Dummy-Gate-Dielektrikumschicht und/oder die Grenzflächenschicht bei dem Gate-Ersetzungsprozess nicht entfernt und bilden einen Teil der MG-Stapel 232.
  • Die MG-Stapel 232 sind so konfiguriert, dass sie eine gemäß Designanforderungen des IC-Bauteils 200 gewünschte Funktionalität erzielen, so dass MG-Stapel 232 von Gate-Strukturen 230A bis 230C im Vergleich zueinander die gleichen oder unterschiedliche Schichten und/oder Materialien enthalten können. Bei manchen Ausführungsformen weisen MG-Stapel 232 ein Gate-Dielektrikum (zum Beispiel eine Gate-Dielektrikumschicht) und eine Gate-Elektrode (zum Beispiel eine Austrittsarbeitsschicht und eine leitfähige Volumenschicht) auf. MG-Stapel 232 können zahlreiche andere Schichten enthalten, wie zum Beispiel Deckschichten, Grenzflächenschichten, Diffusionsschichten, Sperrschichten, Hartmaskenschichten oder Kombinationen davon. Bei manchen Ausführungsformen wird die Gate-Dielektrikumschicht über einer Grenzflächenschicht (mit einem dielektrischen Material wie Siliziumoxid) und die Gate-Elektrode über der Gate-Dielektrikumschicht angeordnet. Die Gate-Dielektrikumschicht enthält ein dielektrisches Material wie Siliziumoxid, ein High-k-Dielektrikummaterial, ein anderes geeignetes dielektrisches Material oder Kombinationen davon. Zu Beispielen für High-k-Dielektrikummaterial zählen Hafniumdioxid (HfO2), HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, Zirkonoxid, Aluminiumoxid, Hafniumdioxid-Aluminiumoxid-Legierung (HfO2-Al2O3-Legierung), andere geeignete High-k-Dielektrikummaterialien oder Kombinationen davon. Als High-k-Dielektrikummaterial gelten allgemein dielektrische Materialien mit einer hohen Dielektrizitätskonstante (k-Wert) im Vergleich zu einer Dielektrizitätskonstante von Siliziumoxid (k ≈ 3,9). High-k-Dielektrikummaterial weist zum Beispiel eine Dielektrizitätskonstante von mehr als etwa 3,9 auf. Bei manchen Ausführungsformen handelt es sich bei der Gate-Dielektrikumschicht um eine High-k-Dielektrikumschicht. Die Gate-Elektrode enthält ein leitfähiges Material wie Polysilizium, Al, Cu, Ti, Ta, W, Mo, Co, TaN, NiSi, CoSi, TiN, WN, TiAl, TiAlN, TaCN, TaC, TaSiN, andere leitfähige Materialien oder Kombinationen davon. Bei manchen Ausführungsformen handelt es sich bei der Austrittsarbeitsschicht um eine leitfähige Schicht, die so abgestimmt ist, dass sie eine gewünschte Austrittsarbeit erbringt (wie beispielsweise eine n- oder eine p-Austrittsarbeit), und bei der leitfähigen Volumenschicht um eine Metallschicht, die über der Austrittsarbeitsschicht gebildet ist. Bei manchen Ausführungsformen enthält die Austrittsarbeitsschicht n-Austrittsarbeit erbringendes Material wie Ti, Ag, Mn, Zr, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, ein anderes geeignetes n-Austrittsarbeit erbringendes Material oder Kombinationen davon. Bei manchen Ausführungsformen enthält die Austrittsarbeitsschicht ein p-Austrittsarbeit erbringendes Material wie Ru, Mo, Al, TiN, TaN, WN, ZrSi2, MoSi2, TaSi2, NiSi2, WN, anderes geeignetes p-Austrittsarbeit erbringendes Material oder Kombinationen davon. Die leitfähige Volumenschicht (oder Füllschicht) enthält ein geeignetes leitfähiges Material wie Al, W und/oder Cu. Die leitfähige Volumenschicht kann zusätzlich oder insgesamt Polysilizium, Ti, Ta, Metalllegierungen, anderes geeignetes Material oder Kombinationen davon enthalten.
  • Die Gate-Strukturen 230A bis 230C weisen ferner Gate-Spacer 236 auf, die neben den MG-Stapeln 232 (zum Beispiel an deren Seitenwänden) angeordnet sind. Die Gate-Spacer 236 werden durch einen beliebigen geeigneten Prozess gebildet und enthalten ein dielektrisches Material. Das dielektrische Material kann Silizium, Sauerstoff, Stickstoff, anderes geeignetes Material oder Kombinationen davon (zum Beispiel Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid oder Siliziumcarbid) enthalten. Bei der abgebildeten Ausführungsform kann zum Beispiel eine Dielektrikumschicht mit Silizium und Stickstoff, wie beispielsweise eine Siliziumnitridschicht, über dem Substrat 210 abgeschieden und danach zum Bilden der Gate-Spacer 236 anisotrop geätzt werden. Bei manchen Ausführungsformen weisen die Gate-Spacer 236 eine mehrschichtige Struktur auf, wie beispielsweise eine erste Dielektrikumschicht, die Siliziumnitrid enthält, und eine zweite Dielektrikumschicht, die Siliziumoxid enthält. Bei manchen Ausführungsformen wird mehr als ein Satz Spacer neben den MG-Stapeln 232 gebildet, wie beispielsweise Dichtungs-Spacer, versetzte Spacer, Opfer-Spacer, Dummy-Spacer und/oder Haupt-Spacer. Bei solchen Ausführungsformen können die verschiedenen Spacer-Sätze Material mit unterschiedlicher Ätzrate enthalten. So kann zum Beispiel eine erste Dielektrikumschicht mit Silizium und Sauerstoff (zum Beispiel Siliziumoxid) über dem Substrat 210 abgeschieden und so geätzt werden, dass ein erster Spacer-Satz neben den MG-Stapeln 232 entsteht, und eine zweite Dielektrikumschicht mit Silizium und Stickstoff (zum Beispiel Siliziumnitrid) über dem Substrat 210 abgeschieden und so geätzt werden, dass neben dem ersten Spacer-Satz ein zweiter entsteht. Zum Bilden von niedrig dotierten Source- und Drain-Merkmalen (LDD-Merkmalen) und/oder stark dotierten Source- und Drain-Merkmalen (HDD-Merkmalen) im Substrat 210 können vor und/oder nach dem Bilden der Gate-Spacer 236 Implantierungs-, Diffusions- und/oder Temperprozesse durchgeführt werden.
  • Es werden epitaktische Source- und epitaktische Drain-Elemente (als epitaktische Source-Drain-Elemente bezeichnet) wie ein epitaktisches Source-Drain-Element 240A, ein epitaktisches Source-Drain-Element 240B, ein epitaktisches Source-Drain-Element 240C und ein epitaktisches Source-Drain-Element 240D in den Source-Drain-Gebieten des Substrats 210 angeordnet. Bei der Gate-Struktur 230A werden das epitaktische Source-Drain-Element 240A und das epitaktische Source-Drain-Element 240B so angeordnet, dass dazwischen ein Kanalgebiet definiert wird. Bei der Gate-Struktur 230B werden das epitaktische Source-Drain-Element 240B und das epitaktische Source-Drain-Element 240C so angeordnet, dass dazwischen ein Kanalgebiet definiert wird. Bei der Gate-Struktur 230C werden das epitaktische Source-Drain-Element 240C und das epitaktische Source-Drain-Element 240D so angeordnet, dass dazwischen ein Kanalgebiet definiert wird. Bei manchen Ausführungsformen bilden die Gate-Struktur 230A, das epitaktische Source-Drain-Element 240A und das epitaktische Source-Drain-Element 240B einen Teil eines ersten Transistors, die Gate-Struktur 230B, das epitaktische Source-Drain-Element 240B und das epitaktische Source-Drain-Element 240C einen Teil eines zweiten Transistors und die Gate-Struktur 230C, das epitaktische Source-Drain-Element 240C und das epitaktische Source-Drain-Element 240D einen Teil eines dritten Transistors.
  • Bei manchen Ausführungsformen wird ein Halbleitermaterial epitaktisch so auf das und/oder von dem Substrat 210 aufgewachsen, dass über Source-Drain-Gebieten des Substrats 210 epitaktische Source-Drain-Elemente 240A bis 240D entstehen. Bei manchen Ausführungsformen wird an Source-Drain-Gebieten des Substrats 210 zum Bilden von Source-Drain-Vertiefungen ein Ätzprozess durchgeführt, wobei zum Füllen der Source-Drain-Vertiefungen epitaktische Source-Drain-Elemente 240A bis 240D aufgewachsen werden. Bei manchen Ausführungsformen umgeben epitaktische Source-Drain-Elemente 240A bis 240D, wenn das Substrat 210 einen Teil einer Finnenstruktur darstellt, Source-Drain-Gebiete der Finnenstruktur und/oder sind in Source-Drain-Vertiefungen der Finnenstruktur angeordnet. Ein Epitaxieprozess kann CVD-Abscheidetechniken (zum Beispiel Gasphasenepitaxie (VPE - Vapor-Phase Epitaxy), Ultrahochvakuum-CVD (UHV-CVD), LPCVD und/oder PECVD), Molekularstrahlepitaxie, andere geeignete SEG-Prozesse oder Kombinationen davon implementieren. Bei dem Epitaxieprozess können gasförmige und/oder flüssige Präkursoren benutzt werden, die mit der Zusammensetzung des Substrats 210 interagieren. Die epitaktischen Source-Drain-Elemente 240A bis 240D werden mit n- und/oder p-Dotierstoffen dotiert. Bei manchen Ausführungsformen handelt es sich bei den epitaktischen Source-Drain-Elementen 240A bis 240D um Epitaxieschichten, die Silizium und/oder Kohlenstoff enthalten, wobei die siliziumhaltigen Epitaxieschichten oder die silizium-kohlenstoff-haltigen Epitaxieschichten mit Phosphor, einem anderen n-Dotierstoff oder Kombinationen davon dotiert werden. Bei manchen Ausführungsformen handelt es sich bei den epitaktischen Source-Drain-Elementen 240A bis 240D um Epitaxieschichten, die Silizium und/oder Germanium enthalten, wobei die silizium-germanium-haltigen Epitaxieschichten mit Bor, einem anderen p-Dotierstoff oder Kombinationen davon dotiert werden. Bei manchen Ausführungsformen enthalten die epitaktischen Source-Drain-Elemente 240A bis 240D Materialien und/oder Dotierstoffe, mit denen eine gewünschte Zug- und/oder Druckspannung in den Kanalgebieten erzielt wird. Bei manchen Ausführungsformen werden die epitaktischen Source-Drain-Elemente 240A bis 240D beim Abscheiden durch Hinzufügen von Fremdstoffen zu einem Ausgangsmaterial für den Epitaxieprozess dotiert. Bei manchen Ausführungsformen werden die epitaktischen Source-Drain-Elemente 240A bis 240D nach einem Abscheidungsprozess in einem Ionenimplantationsprozess dotiert. Bei manchen Ausführungsformen werden zum Aktivieren von Dotierstoffen in epitaktischen Source-Drain-Elementen 240A bis 240D und/oder anderen Source-Drain-Elementen (zum Beispiel HDD- und/oder LDD-Gebieten) Temperprozesse durchgeführt.
  • Über dem Substrat 210 wird ein mehrschichtiges Interconnect-Element (MLI-Element; MLI = MultiLayer Interconnect) 250 angeordnet. Das MLI-Element 250 koppelt verschiedene Bauelemente (zum Beispiel Transistoren, Widerstände, Kondensatoren und/oder Induktoren) und/oder Bausteine (zum Beispiel die Gate-Strukturen 230A bis 230C und/oder die Source-Drain-Elemente 240A bis 240D) des IC-Bauteils 200 elektrisch so, dass die verschiedenen Bauelemente und/oder Bausteine gemäß den Designanforderungen für das IC-Bauteil 200 arbeiten können. Das MLI-Element 250 weist eine Kombination aus Dielektrikumschichten und leitfähigen Schichten (zum Beispiel Metallschichten) auf, die so konfiguriert sind, dass verschiedene Interconnects gebildet werden. Die leitfähigen Schichten sind so konfiguriert, dass vertikale Interconnects wie Kontakte auf Bauelementebene und/oder Durchkontaktierungen und/oder horizontale Interconnects wie Leitungen gebildet werden. Vertikale Interconnects verbinden in der Regel horizontale Interconnects in verschiedenen Schichten (oder Ebenen) des MLI-Elements 250. Bei Betrieb des IC-Bauteils 200 leiten die Interconnects Signale zwischen den Bauelementen und/oder den Bausteinen des IC-Bauteils 200 weiter und/oder verteilen Signale (zum Beispiel Taktsignale, Spannungssignale und/oder Massesignale) auf die Bauelemente und/oder die Bausteine des IC-Bauteils 200 abgesehen vom Weiterleiten und Verteilen von Signalen zwischen den Bauelementen und/oder Bausteinen des IC-Bauteils 200 mit Bauelementen und/oder Bausteinen außerhalb des IC-Bauteils 200. Das MLI-Element 250 ist mit einer gegebenen Anzahl Dielektrikumschichten und leitfähiger Schichten abgebildet. Die vorliegende Offenbarung zieht in Erwägung, dass das MLI-Element 250 je nach den Designanforderungen mehr oder weniger Dielektrikumschichten und/oder leitfähige Schichten aufweist.
  • Das MLI-Element 250 weist eine oder mehrere Isolierschichten auf, die über dem Substrat 210 angeordnet sind, wie beispielsweise eine Zwischenlagendielektrikumschicht (ILD-Schicht; ILD - Interlayer Dielectric) 252 (ILD-o), eine Zwischenlagendielektrikumschicht 254 (ILD-1), eine Kontaktätzstoppschicht (CESL = Contact Etch Stop Layer) 262 und eine Kontaktätzstoppschicht 264. Die ILD-Schicht 252 wird über dem Substrat 210 und die ILD-Schicht 254 über der ILD-Schicht 252 angeordnet. Die CESL 262 wird zwischen der ILD-Schicht 252 und dem Substrat 210, den epitaktischen Source-Drain-Elementen 240A bis 240C und/oder den Gate-Strukturen 230A bis 230C (insbesondere den Gate-Spacern 236) angeordnet. Die CESL 264 wird zwischen der ILD-Schicht 252, der ILD-Schicht 254 und/oder den Gate-Strukturen 230A bis 230C angeordnet. Bei manchen Ausführungsformen beträgt eine Dicke der ILD-Schicht 252 etwa 10 nm bis etwa 25 nm, eine Dicke der ILD-Schicht 254 etwa 10 nm bis etwa 25 nm, eine Dicke der CESL 262 etwa 1 nm bis etwa 10 nm und eine Dicke der CESL 264 etwa 1 nm bis etwa 10 nm. Die ILD-Schichten 252, 254 und/oder die CESL 262, 264 werden durch einen Abscheidungsprozess wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, PEALD, andere geeignete Verfahren oder Kombinationen davon über dem Substrat 210 gebildet. Bei manchen Ausführungsformen wird die ILD-Schicht 252 und/oder die ILD-Schicht 254 durch einen Prozess mit hohem Seitenverhältnis (HARP = High Aspect Ratio Process) wie HDPCVD gebildet, bei dem es sich allgemein um einen Abscheidungsprozess mit Parametern handelt, die so konfiguriert sind, dass ein angemessenes Füllen von Strukturen mit hohem Seitenverhältnis erzielt wird. Bei manchen Ausführungsformen wird die ILD-Schicht 252 und/oder die ILD-Schicht 254 durch einen Flowable-CVD-Prozess (FCVD-Prozess) gebildet, der zum Beispiel das Abscheiden eines fließfähigen Materials (wie eines flüssigen Stoffs) über dem Substrat 210 und das Umwandeln des fließfähigen Materials in ein festes Material durch eine geeignete Technik umfasst, wie beispielsweise durch Tempern und/oder Behandeln des fließfähigen Materials mit Ultraviolettstrahlung. Nach dem Abscheiden der ILD-Schicht 252, der ILD-Schicht 254, der CESL 262 und/oder der CESL 264 kann ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt werden, so dass die ILD-Schichten 252, 254 und/oder die CESL 262, 264 im Wesentlichen planare Oberflächen aufweisen.
  • Die ILD-Schichten 252, 254 enthalten ein dielektrisches Material wie beispielsweise Siliziumoxid, mit Kohlenstoff dotiertes Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, Tetraethylorthosilikat (TEOS), PSG, BSG, mit Bor dotiertes Phosphorsilikatglas (BPSG), mit Fluor dotiertes Silikatglas (FSG), Black Diamond® (Applied Materials of Santa Clara, Kalifornien, USA), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, auf Benzocyclobuten (BCB) basierendes dielektrisches Material, SiLK (Dow Chemical, Midland, Michigan, USA), Polyimid, anderes geeignetes dielektrisches Material oder Kombinationen davon. Bei manchen Ausführungsformen enthalten die ILD-Schichten 252, 254 ein Low-k-Dielektrikummaterial, was allgemein ein dielektrisches Material mit in Bezug auf die Dielektrizitätskonstante von Siliziumoxid (k ≈ 3,9) geringer Dielektrizitätskonstante bezeichnet. Low-k-Dielektrikummaterial weist zum Beispiel eine Dielektrizitätskonstante von weniger als etwa 3,9 auf. Bei manchen Ausführungsformen weist das Low-k-Dielektrikummaterial eine Dielektrizitätskonstante von weniger als etwa 2,5 auf, was als Extremely-low-k-Dielektrikummaterial (ELK-Dielektrikummaterial) bezeichnet werden kann. Bei der abgebildeten Ausführungsform enthalten die ILD-Schichten 252, 254 ein ELK-Dielektrikummaterial wie Siliziumdioxid (SiO2) (zum Beispiel poröses Siliziumdioxid), Siliziumcarbid (SiC) und/oder mit Kohlenstoff dotiertes Oxid (zum Beispiel ein auf SiCOH basierendes Material (beispielsweise mit Si-CH3-Bindungen)), das jeweils so abgestimmt/konfiguriert ist, dass es eine Dielektrizitätskonstante von weniger als etwa 2,5 aufweist (und können somit als ELK-Dielektrikumschicht bezeichnet werden). Die CESL 262, 264 enthalten ein anderes Material als die ILD-Schichten 252, 254, wie beispielsweise ein dielektrisches Material, das sich von dem dielektrischen Material der ILD-Schichten 252, 254 unterscheidet. Wenn zum Beispiel die ILD-Schichten 252, 254 Silizium und Sauerstoff enthalten (zum Beispiel SiCOH, SiOx oder anderes silizium- und sauerstoffhaltiges Material mit einer Dielektrizitätskonstante von weniger als etwa 2,5), dann können die CESL 262, 264 Silizium und Stickstoff und/oder Kohlenstoff enthalten (zum Beispiel SiN, SiCN, SiCON, SiON, SiC und/oder SiCO) (und somit als Siliziumnitridschicht bezeichnet werden). Bei manchen Ausführungsformen enthalten die CESL 262, 264 eine Metalloxid- und/oder eine Metallnitridschicht. Die ILD-Schichten 252, 254 und/oder die CESL 262, 264 können eine mehrschichtige Struktur mit mehreren dielektrischen Materialien aufweisen.
  • In 3 werden durch einen Strukturierungsprozess in einer Dielektrikumschicht eine oder mehrere Interconnect-Öffnungen wie eine Interconnect-Öffnung 270A und eine Interconnect-Öffnung 270B gebildet. Die Interconnect-Öffnung 270A und die Interconnect-Öffnung 270B verlaufen vertikal durch die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262 und legen so das epitaktische Source-Drain-Element 240B beziehungsweise das epitaktische Source-Drain-Element 240C frei. Die Interconnect-Öffnungen 270A, 270B können somit als Source-Drain-Kontaktöffnungen (Pfropfenöffnungen) bezeichnet werden. Die Interconnect-Öffnung 270A weist eine (durch die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262 definierte) Seitenwand 272A, eine (durch die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262 definierte) Seitenwand 274A und einen (durch ein epitaktisches Source-Drain-Element 240B definierten) Boden 276A auf, der sich zwischen der Seitenwand 272A und der Seitenwand 274A erstreckt. Die Interconnect-Öffnung 270B weist eine (durch die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262 definierte) Seitenwand 272B, eine (durch die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262 definierte) Seitenwand 274B und einen (durch ein epitaktisches Source-Drain-Element 240C definierten) Boden 276B auf, der sich zwischen der Seitenwand 272B und der Seitenwand 274B erstreckt. In 3 weist jede der Interconnect-Öffnungen 270A, 270B eine Trapezform auf, wobei die vorliegende Offenbarung jedoch Interconnect-Öffnungen 270A, 270B von anderer Form, wie beispielsweise von rechteckiger Form, in Erwägung zieht. Die Seitenwände 272A, 274A verlaufen konisch, so dass eine untere Breite der Interconnect-Öffnung 270A, die das epitaktische Source-Drain-Element 240B freilegt, geringer ist als eine obere Breite der Interconnect-Öffnung 270A an einer oberen Oberfläche der ILD-Schicht 254. Eine Breite der Interconnect-Öffnung 270A verringert sich somit in z-Richtung von der oberen Breite zur unteren Breite der Interconnect-Öffnung 270A. Die Seitenwände 272B, 274B verlaufen konisch, so dass eine untere Breite der Interconnect-Öffnung 270B, die das epitaktische Source-Drain-Element 240C freilegt, geringer ist als eine obere Breite der Interconnect-Öffnung 270B an einer oberen Oberfläche der ILD-Schicht 254. Eine Breite der Interconnect-Öffnung 270B verringert sich somit in z-Richtung von der oberen Breite zur unteren Breite der Interconnect-Öffnung 270B.
  • Bei manchen Ausführungsformen umfasst der Strukturierungsprozess das Durchführen eines Lithografieprozesses zum Bilden einer strukturierten Maskenschicht 278 mit einer (im Wesentlichen auf das epitaktische Source-Drain-Element 240B ausgerichteten) Öffnung 279A und einer (im Wesentlichen auf das epitaktische Source-Drain-Element 240C ausgerichteten) Öffnung 279B darin über der ILD-Schicht 254 und das Durchführen eines Ätzprozesses zum Übertragen einer in der strukturierten Maskenschicht 278 definierten Struktur auf eine darunterliegende Dielektrikumschicht (hier die ILD-Schicht 254, die CESL 264, die ILD-Schicht 252 und die CESL 262). Der Lithografieprozess kann das Bilden einer Lackschicht auf der ILD-Schicht 254 (zum Beispiel durch Aufschleudern), das Durchführen eines Brennprozesses vor dem Belichten, eines Belichtungsprozesses unter Verwendung einer Maske, eines Brennprozesses nach dem Belichten und eines Entwicklungsprozesses umfassen. Bei dem Belichtungsprozess wird die Lackschicht Strahlungsenergie (wie beispielsweise Ultraviolettlicht (UV-Licht), tiefem UV-Licht (DUV-Licht) oder extremem UV-Licht (EUV-Licht) ausgesetzt, wobei die Maske je nach ihrer Maskenstruktur und/oder einem Maskentyp (zum Beispiel binäre Maske, Phasenverschiebungsmaske oder EUV-Maske) auf die Lackschicht fallende Strahlung blockiert, durchlässt und/oder reflektiert, so dass ein Bild auf die Lackschicht projiziert wird, das der Maskenstruktur entspricht. Da die Lackschicht Strahlungsenergie gegenüber empfindlich ist, verändern sich belichtete Teile der Lackschicht chemisch, und belichtete (oder nicht belichtete) Teile der Lackschicht lösen sich in Abhängigkeit von Eigenschaften der Lackschicht und einer bei dem Entwicklungsprozess verwendeten Entwicklungslösung im Verlauf des Entwicklungsprozesses auf. Nach der Entwicklung weist die strukturierte Lackschicht eine Lackstruktur auf, die der Maske entspricht. Alternativ dazu kann der Belichtungsprozess durch andere Verfahren wie maskenlose Lithografie, Elektronen- und/oder Ionenstrahlschreiben implementiert oder ersetzt werden.
  • Bei manchen Ausführungsformen handelt es sich bei der strukturierten Lackschicht um die strukturierte Lackschicht 278. Bei solchen Ausführungsformen wird die strukturierte Lackschicht als Ätzmaske zum Entfernen von Teilen der darunterliegenden Dielektrikumschicht (hier ILD-Schicht 254, CESL 264, ILD-Schicht 252 und/oder CESL 262) benutzt, die durch die Öffnungen 279A, 279B freigelegt werden. Bei manchen Ausführungsformen wird die strukturierte Lackschicht über einer Maskenschicht gebildet, die vor dem Bilden der Lackschicht über der darunterliegenden Dielektrikumschicht gebildet wird, und die strukturierte Lackschicht wird als Ätzmaske zum Entfernen von Teilen der Maskenschicht verwendet, die über der darunterliegenden Dielektrikumschicht gebildet ist, wodurch die strukturierte Maskenschicht 278 gebildet wird. Bei solchen Ausführungsformen wird die strukturierte Maskenschicht als Ätzmaske zum Entfernen von Teilen der ILD-Schicht 254, der CESL 264, der ILD-Schicht 252 und der CESL 262 benutzt, die durch die Öffnungen 279A, 279B freigelegt werden. Der Ätzprozess kann einen Trockenätzprozess (zum Beispiel einen reaktiven Ionenätzprozess (RIE-Prozess)), einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Zum Bilden der Interconnect-Öffnungen 270A, 270B können verschiedene selektive Ätzprozesse durchgeführt werden. Der Ätzprozess kann zum Beispiel Folgendes umfassen: ein erstes Ätzen, bei dem die ILD-Schicht 254 in Bezug auf die strukturierte Maskenschicht 278 und die CESL 264 gezielt so geätzt wird, dass das erste Ätzen stoppt, wenn es die CESL 264 erreicht, ein zweites Ätzen, bei dem die CESL 264 in Bezug auf die ILD-Schichten 254, 252 gezielt so geätzt wird, dass das zweite Ätzen stoppt, wenn es die ILD-Schicht 252 erreicht, ein drittes Ätzen, bei dem die ILD-Schicht 252 in Bezug auf die CESL 262, 264 gezielt so geätzt wird, dass das dritte Ätzen stoppt, wenn es die CESL 262 erreicht, und ein viertes Ätzen, bei dem die CESL 262 in Bezug auf die ILD-Schichten 252, 254 und die epitaktischen Source-Drain-Elemente 240B, 240C gezielt so geätzt wird, dass das vierte Ätzen stoppt, wenn es die epitaktischen Source-Drain-Elemente 240B, 240C erreicht. Bei manchen Ausführungsformen können das erste, das zweite, das dritte und das vierte Ätzen so konfiguriert sein, dass sie ein wenig überätzen. So kann zum Beispiel das erste Ätzen die CESL 264, das zweite Ätzen die ILD-Schicht 252, das dritte Ätzen die CESL 262 und/oder das vierte Ätzen die epitaktischen Source-Drain-Elemente 240B, 240C teilweise ätzen. Bei manchen Ausführungsformen ähneln das erste, das zweite, das dritte und das vierte Ätzen hier für das Ätzen von ILD und CESL beschriebenen Ätzprozessen. Bei manchen Ausführungsformen kann der Ätzprozess mehrere Schritte für das Ätzen der CESL 262, 264 umfassen. Bei manchen Ausführungsformen implementiert der Ätzprozess ein Ätzmittel mit geringer Ätzselektivität zwischen den ILD-Schichten 254, 252 und den CESL 262, 264, so dass die Interconnect-Öffnungen 270A, 270B durch einen einzigen Ätzschritt gebildet werden, der zum Beispiel auf Zeit beruht. Bei manchen Ausführungsformen wird nach dem Ätzprozess die strukturierte Maskenschicht 278 (bei manchen Ausführungsformen durch einen Lackablösungsprozess) von der ILD-Schicht 254 entfernt. Bei manchen Ausführungsformen wird die strukturierte Maskenschicht 278 beim Ätzen der ILD-Schicht 254, der CESL 264, der ILD-Schicht 252 und/oder der CESL 262 entfernt.
  • In 4 werden Silizidschichten 280 auf den epitaktischen Source-Drain-Elementen 240B, 240C gebildet. Die Silizidschichten 280 verlaufen durch die CESL 262. Bei der abgebildeten Ausführungsform sind obere Oberflächen der Silizidschichten 280 in Bezug auf eine obere Oberfläche des Substrats 210 weiter oben angeordnet als eine obere Oberfläche der CESL 262. Bei manchen Ausführungsformen sind die oberen Oberflächen der Silizidschichten 280 in Bezug auf die obere Oberfläche des Substrats 210 weiter unten angeordnet als die obere Oberfläche der CESL 262 oder im Wesentlichen dazu planar. Bei manchen Ausführungsformen sind die oberen Oberflächen der Silizidschichten 280 weiter unten angeordnet als die obere Oberfläche des Substrats 210. Die Silizidschichten 280 können durch Abscheiden einer Metallschicht über den epitaktischen Source-Drain-Elementen 240B, 240C und Erwärmen des IC-Bauteils 200 (zum Beispiel Durchführen eines Temperprozesses an dem IC-Bauteil 200) gebildet werden, wodurch Bestandteile der epitaktischen Source-Drain-Elemente 240B, 240C (zum Beispiel Silizium und/oder Germanium) mit Metallbestandteilen der Metallschicht zur Reaktion gebracht werden. Die Metallschicht enthält einen beliebigen Metallbestandteil, der sich zum Fördern der Silizidbildung eignet, wie beispielsweise Nickel, Platin, Palladium, Vanadium, Titan, Kobalt, Tantal, Ytterbium, Zirkonium, ein anderes geeignetes Metall oder Kombinationen davon. Die Silizidschichten 280 enthalten daher einen Metallbestandteil und einen Bestandteil der epitaktischen Source-Drain-Elemente 240B, 240C, wie Silizium und/oder Germanium. Bei manchen Ausführungsformen enthalten die Silizidschichten 280 Nickelsilizid, Titansilizid oder Kobaltsilizid. Nicht umgesetztes Metall, wie übrigbleibende Teile der Metallschicht, kann zum Beispiel durch einen Ätzprozess in Bezug auf die Silizidschichten 280 und/oder ein dielektrisches Material gezielt entfernt werden.
  • Dann wird ein Source-Drain-Kontakt 282A beziehungsweise ein Source-Drain-Kontakt 282B in der Interconnect-Öffnung 270A beziehungsweise der Interconnect-Öffnung 270B gebildet. Die Source-Drain-Kontakte 282A, 282B verlaufen jeweils durch die ILD-Schicht 254, die CESL 264 und die ILD-Schicht 252 zu den Silizidschichten 280, so dass die Source-Drain-Kontakte 282A, 282B jeweils auf Silizidschichten 280 an den epitaktischen Source-Drain-Elementen 240B, 240C angeordnet sind. Bei manchen Ausführungsformen können sich die Source-Drain-Kontakte 282A, 282B je nach Konfiguration der Silizidschichten 280 teilweise oder vollständig durch die CESL 262 erstrecken. Die Source-Drain-Kontakte 282A, 282B weisen jeweils eine Kontaktsperrschicht 284 und eine über der Kontaktsperrschicht 284 angeordnete Kontaktvolumenschicht 286 auf. Die Kontaktsperrschicht 284 ist jeweils an den Seitenwänden 272A, 272B, den Seitenwänden 274A, 274B und dem Boden 276A, 276B der Interconnect-Öffnungen 270A, 270B angeordnet. Die Kontaktsperrschicht 284 befindet sich zum Beispiel jeweils in physischem Kontakt mit den Seitenwänden 272A, 272B, den Seitenwänden 274A, 274B und dem Boden 276A, 276B der Interconnect-Öffnungen 270A, 270B. Bei manchen Ausführungsformen werden die Source-Drain-Kontakte 282A, 282B durch Durchführen eines ersten Abscheidungsprozesses zum Bilden eines Kontaktsperrmaterials über der ILD-Schicht 254, das die Interconnect-Öffnungen 270A, 270B teilweise füllt, und eines zweiten Abscheidungsprozesses zum Bilden eines Kontaktvolumenmaterials über dem Kontaktsperrmaterial gebildet, wobei das Kontaktvolumenmaterial einen Rest der Interconnect-Öffnungen 270A, 270B füllt. Bei solchen Ausführungsformen werden das Kontaktsperrmaterial und das Kontaktvolumenmaterial in den Interconnect-Öffnungen 270A, 270B und über der oberen Oberfläche der ILD-Schicht 254 angeordnet. Der erste und der zweite Abscheidungsprozess können CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, PEALD, Elktroplattieren, stromloses Plattieren, andere geeignete Abscheidungsverfahren oder Kombinationen davon umfassen. Bei manchen Ausführungsformen weist die Kontaktsperrschicht 284 jeweils an den Seitenwänden 272A, 272B, den Seitenwänden 274A, 274B und dem Boden 276A, 276B der Interconnect-Öffnungen 270A, 270B im Wesentlichen eine gleichmäßige Dicke auf. Die Kontaktsperrschicht 284 kann somit durch einen konformen Abscheidungsprozess gebildet werden. Zum Entfernen von überschüssigem Kontaktvolumenmaterial und Kontaktsperrmaterial zum Beispiel über der oberen Oberfläche der ILD-Schicht 254 wird ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, durch den die Source-Drain-Kontakte 282A, 282B entstehen (anders ausgedrückt füllen die Kontaktsperrschicht 284 und die Kontaktvolumenschicht 286 die Interconnect-Öffnungen 270A, 270B). Bei dem CMP-Prozess wird eine obere Oberfläche der Source-Drain-Kontakte 282A, 282B so planarisiert, dass die obere Oberfläche der ILD-Schicht 254 und obere Oberflächen der Source-Drain-Kontakte 282A, 282B bei manchen Ausführungsformen eine im Wesentlichen planare Oberfläche bilden.
  • Die Kontaktsperrschicht 284 enthält ein Material, das die Haftung zwischen einem umliegenden dielektrischen Material (hier der ILD-Schicht 254, der CESL 264 und/oder der ILD-Schicht 252) und der Kontaktvolumenschicht 286 verbessert. Das Material der Kontaktsperrschicht 284 kann ferner ein Diffundieren von Metallbestandteilen (zum Beispiel Metallatomen/-ionen) aus den Source-Drain-Kontakten 282A, 282B in das umliegende dielektrische Material verhindern. Bei manchen Ausführungsformen enthält die Kontaktsperrschicht 284 Titan, Titanlegierung, Tantal, Tantallegierung, Kobalt, Kobaltlegierung, Ruthenium, Rutheniumlegierung, Molybdän, Molybdänlegierung, Palladium, Palladiumlegierung, einen anderen geeigneten Bestandteil, der so konfiguriert ist, dass er die Haftung zwischen einem Metallmaterial und einem dielektrischen Material verbessert und/oder erhöht und/oder ein Diffundieren von Metallbestandteilen aus dem Metallmaterial in das dielektrische Material verhindert, oder Kombinationen davon. Die Kontaktsperrschicht 284 enthält Tantal, Tantalnitrid, Tantalaluminiumnitrid, Tantalsiliziumnitrid, Tantalcarbid, Titan, Titannitrid, Titansiliziumnitrid, Titanaluminiumnitrid, Titancarbid, Wolfram, Wolframnitrid, Wolframcarbid, Molybdännitrid, Kobalt, Kobaltnitrid, Ruthenium, Palladium oder Kombinationen davon. Bei manchen Ausführungsformen weist die Kontaktsperrschicht 284 mehrere Schichten auf. Die Kontaktsperrschicht 284 kann zum Beispiel eine erste Teilschicht aufweisen, die Titan enthält, und eine zweite Teilschicht, die Titannitrid enthält. Bei einem anderen Beispiel kann die Kontaktsperrschicht 284 eine erste Teilschicht aufweisen, die Tantal enthält, und eine zweite Teilschicht, die Tantalnitrid enthält. Die Kontaktvolumenschicht 286 enthält Wolfram, Ruthenium, Kobalt, Kupfer, Aluminium, Iridium, Palladium, Platin, Nickel, einen Metallbestandteil mit geringem spezifischem Widerstand, Legierungen davon oder Kombinationen davon. Bei der abgebildeten Ausführungsform enthält die Kontaktvolumenschicht 286 Wolfram, Ruthenium und/oder Kobalt. Bei manchen Ausführungsformen weisen die Source-Drain-Kontakte 282A, 282B keine Kontaktsperrschicht 284 auf (z.B. sind die Source-Drain-Kontakte 282A, 282B barrierefreie Kontakte), so dass sich die Kontaktvolumenschicht 286 mit der ILD-Schicht 254, der CESL 264, der ILD-Schicht 252, der Silizidschicht 280 und/oder den epitaktischen Source-Drain-Elementen 240B, 240C in physischem Kontakt befindet. Bei manchen Ausführungsformen sind die Source-Drain-Kontakte 282A, 282B teilweise barrierefrei, wobei die Kontaktsperrschicht 284 zwischen einer Dielektrikumschicht des MLI-Elements 250 und einem Teil der Kontaktvolumenschicht 286 angeordnet ist. Bei manchen Ausführungsformen weist die Kontaktvolumenschicht 286 mehrere Schichten auf.
  • In 5 wird die Bearbeitung mit dem Bilden einer weiteren Dielektrikumschicht des MLI-Elements 250 fortgesetzt. So wird zum Beispiel eine ILD-Schicht 292 über der ILD-Schicht 254 und den Source-Drain-Kontakten 282A, 282B gebildet. Die ILD-Schicht 292 kann der ILD-Schicht 254 ähneln. Die ILD-Schicht 292 wird zum Beispiel durch einen Abscheidungsprozess wie CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, PEALD, FCVD, andere geeignete Abscheidungsverfahren oder Kombinationen davon gebildet. Die ILD-Schicht 292 enthält ein dielektrisches Material wie beispielsweise Siliziumoxid, mit Kohlenstoff dotiertes Siliziumoxid, Siliziumnitrid, Siliziumoxinitrid, TEOS, PSG, BSG, BPSG, FSG, Black Diamond® (Applied Materials of Santa Clara, Kalifornien, USA), Xerogel, Aerogel, amorphen fluorierten Kohlenstoff, Parylen, auf BCB basierendes dielektrisches Material, SiLK (Dow Chemical, Midland, Michigan, USA), Polyimid, anderes geeignetes dielektrisches Material oder Kombinationen davon. Bei manchen Ausführungsformen enthält die ILD-Schicht 292 ein Low-k-Dielektrikummaterial. Bei der abgebildeten Ausführungsform enthält die ILD-Schicht 292 zum Beispiel ein ELK-Dielektrikummaterial wie Siliziumdioxid (SiO2) (zum Beispiel poröses Siliziumdioxid), Siliziumcarbid (SiC) und/oder mit Kohlenstoff dotiertes Oxid (zum Beispiel ein auf SiCOH basierendes Material (beispielsweise mit Si-CH3-Bindungen), das jeweils so abgestimmt/konfiguriert ist, dass es eine Dielektrizitätskonstante von weniger als etwa 2,5 aufweist. Bei manchen Ausführungsformen kann die ILD-Schicht 292 eine mehrschichtige Struktur mit mehreren dielektrischen Materialien aufweisen. Bei manchen Ausführungsformen weist die ILD-Schicht 292 eine Dicke 11 von etwa 10 nm bis etwa 120 nm auf. Nach dem Abscheiden der ILD-Schicht 292 kann ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt werden, so dass die ILD-Schicht 292 im Wesentlichen eine planare Oberfläche aufweist.
  • Bei manchen Ausführungsformen wird vor dem Bilden der ILD-Schicht 292 eine CESL 294 über der ILD-Schicht 254 und den Source-Drain-Kontakten 282A, 282B gebildet, so dass die CESL 294 zwischen der ILD-Schicht 292 und der ILD-Schicht 254 und den Source-Drain-Kontakten 282A, 282B angeordnet wird. Die CESL 294 ähnelt der CESL 264. Die CESL 294 enthält zum Beispiel ein anderes Material als die ILD-Schicht 292, wie beispielsweise ein dielektrisches Material, das sich von dem dielektrischen Material der ILD-Schicht 292 unterscheidet, damit bei nachfolgenden Ätzprozessen wie den zum Bilden der Interconnect-Öffnungen verwendeten, die die Source-Drain-Kontakte 282A, 282B freilegen, eine Ätzselektivität erzielt wird. Anders ausgedrückt enthalten die CESL 294 und die sie umgebenden Schichten Material von ausgeprägter Ätzempfindlichkeit gegenüber einem gegebenen Ätzmittel. Die CESL 294 enthält zum Beispiel ein Material mit einer Ätzrate bei einem Ätzmittel, die geringer ist als eine Ätzrate eines Materials der ILD-Schicht 292 bei dem Ätzmittel, so dass das Material der CESL 294 beim Ätzen der darüberliegenden ILD-Schicht 292 als Ätzstopp dient. Das Material der CESL 294 kann auch so konfiguriert sein, dass es eine Haftung zwischen der CESL 294 und der ILD-Schicht 292 verbessert. Bei manchen Ausführungsformen enthält die CESL 294 Silizium und Stickstoff und/oder Kohlenstoff (zum Beispiel SiN, SiCN, SiCON, SiON, SiC und/oder SiCO). Bei manchen Ausführungsformen enthält die CESL 294 eine Metalloxid- und/oder eine Metallnitridschicht. Das Metall kann Aluminium, Hafnium, Titan, Kupfer, Mangan, Vanadium, ein anderes geeignetes Metall oder Kombinationen davon enthalten. Bei manchen Ausführungsformen weist die CESL 294 mehrere Schichten auf. Die CESL 294 wird durch CVD, PVD, ALD, HDPCVD, MOCVD, RPCVD, PECVD, LPCVD, ALCVD, APCVD, PEALD, FCVD, andere geeignete Abscheidungsverfahren oder Kombinationen davon gebildet. Bei manchen Ausführungsformen weist die CESL 294 eine Dicke t2 von etwa 1 nm bis etwa 30 nm auf. Nach dem Abscheiden der CESL 294 kann ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt werden, so dass die CESL 294 im Wesentlichen eine planare Oberfläche aufweist.
  • In 6 werden durch einen Strukturierungsprozess in einer Dielektrikumschicht (zum Beispiel der ILD-Schicht 292 und der CESL 294) eine oder mehrere Interconnect-Öffnungen wie eine Interconnect-Öffnung 300A und eine Interconnect-Öffnung 300B gebildet. Die Interconnect-Öffnung 300A verläuft vertikal durch die ILD-Schicht 292 und die CESL 294 und legt den Source-Drain-Kontakt 282A frei. Die Interconnect-Öffnung 300B verläuft vertikal durch die ILD-Schicht 292 und die CESL 294 und legt den Source-Drain-Kontakt 282B frei. Die Interconnect-Öffnungen 300A, 300B können somit als Durchkontaktierungsöffnungen bezeichnet werden. Die Interconnect-Öffnung 300A weist eine (durch die ILD-Schicht 292 und die CESL 294 definierte) Seitenwand 302A, eine (durch die ILD-Schicht 292 und die CESL 294 definierte) Seitenwand 304A und einen (durch einen Source-Drain-Kontakt 282A definierten) Boden 306A auf, der sich zwischen der Seitenwand 302A und der Seitenwand 304A erstreckt. Die Interconnect-Öffnung 300B weist eine (durch die ILD-Schicht 292 und die CESL 294 definierte) Seitenwand 302B, eine (durch die ILD-Schicht 292 und die CESL 294 definierte) Seitenwand 304B und einen (durch einen Source-Drain-Kontakt 282B definierten) Boden 306B auf, der sich zwischen der Seitenwand 302B und der Seitenwand 304B erstreckt. Die Interconnect-Öffnungen 300A, 300B weisen eine Breite x1 auf, die zwischen den Seitenwänden 302A, 302B beziehungsweise den Seitenwänden 304A, 304B definiert ist, und eine Tiefe d1, die jeweils zwischen einer oberen Fläche der ILD-Schicht 292 und den Source-Drain-Kontakten 282A, 282B definiert ist. Bei manchen Ausführungsformen beträgt die Breite x1 etwa 10 nm bis etwa 30 nm und die Tiefe d1 etwa 10 nm bis etwa 150 nm (zum Beispiel etwa 20 nm bis etwa 80 nm). Während CD von Interconnect-Öffnungen 300A, 300B bei modernen IC-Technologieknoten schrumpfen, benötigt eine danach gebildete Metallkleber/Sperrschicht mehr Platz in den Interconnect-Öffnungen 300A, 300B und reduziert so einen Rest der Interconnect-Öffnungen 300A, 300B für eine danach gebildete Metallfüllschicht. Dies kann ein schlechtes Füllen der Lücke mit Metall zur Folge haben, da die Metallfüllschicht nicht in der Lage ist, einen Rest der interconnect-Öffnungen 300A, 300B zu füllen, ohne dass sich Lücken (oder Fehlstellen) bilden, wodurch sich der Kontaktwiderstand beträchtlich erhöht. Bei manchen Ausführungsformen beträgt ein Seitenverhältnis (zum Beispiel d1/x1) der Interconnect-Öffnungen 300A, 300B mindestens etwa 3. Bei manchen Ausführungsformen beträgt das Seitenverhältnis etwa 5 bis etwa 15. Da Seitenverhältnisse von mindestens etwa 3 zu Problemen beim Lückenauffüllen führen können, reduziert die vorliegende Offenbarung wie weiter unten beschrieben vor dem Bilden der Metallkleber/Sperrschicht das Seitenverhältnis der Interconnect-Öffnungen 300A, 300B (zum Beispiel auf ein Seitenverhältnis von weniger als etwa 3), was die Bildung von Lücken in in den Interconnect-Öffnungen 300A, 300B gebildeten Interconnects verhindert oder auf ein Minimum reduziert. In 6 weist jede der Interconnect-Öffnungen 300A, 300B eine Trapezform auf, wobei die vorliegende Offenbarung jedoch Interconnect-Öffnungen 300A, 300B von anderer Form, wie beispielsweise von rechteckiger Form, in Erwägung zieht. Die Seitenwände 302A, 304A verlaufen konisch, so dass eine untere Breite der Interconnect-Öffnung 300A, die den Source-Drain-Kontakt 282A freilegt, geringer ist als eine obere Breite der Interconnect-Öffnung 300A an einer oberen Oberfläche der ILD-Schicht 292. Die Breite x1 der Interconnect-Öffnung 300A verringert sich somit in z-Richtung von der oberen Breite auf die untere Breite der Interconnect-Öffnung 300A. Die Seitenwände 302B, 304B verlaufen konisch, so dass eine untere Breite der Interconnect-Öffnung 300B, die den Source-Drain-Kontakt 282B freilegt, geringer ist als eine obere Breite der Interconnect-Öffnung 300B an einer oberen Oberfläche der ILD-Schicht 292. Die Breite x1 der Interconnect-Öffnung 300B verringert sich somit in z-Richtung von der oberen Breite auf die untere Breite der Interconnect-Öffnung 300B. Bei der abgebildeten Ausführungsform weisten die Interconnect-Öffnungen 300A, 300B eine untere Breite auf, die größer ist als die Breite der Source-Drain-Kontakte 282A, 282B, so dass die Interconnect-Öffnungen 300A, 300B auch Teile der ILD-Schicht 292 freilegen. Bei manchen Ausführungsformen ist die untere Breite geringer als die Breite der Source-Drain-Kontakte 282A, 282B oder entspricht dieser im Wesentlichen.
  • Bei manchen Ausführungsformen umfasst der Strukturierungsprozess das Durchführen eines Lithografieprozesses zum Bilden einer strukturierten Maskenschicht 308 mit einer (im Wesentlichen auf die Source-Drain-Kontakte 282A ausgerichteten) Öffnung 309A und einer (im Wesentlichen auf die Source-Drain-Kontakte 282B ausgerichteten) Öffnung 309B darin über der ILD-Schicht 292 und das Durchführen eines Ätzprozesses zum Übertragen einer in der strukturierten Maskenschicht 308 definierten Struktur auf die ILD-Schicht 292 und die CESL 294. Der Lithografieprozess kann das Bilden einer Lackschicht auf der ILD-Schicht 292 (zum Beispiel durch Aufschleudern), das Durchführen eines Brennprozesses vor dem Belichten, eines Belichtungsprozesses unter Verwendung einer Maske, eines Brennprozesses nach dem Belichten und eines Entwicklungsprozesses umfassen. Bei dem Belichtungsprozess wird die Lackschicht Strahlungsenergie (wie beispielsweise UV-Licht, DUV-Licht oder EUV-Licht ausgesetzt, wobei die Maske je nach ihrer Maskenstruktur und/oder einem Maskentyp (zum Beispiel binäre Maske, Phasenverschiebungsmaske oder EUV-Maske) auf die Lackschicht fallende Strahlung blockiert, durchlässt und/oder reflektiert, so dass ein Bild auf die Lackschicht projiziert wird, das der Maskenstruktur entspricht. Da die Lackschicht Strahlungsenergie gegenüber empfindlich ist, verändern sich belichtete Teile der Lackschicht chemisch, und belichtete (oder nicht belichtete) Teile der Lackschicht lösen sich in Abhängigkeit von Eigenschaften der Lackschicht und einer bei dem Entwicklungsprozess verwendeten Entwicklungslösung im Verlauf des Entwicklungsprozesses auf. Nach der Entwicklung weist die strukturierte Lackschicht eine Lackstruktur auf, die der Maske entspricht. Alternativ dazu kann der Belichtungsprozess durch andere Verfahren wie maskenlose Lithografie, Elektronen- und/oder Ionenstrahlschreiben implementiert oder ersetzt werden. Bei manchen Ausführungsformen handelt es sich bei der strukturierten Lackschicht um die strukturierte Lackschicht 308. Bei solchen Ausführungsformen wird die strukturierte Lackschicht als Ätzmaske zum Entfernen von Teilen der ILD-Schicht 292 und der CESL 294 benutzt, die durch die Öffnungen 309A, 309B freigelegt werden. Bei manchen Ausführungsformen wird die strukturierte Lackschicht über einer Maskenschicht gebildet, die vor dem Bilden der Lackschicht über der ILD-Schicht 292 gebildet wird, und die strukturierte Lackschicht wird als Ätzmaske zum Entfernen von Teilen der Maskenschicht verwendet, die über der ILD-Schicht 292 gebildet ist, wodurch die strukturierte Maskenschicht 308 gebildet wird. Bei solchen Ausführungsformen wird die strukturierte Maskenschicht als Ätzmaske zum Entfernen von Teilen der ILD-Schicht 292 und der CESL 294 benutzt, die durch die Öffnungen 309A, 309B freigelegt werden. Bei manchen Ausführungsformen wird nach dem Ätzprozess die strukturierte Maskenschicht 308 (bei manchen Ausführungsformen durch einen Lackablösungsprozess) von der ILD-Schicht 292 entfernt. Bei manchen Ausführungsformen wird die strukturierte Maskenschicht 308 beim Ätzen der ILD-Schicht 292 und/oder der CESL 294 entfernt.
  • Der Ätzprozess kann einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon umfassen. Bei dem Ätzprozess handelt es sich zum Beispiel um einen Trockenätzprozess wie einen RIE-Prozess, bei dem ein fluorhaltiges Ätzgas das Material der ILD-Schicht 292 (z.B. silizium- und sauerstoffhaltiges Material) und das Material der CESL 294 (z.B. Silizium- und stickstoffhaltiges Material) mit einer höheren Rate entfernt als das Material der strukturierten Maskenschicht 308 und/oder der Source-Drain-Kontakte 282A, 282B (z.B. metallhaltiges Material) (d.h., das Ätzmittel besitzt eine höhere Ätzselektivität in Bezug auf die ILD-Schicht 292 und die CESL 294). Bei manchen Ausführungsformen ist der Trockenätzprozess so konfiguriert, dass aus dem fluorhaltigen Gas ein fluorhaltiges Plasma erzeugt wird, so dass in dem Trockenätzprozess unter Verwendung von plasmaangeregten fluorhaltigen Spezies die ILD-Schicht 292 und die CESL 294 entfernt werden. Das fluorhaltige Ätzgas enthält Fluor (F2), Fluormethan (z.B. CH3F), Difluormethan (z.B. CH2F2), Trifluormethan (z.B. CHF3), Tetrafluormethan (z.B. CF4), Hexafluormethan (z.B. C2F6), Schwefelhexafluorid (z.B. SF6), Stickstofftrifluorid (z.B.NF3), ein anderes fluorhaltiges Ätzmittel oder Kombinationen davon. Beim Trockenätzen können alternativ oder zusätzlich dazu ein wasserstoffhaltiges Ätzgas (zum Beispiel H2 und/oder CH4), ein stickstoffhaltiges Ätzgas (zum Beispiel N2 und/oder NH3), ein chlorhaltiges Ätzgas (zum Beispiel Cl2, CHCl3, CCl4 und/oder BCl3), ein sauerstoffhaltiges Ätzgas (zum Beispiel O2), ein bromhaltiges Ätzgas (zum Beispiel HBr und/oder CHBr3), ein jodhaltiges Ätzgas, ein anderes geeignetes Ätzgas oder Kombinationen davon verwendet werden. Das Trockenätzen kann so konfiguriert sein, dass aus einem der hier offenbarten Ätzgase ein Plasma erzeugt wird, so dass beim Trockenätzen plasmaangeregte Spezies zum Entfernen der ILD-Schicht 292 und der CESL 294 verwendet werden. Bei manchen Ausführungsformen wird ein Trägergas zum Zuführen des fluorhaltigen Ätzgases und/oder anderen Ätzgases verwendet. Bei dem Trägergas kann es sich um ein inertes Gas wie ein argonhaltiges, ein heliumhaltiges, ein xenonhaltiges Gas, ein anderes geeignetes inertes Gas oder Kombinationen davon handeln.
  • Bei manchen Ausführungsformen wird beim Trockenätzen zum gezielten Ätzen der ILD-Schicht 292 und der CESL 294 ein Ätzgas mit CF4 eingesetzt. Bei manchen Ausführungsformen wird beim Trockenätzen ein Ätzgas mit CF4 in Kombination mit 02, N2 und/oder H2 eingesetzt. Eine Strömungsgeschwindigkeit von CF4, eine Strömungsgeschwindigkeit von Ü2, eine Strömungsgeschwindigkeit von N2, ein Verhältnis von CF4 zu O2, N2 und/oder H2, eine Ätzdauer, eine Ätztemperatur, ein Ätzdruck und/oder eine HF-Leistung können so abgestimmt werden, dass die gewünschte Ätzselektivität erzielt wird. Bei manchen Ausführungsformen beträgt eine Strömungsgeschwindigkeit von CF4 etwa 10 sccm bis etwa 1.000 sccm. Bei manchen Ausführungsformen beträgt eine Dauer des Trockenätzens etwa 5 Sekunden bis etwa 50 Sekunden. Bei manchen Ausführungsformen wird zum Erzeugen eines fluorhaltigen Plasmas eine HF-Leistung von etwa 100 W bis etwa 1.000 W verwendet. Bei manchen Ausführungsformen beträgt ein beim Trockenätzen in einer Prozesskammer aufrechterhaltener Druck etwa 10 mTorr bis etwa 1.000 mTorr. Bei manchen Ausführungsformen beträgt eine beim Trockenätzen in der Prozesskammer aufrechterhaltene Temperatur etwa 18°C bis etwa 100°C. Bei manchen Ausführungsformen handelt es sich bei dem Ätzprozess um einen mehrstufigen (mehrphasigen) Ätzprozess mit einem ersten Ätzschritt, bei dem die ILD-Schicht 292 gezielt geätzt wird, und einem zweiten Ätzschritt, bei dem die CESL 294 gezielt geätzt wird. Der erste Ätzschritt ist zum Beispiel so konfiguriert, dass er zwar die ILD-Schicht 292, die CESL 294 jedoch nicht oder kaum entfernt, so dass das erste Ätzen stoppt, wenn es die CESL 294 erreicht, während der zweite Ätzschritt so konfiguriert ist, dass er zwar die CESL 294, jedoch das ILD 292 und die Source-Drain-Kontakte 282A, 282B nicht oder kaum entfernt, so dass das zweite Ätzen stoppt, wenn es die Source-Drain-Kontakte 282A, 282B erreicht. Verschiedene Ätzparameter können so abgestimmt werden, dass ein gezieltes Ätzen der ILD-Schicht 292 und der CESL 294 erreicht wird. Zum Beispiel wird für den ersten Ätzschritt ein Ätzmittel für den ersten Ätzprozess gewählt, das das Material der ILD-Schicht 292 mit einer höheren Rate ätzt als das Material der CESL 294 (d.h., das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf das Material der ILD-Schicht 292 auf). Für den zweiten Ätzschritt wird ein Ätzmittel gewählt, das das Material der CESL 294 mit einer höheren Rate ätzt als das Material der ILD-Schicht 292 (d.h., das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf das Material der CESL 294 auf). Bei manchen Ausführungsformen kann bei dem ersten und dem zweiten Ätzschritt das gleiche Ätzmittel bei jedoch unterschiedlicher Strömungsgeschwindigkeit und/oder Konzentration von Bestandteilen des Ätzmittels benutzt werden. Bei manchen Ausführungsformen kann der erste und/oder der zweite Ätzschritt so konfiguriert sein, dass er ein wenig überätzt. Bei solchen Ausführungsformen kann der erste Ätzschritt die CESL 294 und/oder der zweite Ätzschritt die Source-Drain-Kontakte 282A, 282B teilweise ätzen. Bei manchen Ausführungsformen kann der zweite Ätzschritt mehrere Schritte zum gezielten Ätzen jeder Schicht der CESL 294 umfassen, wobei jeder Schritt so konfiguriert ist, dass dabei eine jeweilige der Schichten der CESL 294 geätzt wird.
  • In den 7A bis 7C wird ein Ätzprozess zum Vertiefen der Source-Drain-Kontakte 282A, 282B durchgeführt. Ein solcher Prozess kann als Kontaktrückätzung, Kontaktvertiefung und/oder Pfropfenvertiefung (oder Rückätzung) bezeichnet werden. Bei dem Ätzprozess handelt es sich um einen Trockenätzprozess, einen Nassätzprozess, einen anderen geeigneten Ätzprozess oder Kombinationen davon. Bei der abgebildeten Ausführungsform handelt es sich bei der Kontaktrückätzung um eine Nassätzung, bei der eine Nassätzmittellösung zum Entfernen des Materials der Source-Drain-Kontakte 282A, 282B (z.B. Metallmaterial) mit einer höheren Rate als das Material der ILD-Schicht 292 (z.B. dielektrisches Material wie silizium- und sauerstoffhaltiges Material) und das Material der CESL 294 (z.B. dielektrisches Material wie silizium- und stickstoffhaltiges Material) benutzt wird (d.h., das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf die Source-Drain-Kontakte 282A, 282B auf). Bei der abgebildeten Ausführungsform entfernt die Nassätzmittellösung das Material der Kontaktvolumenschicht 286 mit einer höheren Rate als das Material der Kontaktsperrschicht 284 (d.h., das Ätzmittel weist eine hohe Ätzselektivität in Bezug auf die Kontaktvolumenschicht 286 auf), so dass bei der Kontaktrückätzung zwar die Kontaktvolumenschicht 286 entfernt wird, die Kontaktsperrschicht 284 jedoch nicht oder kaum. Der Nassätzprozess implementiert zum Beispiel eine Nassätzmittellösung, die Fluorwasserstoffsäure (HF), Salpetersäure (HNO3), Salzsäure (HCl), Ammoniakwasser (NH4OH), Wasserstoffperoxid (H2O2), Wasser (H2O), andere geeignete Bestandteile einer Nassätzmittellösung oder Kombinationen davon enthält. Bei manchen Ausführungsformen kann ein pH der Nassätzmittellösung, eine Ätztemperatur und/oder eine Ätzdauer so abgestimmt werden, dass gezielt eine gewünschte Ätzung erreicht wird. Bei manchen Ausführungsformen weist die Nassätzmittellösung einen pH von etwa 5,5 bis etwa 8,5 auf. Bei manchen Ausführungsformen beträgt eine Temperatur der Nassätzmittellösung etwa 18°C bis etwa 100°C. Bei manchen Ausführungsformen beträgt eine Dauer des Nassätzens etwa 10 Sekunden bis etwa 200 Sekunden. Wie weiter unten noch beschrieben wird, vergrößert sich durch die Kontaktrückätzung eine Kontaktfläche zwischen den Source-Drain-Kontakten 282A, 282B und später gebildeten Durchkontaktierungen, wodurch sich die Leistung des IC-Bauteils 200 erhöhen oder die strukturelle Integrität der Durchkontaktierungen und/oder der Interconnect-Strukturen einschließlich der Durchkontaktierungen verbessern kann. Bei manchen Ausführungsformen wird durch die Kontaktrückätzung die Kontaktsperrschicht 284 teilweise entfernt, so dass eine Dicke der Kontaktsperrschicht 284 entlang der ILD-Schicht 254 schwankt. Bei manchen Ausführungsformen wird die gesamte Kontaktsperrschicht 284 durch die Kontaktrückätzung entfernt.
  • Nach der Kontaktrückätzung weist die Interconnect-Öffnung 300A einen oberen Teil 310A und einen unteren Teil 311A und die Interconnect-Öffnung 300B einen oberen Teil 310B und einen unteren Teil 311B auf. Die oberen Teile 310A, 310B sind in der XZ-Ebene und der YZ-Ebene im Wesentlichen gleich konfiguriert. In der XZ-Ebene sind die oberen Teile 310A, 310B zum Beispiel jeweils zwischen Seitenwänden 302A, 302B und Seitenwänden 304A, 304B definiert und weisen wie oben beschrieben eine Breite w1 und eine Tiefe d1 auf. In der YZ-Ebene weisen die oberen Teile 310A, 310B eine Breite y1 auf, die jeweils zwischen Seitenwänden 312A, 312B und Seitenwänden 313A, 313B definiert ist, und eine Tiefe d1, die zwischen einer oberen Oberfläche der ILD-Schicht 292 und einer unteren Oberfläche der CESL 294 definiert ist. Die Seitenwände 312A, 312B und 313A, 313B werden durch die ILD-Schicht 292 und die CESL 294 definiert. Bei manchen Ausführungsformen entspricht die Breite y1 im Wesentlichen der Breite x1 (zum Beispiel etwa 10 nm bis etwa 30 nm). Bei manchen Ausführungsformen ist die Breite y1 größer gleich der Breite x1. Die oberen Teile 310A, 310B weisen in der YZ-Ebene zudem eine Trapezform auf, wobei die vorliegende Offenbarung jedoch auch in Erwägung zieht, dass sie eine andere Form aufweisen, wie beispielsweise eine rechteckige Form. Die Seitenwände 312A, 312B und 313A, 313B verlaufen konisch, so dass die untere Breite der oberen Teile 310A, 310B geringer ist als die obere Breite der oberen Teile 310A, 310B. Die Breite y2 verringert sich also in z-Richtung von der oberen Breite auf die untere Breite der oberen Teile 310A, 310B. Die vorliegende Offenbarung zieht Ausführungsformen in Erwägung, bei denen die oberen Teile 310A, 310B in der XZ-Ebene und der YZ-Ebene unterschiedlich konfiguriert sind.
  • Die unteren Teile 311A, 311B sind in der XZ-Ebene und der YZ-Ebene unterschiedlich konfiguriert. Die unteren Teile 311A, 311B weisen zum Beispiel in der XZ-Ebene (7A) eine Trapezform und in der YZ-Ebene (7B und 7C) eine Halbkreisform auf, wobei die vorliegende Offenbarung jedoch in Erwägung zieht, dass die unteren Teile 311A, 311B in der XZbeziehungsweise der YZ-Ebene eine andere Form aufweisen. Der untere Teil 311A in 7A ist durch eine (durch die Kontaktsperrschicht 284 definierte) Seitenwand 314A, eine (durch die Kontaktsperrschicht 284 definierte) Seitenwand 315A und einen (durch die Kontaktvolumenschicht 286 definierten) Boden 316A definiert, der zwischen der Seitenwand 314A und der Seitenwand 315A verläuft, und der untere Teil 311B ist durch eine (durch die Kontaktsperrschicht 284 definierte) Seitenwand 314B, eine (durch die Kontaktsperrschicht 284 definierte) Seitenwand 315B und einen (durch die Kontaktvolumenschicht 286 definierten) Boden 316B definiert, der zwischen der Seitenwand 314B und der Seitenwand 315B verläuft. Zwischen den Seitenwänden 314A, 314B und den Seitenwänden 315A, 315B ist jeweils eine Breite x2 definiert. Bei der abgebildeten Ausführungsform ist die Breite x2 geringer als die Breite x1, da die Kontaktvolumenschicht 286 in Bezug auf die Kontaktsperrschicht 284 bei der Kontaktrückätzung gezielt entfernt wird. Bei manchen Ausführungsformen beträgt die Breite x2 etwa 8 nm bis etwa 30 nm. Bei manchen Ausführungsformen ist die Breite x2 größer gleich der Breite x1. Die Seitenwände 314A, 314B und 315A, 315B verlaufen konisch, so dass die untere Breite der unteren Teile 311A, 311B geringer ist als die obere Breite der unteren Teile 311A, 311B. Die Breite x2 verringert sich also in z-Richtung von der oberen Breite auf die untere Breite der unteren Teile 311A, 311B. Zwischen einer oberen Oberfläche der ILD-Schicht 254 und dem Boden 316A, 316B ist jeweils eine Tiefe d2 definiert. Bei manchen Ausführungsformen ist die Tiefe d2 geringer als die Tiefe d1. Bei manchen Ausführungsformen ist die Tiefe d2 kleiner gleich etwa 30 nm.
  • Die unteren Teile 311A, 311B in 7B und 7C weisen ferner (durch die Kontaktvolumenschicht 286 definierte) gewölbte Wände 318A beziehungsweise 318B auf. Die Tiefe d2 ist ferner jeweils zwischen der oberen Oberfläche der ILD-Schicht 254 und den gekrümmten Seitenwänden 318A, 318B in der YZ-Ebene definiert. Dementsprechend ist die Tiefe d2 bei der abgebildeten Ausführungsform entsprechend in x-Richtung im Wesentlichen gleich, schwankt jedoch in y-Richtung. Durch die gewölbten Seitenwände 318A, 318B ist jeweils auch eine Breite y2 definiert. Bei der abgebildeten Ausführungsform sind die oberen Breiten der unteren Teile 311A, 311B größer als die unteren Breiten der oberen Teile 310A, 310B, da die Kontaktrückätzung von isotropischer Art ist (anders ausgedrückt werden bei der Kontaktrückätzung Teile der Kontaktvolumenschicht 286 seitlich (z.B. in x- und y-Richtung) und vertikal (z.B. in z-Richtung) entfernt). Die Breite y2 ist zum Beispiel größer als die Breite y1. Bei manchen Ausführungsformen beträgt die Breite y2 etwa 10 nm bis etwa 50 nm. Bei manchen Ausführungsformen ist die Breite y2 etwa 10 nm bis etwa 20 nm größer als die Breite y1. Bei manchen Ausführungsformen legt die Kontaktrückätzung einen Teil einer unteren Oberfläche der CESL 294 frei, wie beispielsweise die CESL-Oberflächen 320A und 320B. Bei manchen Ausführungsformen ist eine Breite y3 der freigelegten CESL-Oberflächen 320A, 320B kleiner gleich etwa 10 nm. Aufgrund der gewölbten Seitenwände 318A, 318B weisen die unteren Teile 311A, 311B eine in der YZ-Ebene konisch zulaufende Breite auf, so dass sich die Breite y2 bei den unteren Teilen 311A, 311B in z-Richtung von oben nach unten verringert.
  • In den 8A bis 8C wird durch einen von unten nach oben erfolgenden Abscheidungsprozess ein Durchkontaktierungsvolumenmaterial 330 in den Interconnect-Öffnungen 300A, 300B gebildet. Das Durchkontaktierungsvolumenmaterial 330 enthält Wolfram, Wolframlegierung, Ruthenium, Rutheniumlegierung, Kobalt, Kobaltlegierung, Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierung, Iridium, Iridiumlegierung, Palladium, Palladiumlegierung, Platin, Platinlegierung, Nickel, Nickellegierung, andere Metallbestandteile mit geringem spezifischem Widerstand und/oder Legierungen davon oder Kombinationen davon. Der von unten nach oben erfolgende Abscheidungsprozess bezieht sich allgemein auf einen Abscheidungsprozess, bei dem eine Öffnung von unten nach oben gefüllt wird (was auch als Bottom-Up-Füllung oder Bottom-nach-Top-Füllung einer Öffnung bezeichnet werden kann). Bei manchen Ausführungsformen handelt es sich bei dem von unten nach oben erfolgenden Abscheidungsprozess um eine selektive CVD, wobei verschiedene Parameter der selektiven CVD so abgestimmt werden, dass gezielt Wolfram, Ruthenium, Kobalt oder Legierungen davon an der Kontaktvolumenschicht 286 und/oder der Kontaktsperrschicht 284 aufgewachsen werden, während ein Wachstum von Wolfram, Ruthenium, Kobalt oder Legierungen davon an der ILD-Schicht 292 und/oder der CESL 294 eingeschränkt (oder verhindert) wird. Zu den verschiedenen Parametern gehören Abscheidungspräkursoren (zum Beispiel Metallpräkursoren und/oder Reaktanten), Strömungsgeschwindigkeiten von Abscheidungspräkursoren, Abscheidungstemperatur, Abscheidungsdauer, Abscheidungsdruck, andere geeignete Abscheidungsparameter oder Kombinationen davon. Bei der abgebildeten Ausführungsform sind verschiedene Parameter der selektiven CVD so abgestimmt, dass in einer Reduktionsreaktion gezielt polykristallines Wolfram auf die Kontaktvolumenschicht 286 aufgewachsen wird. Bei solchen Ausführungsformen umfasst die selektive CVD das Einleiten eines wolframhaltigen Präkursors (zum Beispiel WFx (wobei x eine Anzahl von F-Atomen angibt und x ≥ 1), WCly (wobei y eine Anzahl von Cl-Atomen angibt und y ≥ 1), eines anderen geeigneten wolframhaltigen Gases oder Kombinationen davon) und eines Reaktionspräkursors (zum Beispiel H2, eines anderen geeigneten Reaktionsgases oder Kombinationen davon) in eine Prozesskammer zum Abscheiden von Durchkontaktierungsvolumenmaterial 330 auf der Kontaktvolumenschicht 286 in den Interconnect-Öffnungen 300A, 300B. Bei manchen Ausführungsformen wird ein Trägergas zum Zuführen des wolframhaltigen Präkursorgases und/oder des Reaktionsgases in die Prozesskammer verwendet. Bei dem Trägergas kann es sich um ein inertes Gas wie ein argonhaltiges, ein heliumhaltiges, ein xenonhaltiges Gas, ein anderes geeignetes inertes Gas oder Kombinationen davon handeln. Bei manchen Ausführungsformen, bei denen es sich bei dem wolframhaltigen Präkursor um Wolframhexafluorid (z.B. WF6) handelt, kann dieses laut der nachfolgenden beispielhaften Reduktionsreaktion mit H2 reduziert werden: WF6 + 3H2 → W + 6HF
  • Bei manchen Ausführungsformen, bei denen es sich bei dem wolframhaltigen Präkursor um Wolframpentachlorid (z.B. WCl5) handelt, kann dieses laut der nachfolgenden beispielhaften Reduktionsreaktion mit H2 reduziert werden: 2WCl5 + 5H2 → 2W + 5HCl
  • Bei manchen Ausführungsformen wird der wolframhaltige Präkursor mit dem Reaktionsgas (z.B. H2) gemischt, und ein Anteil des wolframhaltigen Präkursors am Reaktionsgas beträgt etwa 0,1% bis etwa 1,5%. Bei manchen Ausführungsformen beträgt ein bei der selektiven CVD in der Prozesskammer aufrechterhaltener Druck etwa 1 mTorr bis etwa 50 mTorr. Bei manchen Ausführungsformen beträgt eine Temperatur des IC-Bauteils 200 (zum Beispiel des Substrats 210) bei der gezielten CVD etwa 200°C bis etwa 400°C. Bei manchen Ausführungsformen werden die diversen Parameter des von unten nach oben erfolgenden Abscheidungsprozesses so abgestimmt, dass sich Schwankungen beim Durchkontaktierungsvolumenmaterial 330 in Interconnect-Öffnungen des IC-Bauteils 200 wie oben und hier beschrieben auf ein Minimum beschränken. Bei manchen Ausführungsformen handelt es sich bei dem von unten nach oben erfolgenden Abscheidungsprozess um eine ALD, wobei verschiedene Parameter der ALD so abgestimmt werden, dass gezielt Wolfram, Ruthenium, Kobalt oder Legierungen davon an der Kontaktvolumenschicht 286 und/oder der Kontaktsperrschicht 284 aufgewachsen werden, während ein Wachstum von Wolfram, Ruthenium, Kobalt oder Legierungen davon an der ILD-Schicht 292 und/oder der CESL 294 eingeschränkt (oder verhindert) wird. Bei manchen Ausführungsformen werden zum Bilden von Durchkontaktierungsvolumenmaterial 330 mehrere ALD-Zyklen durchgeführt. Bei manchen Ausführungsformen handelt es sich bei dem von unten nach oben erfolgenden Abscheidungsprozess um mehrere Zyklen aus Abscheidungs-/Ätzschritten, zu denen zum Beispiel das Abscheiden eines Durchkontaktierungsvolumenmaterials über dem IC-Bauteil 200 und das darauffolgende Zurückätzen des Durchkontaktierungsvolumenmaterials gehört.
  • Wie in den 8A bis 8C abgebildet ist, wird das Durchkontaktierungsvolumenmaterial 330 bei dem von unten nach oben erfolgenden Abscheidungsprozess eventuell nicht gleichmäßig in Interconnect-Öffnungen wie der Interconnect-Öffnung 300A und der Interconnect-Öffnung 300B an dem IC-Bauteil 200 abgeschieden. Das Durchkontaktierungsvolumenmaterial 330 füllt zum Beispiel die Interconnect-Öffnung 300A teilweise, während es die Interconnect-Öffnung 300B vollständig füllt. Bei der abgebildeten Ausführungsform füllt das Durchkontaktierungsvolumenmaterial 330 die unteren Teile 311A, 311B vollständig, den oberen Teil 310A teilweise und den oberen Teil 310B vollständig. Das die Interconnect-Öffnung 300A füllende Durchkontaktierungsvolumenmaterial 330 weist eine Höhe (oder Dicke) h1 auf, die zwischen dem Source-Drain-Kontakt 282A (insbesondere der oberen Oberfläche der Kontaktvolumenschicht 286) und einer oberen Oberfläche 332A des Durchkontaktierungsvolumenmaterials 330 definiert ist, und das die Interconnect-Öffnung 300B füllende Durchkontaktierungsvolumenmaterial 330 weist eine Höhe (oder Dicke) h2 auf, die zwischen dem Source-Drain-Kontakt 282B (insbesondere der oberen Oberfläche der Kontaktvolumenschicht 286) und einer oberen Oberfläche 332B des Durchkontaktierungsvolumenmaterials 330 definiert ist. Bei manchen Ausführungsformen beträgt die Höhe h1 etwa 20 nm bis etwa 50 nm und die Höhe h2 etwa 40 nm bis etwa 130 nm. Bei manchen Ausführungsformen beträgt das Verhältnis der Höhe h1 zur Höhe h2 etwa 1:2 bis etwa 1:7. Bei manchen Ausführungsformen beträgt eine Schwankung Δh der Höhe (Wachstum) zwischen dem in Interconnect-Öffnungen des IC-Bauteils 200 wie den Interconnect-Öffnungen 300A, 300B abgeschiedenen (aufgewachsenen) Durchkontaktierungsvolumenmaterial 330 etwa 20 nm bis etwa 80 nm. Bei der abgebildeten Ausführungsform weisen die obere Oberfläche 332A und die obere Oberfläche 332B ein unterschiedliches Oberflächenprofil auf. Zum Beispiel handelt es sich bei der oberen Oberfläche 332A um eine im Wesentlichen planare Oberfläche und bei der oberen Oberfläche 332B um eine im Wesentlichen gewölbte Oberfläche, wobei die vorliegende Offenbarung jedoch anmerkt, dass sowohl die planare als auch die gewölbte Oberfläche Unregelmäßigkeiten und/oder Rauigkeit aufweisen kann, zu denen es aufgrund des von unten nach oben erfolgenden Abscheidungsprozesses kommt (d.h., die planare und die gewölbte Oberfläche sind möglicherweise nicht so glatt wie abgebildet). Bei manchen Ausführungsformen handelt es sich bei der oberen Oberfläche 332A und der oberen Oberfläche 332B um im Wesentlichen planare oder im Wesentlichen gewölbte Oberflächen. Bei manchen Ausführungsformen handelt es sich bei der oberen Oberfläche 332A um eine im Wesentlichen gewölbte Oberfläche, während es sich bei der oberen Oberfläche 332B um eine im Wesentlichen planare Oberfläche handelt. Ein übrigbleibender (ungefüllter) Teil der Interconnect-Öffnung 300A weist eine Tiefe d1' auf, die zwischen einer oberen Oberfläche der ILD-Schicht 292 und der oberen Oberfläche 332A des Durchkontaktierungsvolumenmaterials 330 definiert ist. Bei manchen Ausführungsformen ist die Tiefe d1' geringer als etwa 30 nm. Die Tiefe d1' ist geringer als die Tiefe d1, wodurch sich ein Seitenverhältnis der Interconnect-Öffnungen 300A, 300B reduziert. Bei manchen Ausführungsformen beträgt ein Seitenverhältnis (z.B. d1'/x1) der Interconnect-Öffnungen 300A, 300B nach dem von unten nach oben erfolgenden Abscheidungsprozess weniger als etwa 5 und bei manchen Ausführungsformen weniger als etwa 3. Durch Reduzieren des Seitenverhältnisses der Interconnect-Öffnungen 300A, 300B lässt sich das Bilden von Lücken in in den Interconnect-Öffnungen 300A, 300B gebildeten Interconnects verhindern oder auf ein Minimum beschränken. Bei der abgebildeten Ausführungsform überfüllt das Durchkontaktierungsvolumenmaterial 330 die Interconnect-Öffnung 300B und erstreckt sich über und auf der oberen Oberfläche der ILD-Schicht 292. So bildet zum Beispiel ein Teil des Durchkontaktierungsvolumenmaterials 330 einen Durchkontaktierungsnietkopf mit einer Höhe (oder Dicke) h3, die zwischen der oberen Oberfläche der ILD-Schicht 292 und der oberen Oberfläche 332B des Durchkontaktierungsvolumenmaterials 330 definiert ist. Bei manchen Ausführungsformen beträgt die Höhe h3 etwa 5 nm bis etwa 20 nm. Der Durchkontaktierungsnietkopf weist eine Breite auf, die größer ist als die Breite y1. Bei der abgebildeten Ausführungsform erstreckt sich das Durchkontaktierungsvolumenmaterial 330 des Durchkontaktierungsnietkopfes über der oberen Oberfläche der ILD-Schicht 292. Bei solchen Ausführungsformen beträgt eine Breite y4 eines Teils der oberen Oberfläche der ILD-Schicht 292, der mit dem Durchkontaktierungsvolumenmaterial 330 bedeckt ist, etwa 5 nm bis etwa 15 nm. Bei manchen Ausführungsformen ist die Breite y4 wie abgebildet größer als die Breite y3. Bei manchen Ausführungsformen ist die Breite y4 im Wesentlichen kleiner gleich der Breite y3. Wenn sich die Höhe h3 des Durchkontaktierungsnietkopfes erhöht, erhöht sich die Eigenspannung innerhalb des Durchkontaktierungsvolumenmaterials 330, was beim kontinuierlichen Aufwachsen des Durchkontaktierungsvolumenmaterials 330 und/oder bei der nachfolgenden Bearbeitung zu Rissen führen kann. Bei manchen Ausführungsformen wird der von unten nach oben erfolgende Abscheidungsprozess dementsprechend so abgestimmt, dass die Höhe h3 auf eine vorgegebene Höhe beschränkt ist, die die Eigenspannung des Durchkontaktierungsvolumenmaterials 330 auf ein Minimum beschränken kann, während gleichzeitig sichergestellt wird, dass die Höhe h1 dafür ausreicht, ein Seitenverhältnis der Interconnect-Öffnungen 300A, 300B auf einen Bereich zu reduzieren, in dem sich eine Füllung der Lücke mit Metall verbessert. Bei manchen Ausführungsformen wird der von unten nach oben erfolgende Abscheidungsprozess zum Beispiel so abgestimmt, dass sichergestellt wird, dass die Höhe h3 kleiner gleich 20 nm ist, um die Eigenspannung des Durchkontaktierungsvolumenmaterials 330 auf ein Minimum zu beschränken, während die Höhe h1 innerhalb eines Sollhöhenbereichs liegt, der eine Tiefe d1' von weniger als etwa 30 nm erzielt und so für Interconnect-Öffnungen 300A, 300B mit Seitenverhältnissen mit besseren Metallfülleigenschaften für Lücken sorgt. Bei einem solchen Beispiel kann das Durchkontaktierungsvolumenmaterial 330, wenn die Höhe h3 mehr als 20 nm beträgt, einen Grad an Eigenspannung aufweisen, der zu Rissen im Durchkontaktierungsvolumenmaterial 330 führt, die die Leistung des IC-Bauteils 200 beeinträchtigen.
  • In den 9A bis 9C wird über dem Durchkontaktierungsvolumenmaterial 330 eine (auch als Durchkontaktierungs-Liner-Schicht bezeichnete) Durchkontaktierungssperrschicht 335 gebildet. Die Durchkontaktierungssperrschicht 335 wird über der oberen Oberfläche der ILD-Schicht 292, den oberen Oberflächen 332A, 332B des Durchkontaktierungsvolumenmaterials 330 und den Seitenwänden 302A, 304A gebildet, die einen Rest des oberen Teils 310A definieren. Die Durchkontaktierungssperrschicht 335 wird in der Interconnect-Öffnung 300A gebildet und füllt diese teilweise. Die abgeschiedene Durchkontaktierungssperrschicht 335 schwimmt über dem Source-Drain-Kontakt 282A in der Interconnect-Öffnung 300A und befindet sich damit nicht in physischem Kontakt. Bei der abgebildeten Ausführungsform weist die Durchkontaktierungssperrschicht 335 über der oberen Oberfläche der ILD-Schicht 292 und den oberen Oberflächen 332A, 332B des Durchkontaktierungsvolumenmaterials 330 eine Dicke t3 und über den Seitenwänden 302A, 304A, die einen Rest des oberen Teils 310A definieren, eine Dicke t4 auf. Bei der abgebildeten Ausführungsform ist die Dicke t3 größer als die Dicke t4. Die Dicke t3 beträgt zum Beispiel etwa 4 nm bis etwa 8 nm und die Dicke t4 etwa 1 nm bis etwa 3 nm. Bei einer Dicke t4 von mehr als 3 nm bleibt möglicherweise nicht genug Platz für ein nachfolgend abgeschiedenes Durchkontaktierungsvolumenmaterial, was zu Problemen beim Füllen von Lücken (zum Beispiel Fehlstellen in den Durchkontaktierungen) führen kann. Bei manchen Ausführungsformen wird die Durchkontaktierungssperrschicht 335 konform über dem IC-Bauteil 200 abgeschieden, so dass die Dicke t3 im Wesentlichen der Dicke t4 entspricht. Bei manchen Ausführungsformen ist die Dicke t3 in Abhängigkeit von Prozessbedingungen geringer als die Dicke t4. Bei manchen Ausführungsformen wird die Durchkontaktierungssperrschicht 335 über dem Durchkontaktierungsvolumenmaterial 330 gebildet, um Eigenspannung zu reduzieren und Risse im Durchkontaktierungsvolumenmaterial 330 zu verhindern. Bei manchen Ausführungsformen kann das Durchkontaktierungsvolumenmaterial 330 beide Interconnect-Öffnungen 300A, 300B (und bei manchen Ausführungsformen sämtliche Interconnect-Öffnungen auf einem Wafer) vollständig füllen. Bei solchen Ausführungsformen kann immer noch die Durchkontaktierungssperrschicht 335 (und wie unten beschrieben nachfolgend gebildetes Durchkontaktierungsvolumenmaterial) gebildet werden, um Eigenspannung zu reduzieren und zum Beispiel bei einem Planarisierungsprozess Risse im Durchkontaktierungsvolumenmaterial 330 zu verhindern. Die Durchkontaktierungssperrschicht 335 enthält ein Material, das die Haftung zwischen einem dielektrischen Material (hier der ILD-Schicht 292) und einem nachfolgend gebildeten Metallmaterial zum Füllen eines Rests der Interconnect-Öffnung 300A verbessert. Die Durchkontaktierungssperrschicht 335 enthält zum Beispiel Titan, Titanlegierung, Tantal, Tantallegierung, Kobalt, Kobaltlegierung, Ruthenium, Rutheniumlegierung, Molybdän, Molybdänlegierung, Wolfram, Wolframlegierung, einen anderen geeigneten Bestandteil, der so konfiguriert ist, dass er die Haftung zwischen einem Metallmaterial und einem dielektrischen Material verbessert und/oder erhöht, oder Kombinationen davon. Bei der abgebildeten Ausführungsform enthält die Durchkontaktierungssperrschicht 335 Tantal und Stickstoff (zum Beispiel Tantalnitrid), Titan und Stickstoff (zum Beispiel Titannitrid), Wolfram und Stickstoff (zum Beispiel Wolframnitrid) oder Wolfram. Bei manchen Ausführungsformen weist die Durchkontaktierungssperrschicht 335 mehrere Schichten auf. Die Durchkontaktierungssperrschicht 335 weist zum Beispiel eine erste Teilschicht auf, die Titan enthält, und eine über der ersten Teilschicht angeordnete zweite Teilschicht, die Titannitrid enthält. Bei einem anderen Beispiel kann die Durchkontaktierungssperrschicht 335 eine erste Teilschicht aufweisen, die Tantal enthält, und eine zweite Teilschicht, die Tantalnitrid enthält.
  • Die Durchkontaktierungssperrschicht 335 wird durch PVD, CVD, ALD, Elektroplattieren, stromloses Plattieren, einen anderen geeigneten Abscheidungsprozess oder Kombinationen davon abgeschieden. Bei manchen Ausführungsformen handelt es sich bei der Durchkontaktierungssperrschicht 335 um eine Titannitridschicht, die durch Durchführen von PVD zum Abscheiden einer Titanschicht über dem IC-Bauteil 200 und darauffolgendes Durchführen einer Stickstoffplasmabehandlung der Titanschicht gebildet wird. Bei manchen Ausführungsformen beträgt ein bei der PVD in einer Prozesskammer aufrechterhaltener Druck etwa 10 mTorr bis etwa 1 mTorr. Bei manchen Ausführungsformen beträgt eine bei der PVD in der Prozesskammer aufrechterhaltene Temperatur etwa 300°C bis etwa 450°C. Bei manchen Ausführungsformen handelt es sich bei der PVD um einen Hochfrequenz-Sputterprozess (HF-Sputterprozess). Bei solchen Ausführungsformen kann der HF-Sputterprozess eine HF-Leistung von etwa 1 Kilowatt (kW) bis etwa 2 kW implementieren. Bei manchen Ausführungsformen umfasst die Stickstoffplasmabehandlung das Behandeln der Titanschicht mit einem stickstoffhaltigen Plasma (d.h., die Titanschicht wird mit plasmaangeregten stickstoffhaltigen Spezies beschossen, um den Stickstoff in die Titanschicht zu treiben). Bei manchen Ausführungsformen enthält die Durchkontaktierungssperrschicht 335 eine Titannitridschicht, die über einer Titanschicht angeordnet ist, wobei die Titanschicht durch Durchführen von PVD und die Titannitridschicht durch Durchführen von CVD gebildet wird. Bei manchen Ausführungsformen beträgt ein bei der PVD in einer Prozesskammer aufrechterhaltener Druck etwa 10 mTorr bis etwa 150 mTorr. Bei manchen Ausführungsformen beträgt eine bei der PVD in der Prozesskammer aufrechterhaltene Temperatur etwa 400°C bis etwa 500°C. Bei Ausführungsformen, bei denen die PVD ein HF-Sputterprozess ist, kann die PVD eine HF-Leistung von etwa 3 kW bis etwa 5 kW implementieren. Bei manchen Ausführungsformen implementiert die CVD einen titanhaltigen Präkursor wie Tetrakis(dimethylamino)titan (TDMAT). Bei manchen Ausführungsformen beträgt ein bei der CVD in einer Prozesskammer aufrechterhaltener Druck etwa 1 mTorr bis etwa 5 mTorr. Bei manchen Ausführungsformen beträgt eine bei der CVD in der Prozesskammer aufrechterhaltene Temperatur etwa 100°C bis etwa 500°C. Bei manchen Ausführungsformen enthält die Durchkontaktierungssperrschicht 335 eine durch CVD gebildete wolframhaltige Schicht, wobei die CVD einen wolframhaltigen Präkursor wie Wolframhexacarbonyl (W(CO)6) implementiert. Bei manchen Ausführungsformen implementiert der CVD-Prozess ein Gemisch aus dem wolframhaltigen Präkursor mit Wasserstoff (H2), Silan (SiH4) und/oder Boran (zum Beispiel Diboran (B2H6)).
  • In den 10A bis 10C wird über der Durchkontaktierungssperrschicht 335 ein Durchkontaktierungsvolumenmaterial 340 gebildet. Das Durchkontaktierungsvolumenmaterial 340 wird in einem Rest des oberen Teils 310A der Interconnect-Öffnung 300A gebildet und füllt diesen. Bei der abgebildeten Ausführungsform wird das Durchkontaktierungsvolumenmaterial 340 über der oberen Oberfläche der ILD-Schicht 292 und der oberen Oberfläche 332B des Durchkontaktierungsvolumenmaterials 330 angeordnet und bedeckt diese. Bei manchen Ausführungsformen bedeckt das Durchkontaktierungsvolumenmaterial 340 in Abhängigkeit von seiner Dicke die obere Oberfläche 332B nicht oder nur teilweise. Das Durchkontaktierungsvolumenmaterial 340 enthält Wolfram, Wolframlegierung, Ruthenium, Rutheniumlegierung, Kobalt, Kobaltlegierung, Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierung, Iridium, Iridiumlegierung, Palladium, Palladiumlegierung, Platin, Platinlegierung, Nickel, Nickellegierung, andere Metallbestandteile mit geringem spezifischem Widerstand und/oder Legierungen davon oder Kombinationen davon. Bei der abgebildeten Ausführungsform enthalten das Durchkontaktierungsvolumenmaterial 340 und das Durchkontaktierungsvolumenmaterial 330 das gleiche Material. So können das Durchkontaktierungsvolumenmaterial 340 und das Durchkontaktierungsvolumenmaterial 330 Wolfram enthalten. Bei manchen Ausführungsformen enthalten das Durchkontaktierungsvolumenmaterial 340 und das Durchkontaktierungsvolumenmaterial 330 verschiedene Metalle. Bei der abgebildeten Ausführungsform werden das Durchkontaktierungsvolumenmaterial 340 und das Durchkontaktierungsvolumenmaterial 330 durch verschiedene Prozesse gebildet. So wird zum Beispiel zum Abscheiden des Durchkontaktierungsvolumenmaterials 340 über der Durchkontaktierungssperrschicht 335 ein flächendeckender Abscheidungsprozess wie flächendeckende CVD durchgeführt. Bei dem flächendeckenden Abscheidungsprozess wird über sämtlichen freiliegenden Oberflächen des IC-Bauteils 200 Durchkontaktierungsvolumenmaterial 340 abgeschieden. Bei manchen Ausführungsformen umfasst die flächendeckende CVD das Einleiten eines wolframhaltigen Präkursors (zum Beispiel WF6 oder WCl5 und eines Reaktionspräkursors (zum Beispiel H2, eines anderen geeigneten Reaktionsgases oder Kombinationen davon)) in eine Prozesskammer. Bei manchen Ausführungsformen wird ein Trägergas zum Zuführen des wolframhaltigen Präkursorgases und/oder des Reaktionsgases in die Prozesskammer verwendet. Bei dem Trägergas kann es sich um ein inertes Gas wie ein argonhaltiges, ein heliumhaltiges, ein xenonhaltiges Gas, ein anderes geeignetes inertes Gas oder Kombinationen davon handeln. Bei manchen Ausführungsformen beträgt ein bei der flächendeckenden CVD in einer Prozesskammer aufrechterhaltener Druck etwa 1 mTorr bis etwa 500 mTorr. Bei manchen Ausführungsformen beträgt eine bei der flächendeckenden CVD in der Prozesskammer aufrechterhaltene Temperatur etwa 200°C bis etwa 400°C. Bei manchen Ausführungsformen handelt es sich bei dem flächendeckenden Abscheidungsprozess um PVD, ALD, Elektroplattieren, stromloses Plattieren, einen anderen geeigneten Abscheidungsprozess oder Kombinationen davon.
  • In den 11A bis 11C wird zum Entfernen von überschüssigem Durchkontaktierungsvolumenmaterial 340, überschüssiger Durchkontaktierungssperrschicht 335 und überschüssigem Durchkontaktierungsvolumenmaterial 330 (wie dem über der oberen Oberfläche der ILD-Schicht 292 angeordneten) ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, wodurch eine Durchkontaktierung 350A und eine Durchkontaktierung 350B des MLI-Elements 250 entstehen. Die Durchkontaktierung 350A koppelt den Source-Drain-Kontakt 282A elektrisch und/oder physisch mit einem leitfähigen Merkmal des MLI-Elements 250, wie einer ersten Leitung einer Metallschicht des MLI-Elements 250 (zum Beispiel einer Metall-1-Schicht (Mi-Schicht)), und die Durchkontaktierung 350B koppelt den Source-Drain-Kontakt 282B elektrisch und/oder physisch mit einem leitfähigen Merkmal des MLI-Elements 250, wie einer zweiten Leitung einer Metallschicht des MLI-Elements 250 (zum Beispiel der M1-Schicht). Die Durchkontaktierungen 350A, 350B können daher als Durchkontaktierungen zu Kontakten bezeichnet werden. Bei dem CMP-Prozess können obere Oberflächen der Durchkontaktierungen 350A, 350B so planarisiert werden, dass die obere Oberfläche der ILD-Schicht 292 und die oberen Oberflächen der Durchkontaktierungen 350A, 350B im Wesentlichen planare Oberflächen sind. Die Durchkontaktierungen 350A, 350B umfassen das Durchkontaktierungsvolumenmaterial 340, die Durchkontaktierungssperrschicht 335 und/oder das nach dem Planarisierungsprozess in den Interconnect-Öffnungen 300A, 300B verbleibende Durchkontaktierungsvolumenmaterial 330, die jeweils als Durchkontaktierungsvolumenmaterial 340', Durchkontaktierungssperrschicht 335' und/oder Durchkontaktierungsvolumenmaterial 330' bezeichnet werden. Die Durchkontaktierung 350A weist einen unteren Durchkontaktierungsteil AL und einen oberen Durchkontaktierungsteil AU auf und die Durchkontaktierung 350B einen unteren Durchkontaktierungsteil BL und einen oberen Durchkontaktierungsteil BU. Die unteren Durchkontaktierungsteile AL, BL erstrecken sich jeweils bis in die Source-Drain-Kontakte 282A, 282B. Die unteren Durchkontaktierungsteile Al, BL erstrecken sich insbesondere jeweils von der oberen Oberfläche der ILD-Schicht 254 zur oberen Oberfläche der Kontaktsperrschicht 286 der Source-Drain-Kontakte 282A, 282B. Die unteren Durchkontaktierungsteile AL, BL weisen in x-Richtung eine Breite x2, in y-Richtung eine Breite y2 und in z-Richtung eine Dicke t5 auf. Bei der abgebildeten Ausführungsform entspricht die Dicke t5 in etwa der Tiefe d2 (die Dicke t5 ist zum Beispiel kleiner gleich etwa 10 nm). Die oberen Durchkontaktierungsteile AU, BU erstrecken sich durch die ILD-Schicht 292 und die CESL 294, zum Beispiel von der oberen Oberfläche der ILD-Schicht 292 zur oberen Oberfläche der ILD-Schicht 254. Die oberen Durchkontaktierungsteile AU, BU weisen in x-Richtung eine Breite xi, in y-Richtung eine Breite y1 und in z-Richtung eine Dicke t6 auf. Bei der abgebildeten Ausführungsform entspricht die Dicke t6 in etwa der Tiefe d1 (die Dicke t6 beträgt zum Beispiel etwa 20 nm bis etwa 80 nm). Bei der abgebildeten Ausführungsform ist eine Breite der unteren Durchkontaktierungsteile AL, BL in der XZ-Ebene geringer als eine Breite der oberen Durchkontaktierungsteile AU, BU (d.h., die Breite x2 ist geringer als die Breite x1) und eine Breite der unteren Durchkontaktierungsteile AL, BL in der YZ-Ebene größer als eine Breite der oberen Durchkontaktierungsteile AU, BU (d.h., die Breite y2 ist größer als die Breite y1). Bei manchen Ausführungsformen ist die Breite der unteren Durchkontaktierungsteile AL, BL in der XZ-Ebene größer gleich der Breite der oberen Durchkontaktierungsteile AU, BU. Bei manchen Ausführungsformen ist die Breite der unteren Durchkontaktierungsteile AL, BL in der YZ-Ebene geringer als die Breite der oberen Durchkontaktierungsteile AU, BU oder entspricht dieser in etwa.
  • Der untere Durchkontaktierungsteil AL und der untere Durchkontaktierungsteil BL gleichen sich im Wesentlichen. Jeder der unteren Durchkontaktierungsteile AL, BL umfasst zum Beispiel einen unteren Teil der Durchkontaktierungsvolumenschicht 330', der sich jeweils in physischem Kontakt mit der Kontaktsperrschicht 284 und der Kontaktvolumenschicht 286 der Source-Drain-Kontakte 282A, 282B befindet. In der XZ-Ebene weisen die unteren Teile der Durchkontaktierungsvolumenschicht 330' konisch verlaufende, im Wesentlichen planare Seitenflächen auf, die jeweils mit der Kontaktsperrschicht 284 der Source-Drain-Kontakte 282A, 282B verbunden sind, und im Wesentlichen planare Bodenflächen, die jeweils mit der Kontaktvolumenschicht 286 der Source-Drain-Kontakte 282A, 282B verbunden sind. Die Kontaktsperrschicht 284 trennt die konisch verlaufenden, im Wesentlichen planaren Seitenflächen der unteren Teile der Durchkontaktierungsvolumenschicht 330' von der ILD-Schicht 254. In der YZ-Ebene weisen die unteren Teile der Durchkontaktierungsvolumenschicht 330' im Wesentlichen gewölbte Oberflächen auf, die jeweils mit der Kontaktvolumenschicht 286 der Source-Drain-Kontakte 282A, 282B verbunden sind. Die Kontaktvolumenschicht 286 und die Kontaktsperrschicht 284 trennen die im Wesentlichen gewölbten Oberflächen der unteren Teile der Durchkontaktierungsvolumenschicht 330' von der ILD-Schicht 254. Die unteren Durchkontaktierungsteile AL, BL vergrößern eine Kontaktfläche zwischen den Durchkontaktierungen 350A, 350B und den Source-Drain-Kontakten 282A, 282B, so dass ein Widerstand zwischen den Durchkontaktierungen 350A, 350B und den Source-Drain-Kontakten 282A, 282B reduziert wird, wodurch sich die Gesamtleistung des IC-Bauteils 200 verbessert. Statt der Durchkontaktierungen 350A, 350B, die zum Beispiel jeweils eine einzige Grenzfläche zwischen unteren Oberflächen der Durchkontaktierungen 350A, 350B und oberen Oberflächen der Source-Drain-Kontakte 282A, 282B aufweisen, sind zum Beispiel die unteren Durchkontaktierungsteile AL, BL jeweils von den Source-Drain-Kontakten 282A, 282B umgeben und weisen jeweils mehrere Grenzflächen (zum Beispiel Bodengrenzflächen und Seitengrenzflächen) zu den Source-Drain-Kontakten 282A, 282B auf. Durch die von den unteren Durchkontaktierungsteilen AL, BL bereitgestellte größere Kontaktfläche verbessert sich auch die mechanische Festigkeit und somit die strukturelle Stabilität der Durchkontaktierungen 350A, 350B. Darüber hinaus befestigen in der YZ-Ebene Teile der Durchkontaktierungsvolumenschicht 330', die sich jeweils unter den CESL-Oberflächen 320A, 320B erstrecken und an diesen anliegen, die Durchkontaktierungen 350A, 350B an den Source-Drain-Kontakten 282A, 282B, wodurch sich die mechanische Festigkeit und somit die strukturelle Stabilität der Durchkontaktierungen 350A, 350B weiter verbessert.
  • Da das Aufwachsen (und somit die Höhe) des Durchkontaktierungsvolumenmaterials 330 in Interconnect-Öffnungen des IC-Bauteils 200 Schwankungen unterliegt, wird die Durchkontaktierungsvolumenschicht 335' unregelmäßig in Durchkontaktierungen des IC-Bauteils 200 platziert, so dass an dem Bauteil 200 Durchkontaktierungen zu den Source-Drain-Kontakten von unterschiedlicher Konfiguration entstehen. Da in den 11A bis 11C das Durchkontaktierungsvolumenmaterial 330 zum Beispiel die Interconnect-Öffnung 300A teilweise und die Interconnect-Öffnung 300B vollständig füllt, umfasst der obere Durchkontak-tierungsteil AU der Durchkontaktierung 350A die Durchkontaktierungsvolumenschicht 330', die Durchkontaktierungssperrschicht 335' und die Durchkontaktierungsvolumenschicht 340', während der obere Durchkontaktierungsteil BU der Durchkontaktierung 350B nur die Durchkontaktierungsvolumenschicht 330' umfasst. Der obere Durchkontaktierungsteil AU kann in einen oberen Durchkontaktierungsteil AU-1 und einen oberen Durchkontaktierungsteil AU-2 unterteilt werden. Der obere Durchkontaktierungsteil AU-1 ähnelt dem oberen Durchkontaktierungsteil BU, nur ist eine Dicke des oberen Durchkontaktierungsteils AU-1 geringer als eine Dicke des oberen Durchkontaktierungsteils BU. Jeder der oberen Durchkontaktierungsteile AU-1, BU umfasst zum Beispiel einen oberen Teil der Durchkontaktierungsvolumenschicht 330'. Der obere Teil der Durchkontaktierungsvolumenschicht 330' weist in der Durchkontaktierung 350B eine Dicke t6 auf, während der obere Teil der Durchkontaktierungsvolumenschicht 330' in der Durchkontaktierung 350A eine Dicke t7 aufweist, die geringer ist als die Dicke t6. In der XZ- und der YZ-Ebene weisen die oberen Teile der Durchkontaktierungsvolumenschicht 330' konisch verlaufende, im Wesentlichen planare Seitenflächen auf, die sich in physischem Kontakt mit der ILD-Schicht 292 und der CESL 294 befinden und damit verbunden sind. Zwischen den oberen Durchkontaktierungsteilen AU-1, BU und einer Dielektrikumschicht des MLI-Elements 250 (zum Beispiel CESL 294 und ILD-Schicht 292) gibt es somit keine Sperrschicht (oder Liner-Schicht). Bei der abgebildeten Ausführungsform kann die Durchkontaktierung 350B als barrierefreie Durchkontaktierung bezeichnet werden, da zwischen der Durchkontaktierungsvolumenschicht 330' und der ILD-Schicht 292 oder der CESL 294 keine Sperrschicht angeordnet ist. Da der obere Durchkontaktierungsteil AU-1 keine Sperrschicht zwischen der Durchkontaktierungsvolumenschicht 330' und der ILD-Schicht 292 oder der CESL 294, der obere Durchkontaktierungsteil AU-2 jedoch eine zwischen der Durchkontaktierungsvolumenschicht 340 und dem ILD 292 angeordnete Durchkontaktierungssperrschicht 335' aufweist, kann im Gegensatz dazu die Durchkontaktierung 350A als teilweise barrierefreie Durchkontaktierung bezeichnet werden. In den 11A bis 11C wird die Durchkontaktierungssperrschicht 335' ferner so zwischen der Durchkontaktierungsvolumenschicht 340' und der Durchkontaktierungsvolumenschicht 330' angeordnet, dass sie in der Durchkontaktierung 350A schwimmt und sich nicht in physischem Kontakt mit dem Source-Drain-Kontakt 282A befindet. Der obere Durchkontaktierungsteil AU-2 weist in z-Richtung eine Dicke t8 auf. Bei der abgebildeten Ausführungsform entspricht die Dicke t8 in etwa der Tiefe d1' (die Dicke t8 beträgt zum Beispiel etwa 1 nm bis etwa 50 nm). Bei manchen Ausführungsformen beträgt ein Verhältnis der Dicke t8 zur Breite x1 (z.B. t8/xi) des oberen Durchkontaktierungsteils AU-2 weniger als etwa 5 und in manchen Ausführungsformen weniger als etwa 3. Die Dicke t8 kann in Abhängigkeit vom Wachstum des Durchkontaktierungsvolumenmaterials 330 größer gleich der Dicke t7 sein.
  • Bei einem weiteren Beispiel, wie dem in den 17A bis 17C abgebildeten, füllt das Durchkontaktierungsvolumenmaterial 330, da das Wachstum (und somit die Höhe) des Durchkontaktierungsvolumenmaterials 330 in den Interconnect-Öffnungen des IC-Bauteils 200 schwankt, statt die Interconnect-Öffnung 300A teilweise und die Interconnect-Öffnung 300B vollständig zu füllen, sowohl die Interconnect-Öffnung 300A als auch die Interconnect-Öffnung 300B teilweise, jedoch mit unterschiedlicher Dicke. Bei solchen Ausführungsformen umfasst der obere Durchkontaktierungsteil AU der Durchkontaktierung 350A und der obere Durchkontaktierungsteil BU der Durchkontaktierung 350B jeweils die Durchkontaktierungsvolumenschicht 330', die Durchkontaktierungssperrschicht 335' und die Durchkontaktierungsvolumenschicht 340'. Der obere Durchkontaktierungsteil AU kann in einen oberen Durchkontaktierungsteil AU-1 und einen oberen Durchkontaktierungsteil AU-2 unterteilt werden, und der obere Durchkontaktierungsteil BU kann in einen oberen Durchkontaktierungsteil BU-1 und einen oberen Durchkontaktierungsteil BU-2 unterteilt werden. Der obere Durchkontaktierungsteil AU-1 ähnelt dem oberen Durchkontaktierungsteil BU-1, nur ist eine Dicke des oberen Durchkontaktierungsteils AU-1 geringer als eine Dicke des oberen Durchkontaktierungsteils BU-1. So umfasst zum Beispiel jeder der oberen Durchkontaktierungsteile AU-1, BU-1 einen oberen Teil der Durchkontaktierungvolumenschicht 330', jedoch weist der obere Teil der Durchkontaktierungvolumenschicht 330' in der Durchkontaktierung 350B eine Dicke t9 und der obere Teil der Durchkontaktierungsvolumenschicht 330' eine Dicke t7 auf, die geringer ist als die Dicke t9. Sowohl die Dicke t7 als auch die Dicke t9 is geringer als die Dicke t6 der oberen Durchkontaktierungsteile AU, BU. In der XZ- und der YZ-Ebene weisen die oberen Teile der Durchkontaktierungsvolumenschicht 330' konisch verlaufende, im Wesentlichen planare Seitenflächen auf, die sich in physischem Kontakt mit der ILD-Schicht 292 und die CESL 294 befinden und damit verbunden sind. Zwischen den oberen Durchkontaktierungsteilen AU-1, BU-1 und einer Dielektrikumschicht des MLI-Elements 250 (zum Beispiel CESL 294 und ILD-Schicht 292) gibt es somit keine Sperrschicht (oder Liner-Schicht). Da die oberen Durchkontaktierungsteile AU-1, BU-1 bei der abgebildeten Ausführungsform keine Sperrschicht zwischen der Durchkontaktierungsvolumenschicht 330' und der ILD-Schicht 292 oder der CESL 294, die oberen Durchkontaktierungsteile AU-2, BU-2 jedoch eine zwischen der Durchkontaktierungsvolumenschicht 340 und dem ILD 292 angeordnete Durchkontaktierungssperrschicht 335' aufweist, können die Durchkontaktierungen 350A, 350B als teilweise barrierefreie Durchkontaktierung bezeichnet werden. In den 17A bis 17C wird die Durchkontaktierungssperrschicht 335' ferner so zwischen der Durchkontaktierungsvolumenschicht 340' und der Durchkontaktierungsvolumenschicht 330' angeordnet, dass sie in der Durchkontaktierung 350A und der Durchkontaktierung 350B schwimmt und sich nicht in physischem Kontakt mit den Source-Drain-Kontakten 282A, 282B befindet. Der obere Durchkontaktierungsteil BU-2 weist eine Dicke t10 auf, die geringer ist als die Dicke t8 des oberen Durchkontaktierungsteils AU-2, so dass die Durchkontaktierungssperrschicht 335' in der Durchkontaktierung 350A anders platziert ist als in der Durchkontaktierung 350B. Bei der abgebildeten Ausführungsform ist ein Abstand zwischen einer oberen Oberfläche des Substrats 210 und der Durchkontaktierungssperrschicht 335' der Durchkontaktierung 350A (insbesondere einer unteren Oberfläche der Durchkontaktierungssperrschicht 335') geringer als ein Abstand zwischen der oberen Oberfläche des Substrats 210 und der Durchkontaktierungssperrschicht 335' der Durchkontaktierung 350B (insbesondere einer unteren Oberfläche der Durchkontaktierungssperrschicht 335'). Bei manchen Ausführungsformen beträgt ein Verhältnis der Dicke t8 zur Breite xi (z.B. t8/xi) des oberen Durchkontaktierungsteils AU-2 und ein Verhältnis der Dicke t10 zur Breite xi (z.B. t10/x1) des oberen Durchkontaktierungsteils BU-2 in den 17A bis 17C jeweils weniger als etwa 5 und bei manchen Ausführungsformen weniger als etwa 3. Bei der abgebildeten Ausführungsform ist das Verhältnis der Dicke t8 zur Breite xi größer als das Verhältnis der Dicke t10 zur Breite x1.
  • In den 12 bis 15 wird eine Durchkontaktierung zu einer oder mehreren Gate-Strukturen des IC-Bauteils 200 wie der Gate-Struktur 230B gebildet. In 12 wird über einen Strukturierungsprozess wie die hier beschriebenen eine Interconnect-Öffnung 360 in einer Dielektrikumschicht gebildet. Die Interconnect-Öffnung 360 erstreckt sich vertikal durch die ILD-Schicht 292, die CESL 294, die ILD-Schicht 254 und die CESL 264 bis zum Metall-Gate-Stapel 232 der Gate-Struktur 230B. Die Interconnect-Öffnung 360 als Gate-Kontaktöffnung (Pfropfenöffnung) bezeichnet werden. Die Interconnect-Öffnung 360 weist eine (durch die ILD-Schicht 292, die CESL 294, die ILD-Schicht 254 und die CESL 264 definierte) Seitenwand 362, eine (durch die ILD-Schicht 292, die CESL 294, die ILD-Schicht 254 und die CESL 264 definierte) Seitenwand 364 und einen (durch einen Metall-Gate-Stapel 232 definierten) Boden 366 auf, der sich zwischen der Seitenwand 362 und der Seitenwand 364 erstreckt. Die Interconnect-Öffnung 360 in 12 weist eine Trapezform auf, wobei die vorliegende Offenbarung jedoch eine Interconnect-Öffnung 360 von anderer Form, wie beispielsweise von rechteckiger Form, in Erwägung zieht. Die Seitenwände 362, 364 verlaufen konisch so, dass eine untere Breite der Interconnect-Öffnung 360, die den Metall-Gate-Stapel 232 der Gate-Struktur 230B freilegt, geringer ist als eine obere Breite der Interconnect-Öffnung 360 an einer oberen Oberfläche der ILD-Schicht 292. Eine Breite der Interconnect-Öffnung 360 verringert sich somit entlang der z-Richtung von oben nach unten. Bei manchen Ausführungsformen vergrößert sich die Breite der Interconnect-Öffnung 360 in z-Richtung. Bei manchen Ausführungsformen vergrößert sich die Breite der Interconnect-Öffnung 360 in z-Richtung.
  • Bei manchen Ausführungsformen umfasst der Strukturierungsprozess das Durchführen eines Lithografieprozesses zum Bilden einer strukturierten Maskenschicht 365 mit einer (im Wesentlichen auf Metall-Gate-Stapel 232 der Gate-Struktur 232B ausgerichteten) Öffnung 367 und das Durchführen eines Ätzprozesses zum Übertragen einer in der strukturierten Maskenschicht 365 definierten Struktur auf eine darunterliegende Dielektrikumschicht (hier die ILD-Schicht 292, die CESL 294, die ILD-Schicht 254 und die CESL 264). Der Strukturierungsprozess zum Bilden der strukturierten Maskenschicht 365 und der Interconnect-Öffnung 360 kann dem oben beschriebenen Strukturierungsprozess zum Bilden der strukturierten Maskenschicht 278 und der Interconnect-Öffnungen 300A, 300B ähneln. Bei manchen Ausführungsformen handelt es sich bei der strukturierten Maskenschicht 365 um eine strukturierte Lackschicht. Bei solchen Ausführungsformen wird die strukturierte Lackschicht als Ätzmaske zum Entfernen von Teilen der darunterliegenden Dielektrikumschicht benutzt, die durch die Öffnung 367 freigelegt wird. Bei manchen Ausführungsformen wird die strukturierte Lackschicht über einer Maskenschicht gebildet, die vor dem Bilden der Lackschicht über der darunterliegenden Dielektrikumschicht gebildet wird, und die strukturierte Lackschicht wird als Ätzmaske zum Entfernen von Teilen der Maskenschicht verwendet, die über der darunterliegenden Dielektrikumschicht gebildet ist, wodurch die strukturierte Maskenschicht 365 gebildet wird. Bei solchen Ausführungsformen wird die strukturierte Maskenschicht als Ätzmaske zum Entfernen von Teilen der darunterliegenden Dielektrikumschicht benutzt, die durch die Öffnung 367 freigelegt werden. Zum Bilden der Interconnect-Öffnung 360 können verschiedene selektive Ätzprozesse durchgeführt werden. Der Ätzprozess kann zum Beispiel Folgendes umfassen: ein erstes Ätzen, bei dem die ILD-Schicht 292 in Bezug auf die strukturierte Maskenschicht 365 und die CESL 294 gezielt so geätzt wird, dass das erste Ätzen stoppt, wenn es die CESL 294 erreicht, ein zweites Ätzen, bei dem die CESL 294 in Bezug auf die ILD-Schichten 294, 254 gezielt so geätzt wird, dass das zweite Ätzen stoppt, wenn es die ILD-Schicht 254 erreicht, ein drittes Ätzen, bei dem die ILD-Schicht 254 in Bezug auf die CESL 294, 264 gezielt so geätzt wird, dass das dritte Ätzen stoppt, wenn es die CESL 264 erreicht, und ein viertes Ätzen, bei dem die CESL 264 in Bezug auf die ILD-Schichten 292, 254 und den Metall-Gate-Stapel 232 der Gate-Struktur 230B gezielt so geätzt wird, dass das vierte Ätzen stoppt, wenn es den Metall-Gate-Stapel 232 erreicht. Bei manchen Ausführungsformen können das erste, das zweite, das dritte und das vierte Ätzen so konfiguriert sein, dass sie wie hier beschrieben ein wenig überätzen. Bei manchen Ausführungsformen kann der Ätzprozess mehrere Schritte für das Ätzen der CESL 294, 264 umfassen. Bei manchen Ausführungsformen implementiert der Ätzprozess ein Ätzmittel mit geringer Ätzselektivität zwischen den ILD-Schichten 292, 254 und den CESL 294, 264, so dass die Interconnect-Öffnung 360 durch einen einzigen Ätzschritt gebildet wird, der zum Beispiel auf Zeit beruht. Bei manchen Ausführungsformen wird nach dem Ätzprozess die strukturierte Maskenschicht 365 (bei manchen Ausführungsformen durch einen Lackablösungsprozess) von der ILD-Schicht 292 entfernt. Bei manchen Ausführungsformen wird die strukturierte Lackschicht 365 beim Ätzen der ILD-Schicht 292, der CESL 294, der ILD-Schicht 254 und/oder der CESL 264 entfernt.
  • In 13 wird über dem IC-Bauteil 200 eine (auch als Durchkontaktierungs-Liner-Schicht bezeichnete) Durchkontaktierungssperrschicht 370 gebildet. Die Durchkontaktierungssperrschicht 370 wird zum Beispiel über der oberen Oberfläche der ILD-Schicht 292, den oberen Oberflächen der Durchkontaktierungen 350A, 350B, den Seitenwänden 362, 364 der Interconnect-Öffnung 360A und dem Boden 366 der Interconnect-Öffnung 360 gebildet. Die Durchkontaktierungssperrschicht 370 wird in der Interconnect-Öffnung 360 gebildet und füllt diese teilweise. Bei der abgebildeten Ausführungsform weist die Durchkontaktierungssperrschicht 370 über der oberen Oberfläche der ILD-Schicht 292 und den oberen Oberflächen der Durchkontaktierungen 350A, 350B eine Dicke t9 und über den Seitenwänden 362, 364 der Interconnect-Öffnung 360 eine Dicke tio auf. Bei der abgebildeten Ausführungsform ist die Dicke t9 größer als die Dicke tio. Die Dicke t9 beträgt zum Beispiel etwa 4 nm bis etwa 8 nm und die Dicke t10 etwa 1 nm bis etwa 3 nm. Bei manchen Ausführungsformen wird die Durchkontaktierungssperrschicht 370 konform über dem IC-Bauteil 200 abgeschieden, so dass die Dicke t9 im Wesentlichen der Dicke tio entspricht. Bei manchen Ausführungsformen ist die Dicke t9 in Abhängigkeit von Prozessbedingungen geringer als die Dicke tio. Die Durchkontaktierungssperrschicht 370 enthält ein Material, das die Haftung zwischen einem dielektrischen Material (hier der ILD-Schicht 292, der CESL 294, der ILD-Schicht 254 und der CESL 264) und einem nachfolgend gebildeten Metallmaterial zum Füllen eines Rests der Interconnect-Öffnung 360 verbessert. Die Durchkontaktierungssperrschicht 370 enthält zum Beispiel Titan, Titanlegierung, Tantal, Tantallegierung, Kobalt, Kobaltlegierung, Ruthenium, Rutheniumlegierung, Molybdän, Molybdänlegierung, Wolfram, Wolframlegierung, einen anderen geeigneten Bestandteil, der so konfiguriert ist, dass er die Haftung zwischen einem Metallmaterial und einem dielektrischen Material verbessert und/oder erhöht, oder Kombinationen davon. Bei der abgebildeten Ausführungsform enthält die Durchkontaktierungssperrschicht 370 Tantal und Stickstoff (zum Beispiel Tantalnitrid), Titan und Stickstoff (zum Beispiel Titannitrid), Wolfram und Stickstoff (zum Beispiel Wolframnitrid) oder Wolfram. Bei manchen Ausführungsformen weist die Durchkontaktierungssperrschicht 370 mehrere Schichten auf. Die Durchkontaktierungssperrschicht 370 weist zum Beispiel eine erste Teilschicht auf, die Titan enthält, und eine über der ersten Teilschicht angeordnete zweite Teilschicht, die Titannitrid enthält. Bei einem anderen Beispiel kann die Durchkontaktierungssperrschicht 370 eine erste Teilschicht aufweisen, die Tantal enthält, und eine zweite Teilschicht, die Tantalnitrid enthält. Die Durchkontaktierungssperrschicht 370 wird durch PVD, CVD, ALD, Elektroplattieren, stromloses Plattieren, einen anderen geeigneten Abscheidungsprozess oder Kombinationen davon abgeschieden. Bei manchen Ausführungsformen ähnelt die Durchkontaktierungssperrschicht 370 der Durchkontaktierungssperrschicht 335 und wird unter Verwendung ähnlicher Prozesse wie den oben für das Bilden der Durchkontaktierungssperrschicht 335 beschriebenen gebildet.
  • In 14 wird über der Durchkontaktierungssperrschicht 370 ein Durchkontaktierungsvolumenmaterial 375 gebildet. Die Durchkontaktierungsvolumenschicht 375 wird in einem Rest der Interconnect-Öffnung 360 gebildet und füllt diesen. Bei der abgebildeten Ausführungsform wird die Durchkontaktierungsvolumenschicht 375 über der oberen Oberfläche der ILD-Schicht 292 und oberen Oberflächen der Durchkontaktierungen 350A, 350B angeordnet und bedeckt diese. Die Durchkontaktierungsvolumenschicht 375 enthält Wolfram, Wolframlegierung, Ruthenium, Rutheniumlegierung, Kobalt, Kobaltlegierung, Kupfer, Kupferlegierung, Aluminium, Aluminiumlegierung, Iridium, Iridiumlegierung, Palladium, Palladiumlegierung, Platin, Platinlegierung, Nickel, Nickellegierung, andere Metallbestandteile mit geringem spezifischem Widerstand und/oder Legierungen davon oder Kombinationen davon. Bei der abgebildeten Ausführungsform enthält die Durchkontaktierungsvolumenschicht 375 Wolfram. Die Durchkontaktierungsvolumenschicht 375 wird durch PVD, CVD, ALD, Elektroplattieren, stromloses Plattieren, einen anderen geeigneten Abscheidungsprozess oder Kombinationen davon abgeschieden. Bei manchen Ausführungsformen ähnelt die Durchkontaktierungsvolumenschicht 375 dem Durchkontaktierungsvolumenmaterial 340 und wird unter Verwendung ähnlicher Prozesse wie den oben für das Bilden des Durchkontaktierungsvolumenmaterials 340 beschriebenen gebildet. So wird zum Beispiel zum Abscheiden des Durchkontaktierungsvolumenmaterials 375 über der Durchkontaktierungssperrschicht 370 ein flächendeckender Abscheidungsprozess wie flächendeckende CVD durchgeführt.
  • In 15 wird zum Entfernen von überschüssiger Durchkontaktierungsvolumenschicht 375 und überschüssiger Durchkontaktierungssperrschicht 370 (wie der über der oberen Oberfläche der ILD-Schicht 292 und den oberen Oberflächen der Durchkontaktierungen 350A, 350B angeordneten) ein CMP-Prozess und/oder ein anderer Planarisierungsprozess durchgeführt, wodurch eine Durchkontaktierung 380 des MLI-Elements 250 entsteht. Die Durchkontaktierung 380 umfasst das Durchkontaktierungsvolumenmaterial 375 und die nach dem Planarisierungsprozess in der Interconnect-Öffnung 360 verbleibende Durchkontaktierungssperrschicht 370, die jeweils als Durchkontaktierungsvolumenschicht 375' und Durchkontaktierungssperrschicht 370' bezeichnet werden. Die Durchkontaktierung 380 koppelt den Metall-Gate-Stapel 232 der Gate-Struktur 230B elektrisch und/oder physisch mit einem leitfähigen Merkmal des MLI-Elements 250, wie beispielsweise einer dritten Leitung einer Metallschicht des MLI-Elements 250 (zum Beispiel der M1-Schicht). Die Durchkontaktierung 380 kann daher als Durchkontaktierung zum Gate bezeichnet werden. Die Durchkontaktierung 380 erstreckt sich durch die ILD-Schicht 292, die CESL 294, die ILD-Schicht 254 und die CESL 264. Die Durchkontaktierung 380 weist in x-Richtung eine Breite x4 und in z-Richtung eine Dicke t11 auf. Bei der abgebildeten Ausführungsform ist die Dicke t11 größer als die Dicke t6 der Durchkontaktierungen 350A, 350B und die Dicke x4 geringer als die Dicke xi der Durchkontaktierungen 350A, 350B. Bei dem CMP-Prozess können obere Oberflächen der Durchkontaktierung 380 so planarisiert werden, dass die obere Oberfläche der ILD-Schicht 292, die oberen Oberflächen der Durchkontaktierungen 350A, 350B und die obere Oberfläche der Durchkontaktierung 380 im Wesentlichen planare Oberflächen sind.
  • In 16 kann die Fertigung des MLI-Elements 250 zum Beispiel durch Bilden zusätzlicher Dielektrikumschichten und Metallschichten des MLI-Elements 250 fortgesetzt werden. So werden zum Beispiel eine CESL 390 (ähnlich wie die CESL 262, 264, 294) über der ILD-Schicht 292, den Durchkontaktierungen 350A, 350B und der Durchkontaktierung 380 gebildet, eine ILD-Schicht 392 (ähnlich wie die ILD-Schichten 252, 254, 292) über der CESL 390 und eine oder mehrere Leitungen (zum Beispiel eine Leitung 394A, eine Leitung 394B und eine Leitung 394C) in der ILD-Schicht 392 und der CESL 390 gebildet. Die Leitungen 394A bis 394C enthalten Wolfram, Ruthenium, Kobalt, Kupfer, Aluminium, Iridium, Palladium, Platin, Nickel, einen Metallbestandteil mit geringem spezifischem Widerstand, Legierungen davon oder Kombinationen davon. Bei manchen Ausführungsformen umfasst das Bilden der Leitungen 394A bis 394C das Durchführen eines Lithografie- und Ätzprozesses zum Bilden von drei Interconnect-Öffnungen in der ILD-Schicht 392 und der CESL 390 (hier Freilegen der Durchkontaktierung 350A, der Durchkontaktierung 350B und der Durchkontaktierung 380), das Füllen der Interconnect-Öffnungen mit einem leitfähigen Material und das Durchführen eines Planarisierungsprozesses, bei dem überschüssiges leitfähiges Material entfernt wird, so dass das leitfähige Material und die ILD-Schicht 392 eine im Wesentlichen planare Oberfläche aufweisen. Die Interconnect-Öffnungen weisen durch die ILD-Schicht 392 (zusammen mit der CESL 390) definierte Seitenwände und einen durch eine entsprechende Durchkontaktierung definierten Boden auf. Das leitfähige Material wird durch einen Abscheidungsprozess (zum Beispiel PVD, CVD, ALD und/oder einen anderen geeigneten Abscheidungsprozess) und/oder einen Temperprozess gebildet. Bei manchen Ausführungsformen enthalten die Leitungen 394A bis 394C eine (auch als leitfähigen Pfropfen bezeichnete) Volumenschicht. Bei manchen Ausführungsformen umfassen die Leitungen 394A bis 394C eine Sperrschicht, eine Haftschicht und/oder eine andere geeignete Schicht, die zwischen der Volumenschicht und der ILD-Schicht 392 (zusammen mit der CESL 390) angeordnet ist. Bei solchen Ausführungsformen stimmt die Sperrschicht und/oder die Haftschicht mit den Interconnect-Öffnungen überein, so dass die Sperrschicht und/oder die Haftschicht auf der ILD-Schicht 392 (zusammen mit der CESL 390) und die Volumenschicht auf der Sperrschicht und/oder der Haftschicht angeordnet ist. Bei manchen Ausführungsformen enthält die Sperrschicht, die Haftschicht und/oder eine andere geeignete Schicht Titan, Titanlegierung (zum Beispiel TiN), Tantal, Tantallegierung (zum Beispiel TaN), einen anderen geeigneten Bestandteil oder Kombinationen davon. Bei der abgebildeten Ausführungsform weisen die Leitungen 394A bis 394C einen rechteckigen Querschnitt auf. So weist die Leitung 394A bis 394C zum Beispiel eine im Wesentlichen planare untere Oberfläche, eine im Wesentlichen planare obere Oberfläche und im Wesentlichen planare Seitenwände auf. Bei manchen Ausführungsformen verlaufen die Seitenwände der Leitungen 394A bis 394C konisch so, dass sich eine Dicke der Leitungen 394A bis 394C von einer oberen Oberfläche der ILD-Schicht 390 hin zu der oberen Oberfläche der ILD-Schicht 292 verringert. Bei der abgebildeten Ausführungsform befindet sich die Leitung 394A ferner physisch mit der Durchkontaktierung 350A, die Leitung 394B physisch mit der Durchkontaktierung 380 und die Leitung 394C physisch mit der Durchkontaktierung 350B in Kontakt. Bei manchen Ausführungsformen koppelt die Leitung 394A beziehungsweise die Leitung 394B die epitaktischen Source-Drain-Elemente 240B, 240C mit einer ersten Spannung und die Leitung 394C das Metall-Gate 232 mit einer zweiten Spannung.
  • Das MLI-Element 250 in 16 enthält eine Metall-0-Schicht (M0-Schicht) (mit den Source-Drain-Kontakten 282A, 282B), eine Metall-1-Schicht (M1-Schicht) (mit den Leitungen 394A bis 394C) und eine Durchkontaktierung-o-Schicht (Vo-Schicht) (mit den Durchkontaktierungen 350A, 350B und der Durchkontaktierung 380) auf, die die M0-Schicht physisch und elektrisch mit der M1-Schicht verbindet. Bei der M0-Schicht handelt es sich um eine tiefste unterste Kontakt/Metallschicht des MLI-Elements 250, die sich mit Bauelementmerkmalen auf Substratebene (zum Beispiel Source-Drain-Elementen und/oder Gate-Strukturen) in physischem Kontakt befindet, bei der Vo-Schicht handelt es sich um eine tiefste, unterste Durchkontaktierungsschicht des MLI-Elements 250 und bei der M1-Schicht um eine zweittiefste unterste Kontakt/Metallschicht des MLI-Elements 250. Die M0-Schicht kann auch als Kontaktschicht, lokale Interconnect-Schicht oder Kontaktschicht auf Bauelementebene bezeichnet werden. Bei der abgebildeten Ausführungsform befindet sich die Durchkontaktierung 380 in direktem und physischem Kontakt mit der Gate-Struktur 230B. Bei manchen Ausführungsformen weist die M0-Schicht ferner einen Gate-Kontakt auf, der zwischen der Durchkontaktierung 380 und der Gate-Struktur 230B angeordnet ist, wobei sich die Durchkontaktierung 380 physisch mit dem Gate-Kontakt und der Gate-Kontakt physisch mit der Gate-Struktur 230B in Kontakt befindet. Bei solchen Ausführungsformen kann der Gate-Kontakt ähnlich wie die Source-Drain-Kontakte 282A, 282B konfiguriert sein. Der Gate-Kontakt kann zum Beispiel eine Kontaktvolumenschicht wie die Kontaktvolumenschicht 286 enthalten, die über einer Kontaktsperrschicht wie der Kontaktsperrschicht 284 angeordnet ist. Bei solchen Ausführungsformen wird die Kontaktvolumenschicht des Gate-Kontakts im Gegensatz zur Kontaktvolumenschicht 286 der Source-Drain-Kontakte 282A, 282B beim Bilden der Durchkontaktierung 280 nicht vertieft, so dass an einem Teil der Seitenwände der Durchkontaktierung 280 keine Kontaktsperrschicht des Gate-Kontakts angeordnet ist. Bei manchen Ausführungsformen zieht die vorliegende Offenbarung ein Vertiefen der Kontaktvolumenschicht des Gate-Kontakts vor dem Bilden der Durchkontaktierung 280 in Erwägung, so dass sich die Durchkontaktierung 280 ähnlich wie die Durchkontaktierungen 350A, 350B bis in den Gate-Kontakt erstreckt. Obwohl dies nicht dargestellt ist, versteht es sich jedoch, dass das MLI-Element 250 zusätzliche Metallschichten und/oder Durchkontaktierungsschichten enthalten kann, die über der M1-Schicht gebildet werden, wie beispielsweise eine Durchkontaktierung-2-Schicht (V2-Schicht), die über der M1-Schicht angeordnet wird, eine Metall-2-Schicht (M2-Schicht), die über der V2-Schicht gebildet wird, und so weiter, und die für die gewünschte elektrische Verbindung für das IC-Bauteil 200 sorgen. Bei der Fertigung kann dann mit der Fertigung des MLI-Elements 250 fortgefahren werden. Zum Beispiel können über der M1-Schicht zusätzliche Ebenen des MLI-Elements 250 gebildet werden, wie beispielsweise eine M2- bis hin zu einer Mn-Schicht, wobei n für eine Anzahl Metallschichten in dem MLI-Element 250 steht und von der M2- bis hin zur Mn-Schicht jede den in einem dielektrischen Material angeordneten Leitungen 394A bis 394C ähnelnde Leitungen enthalten kann. Es können den Durchkontaktierungen 350A, 350B ähnelnde Durchkontaktierungen gefertigt werden, die direkt nebeneinanderliegende Metallschichten miteinander verbinden, wie die M2-Schicht mit der M3-Schicht. Bei manchen Ausführungsformen können Durchkontaktierungen nicht nebeneinanderliegende Metallschichten miteinander verbinden.
  • Aus der obigen Beschreibung geht hervor, dass in der vorliegenden Offenbarung beschriebene Durchkontaktierungen Vorteile gegenüber herkömmlichen Durchkontaktierungen bieten. Es versteht sich jedoch, dass andere Ausführungsformen zusätzliche Vorteile bieten können und hier nicht unbedingt alle Vorteile offenbart sind und dass kein bestimmter Vorteil bei allen Ausführungsformen vorausgesetzt wird. Ein Vorteil besteht darin, dass zum Optimieren der Reduzierung des Kontaktwiderstands Durchkontaktierungen zu Kontakten und Durchkontaktierungen zu Gates mit unterschiedlichen Konfigurationen (insbesondere unterschiedlichen Grenzflächenkonfigurationen) versehen sind. Zum Beispiel sind Durchkontaktierungen zu Kontakten zumindest teilweise barrierefrei, um die Maße der Öffnungen von Durchkontaktierungen zu Kontakten zu vergrößern, wodurch sich das Füllen der Öffnungen von Durchkontaktierung zu Kontakt mit Metall verbessern lässt (bei manchen Ausführungsformen Fehlstellen in den Durchkontaktierungen zu Kontakten reduziert oder eliminiert werden) und der Widerstand von Durchkontaktierung zu Kontakt reduzieren lässt, während Durchkontaktierungen zu Gates zur Sicherstellung eines minimalen Widerstands von Durchkontaktierung zu Gate eine Durchkontaktierungssperrschicht aufweisen. Die vorliegende Offenbarung weist darauf hin, dass, wenn sowohl bei Durchkontaktierungen zu Kontakten als auch bei Durchkontaktierungen zu Gates Durchkontaktierungssperrschichten implementiert werden, Grenzflächen bei Durchkontaktierungen zu Kontakten (z.B. zwischen Durchkontaktierungssperrschichten und Source-Drain-Kontakten) nicht die gleiche Reduzierung des Widerstands erzielen können wie Grenzflächen bei Durchkontaktierungen zu Gates (z.B. zwischen Durchkontaktierungssperrschichten und Metall-Gates) und dass eine Reduzierung des Widerstands durch die Durchkontaktierungssperrschichten bei Durchkontaktierungen zu Kontakten das Risiko nicht wesentlich aufwiegt, dass sich in Durchkontaktierungen zu Kontakten Fehlstellen bilden, wenn die Durchkontaktierungssperrschichten darin integriert werden. Durch Entfernen der Durchkontaktierungssperrschichten aus den Durchkontaktierungen zu Kontakten verringert sich somit das Risiko, dass sich Fehlstellen bilden, und somit beschränkt sich der Widerstand bei Durchkontaktierungen zu Kontakten genauso wie (wenn nicht sogar stärker als) bei Durchkontaktierungen zu Kontakten mit Durchkontaktierungssperrschichten auf ein Minimum. So können zum Beispiel Durchkontaktierungen zu Kontakten mit Durchkontaktierungssperrschichten Fehlstellen enthalten, was dazu führt, dass sich der Widerstand von Durchkontaktierungen zu Kontakten stärker erhöht, als dies durch Verringern des Widerstands durch Integrieren der Durchkontaktierungssperrschichten geschieht. Bei einem weiteren Beispiel weist das Durchkontaktierungsvolumenmaterial von Durchkontaktierungen zu Kontakten, das in einem hier beschriebenen, von unten nach oben erfolgenden Abscheidungsprozess gebildet wird, niedrige Widerstandseigenschaften auf. Bei noch einem weiteren Beispiel wird durch Bilden einer Durchkontaktierungssperrschicht und einer zusätzlichen Durchkontaktierungsvolumenschicht nach dem von unten nach oben erfolgenden Abscheidungsprozess ein vollständiges Füllen von Durchkontaktierung-zu-Kontakt-Öffnungen (unter Berücksichtigung von Wachstumsschwankungen, die durch den von unten nach oben erfolgenden Abscheidungsprozess entstehen) sichergestellt und/oder eine Eigenspannung der Durchkontaktierungsvolumenschicht auf ein Minimum beschränkt und somit eine Rissbildung bei der Durchkontaktierungsvolumenschicht bei der Planarisierung und/oder sonstiger nachfolgender Bearbeitung reduziert oder eliminiert. Die offenbarten Durchkontaktierungsfertigungsverfahren berücksichtigen somit verschiedene Anforderungen an und/oder Überlegungen zu Durchkontaktierungsgrenzflächen.
  • Die vorliegende Offenbarung ermöglicht viele verschiedene Ausführungsformen. Eine beispielhafte Interconnect-Struktur enthält einen ersten und einen zweiten Source-Drain-Kontakt, die in einer Dielektrikumschicht angeordnet sind. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit einem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit einem zweiten Source-Drain-Element. Eine erste Durchkontaktierung mit einer ersten Durchkontaktierungsschichtkonfiguration, eine zweite Durchkontaktierung mit einer zweiten Durchkontaktierungsschichtkonfiguration und eine dritte Durchkontaktierung mit einer dritten Durchkontaktierungsschichtkonfiguration sind in der Dielektrikumschicht angeordnet. Die erste und die zweite Durchkontaktierung erstrecken sich bis in den ersten beziehungsweise den zweiten Source-Drain-Kontakt und befinden sich damit in physischem Kontakt. Eine erste Dicke der ersten Durchkontaktierung entspricht einer zweiten Dicke der zweiten Durchkontaktierung. Die dritte Durchkontaktierung befindet sich in physischem Kontakt mit einer Gate-Struktur, die zwischen dem ersten und dem zweiten Source-Drain-Kontakt angeordnet ist. Bei manchen Ausführungsformen weist die erste Durchkontaktierung einen ersten oberen Teil auf, der über einem ersten unteren Teil angeordnet ist, und die zweite Durchkontaktierung weist einen zweiten oberen Teil auf, der über einem zweiten unteren Teil angeordnet ist. Bei solchen Ausführungsformen befindet sich die Dielektrikumschicht in physischem Kontakt mit Seitenwänden des ersten oberen Teils und der Source-Drain-Kontakt in physischem Kontakt mit Seitenwänden des ersten unteren Teils und die Dielektrikumschicht in physischem Kontakt mit Seitenwänden des zweiten oberen Teils und der zweite Source-Drain-Kontakt in physischem Kontakt mit Seitenwänden des zweiten unteren Teils. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung jeweils in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil auf. Die erste Richtung unterscheidet sich von der zweiten, und das erste Querschnittsprofil unterscheidet sich vom zweiten. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung jeweils in einer ersten Richtung ein erstes Bodengrenzflächenprofil mit dem ersten beziehungsweise dem zweiten Source-Drain-Kontakt und in einer zweiten Richtung ein zweites Bodengrenzflächenprofil mit dem ersten beziehungsweise dem zweiten Source-Drain-Kontakt auf. Die erste Richtung unterscheidet sich von der zweiten Richtung, das erste Bodengrenzflächenprofil wird durch eine im Wesentlichen planare Oberfläche und das zweite Bodengrenzflächenprofil durch eine im Wesentlichen gewölbte Oberfläche definiert.
  • Bei manchen Ausführungsformen umfasst die Dielektrikumschicht eine erste Zwischenebenen-Dielektrikumschicht, eine über der ersten Zwischenebenen-Dielektrikumschicht angeordnete zweite Zwischenebenen-Dielektrikumschicht und eine zwischen der ersten und der zweiten Zwischenebenen-Dielektrikumschicht angeordnete Kontaktätzstoppschicht. Der erste und der zweite Source-Drain-Kontakt sind in der ersten Zwischenebenen-Dielektrikumschicht angeordnet und verlaufen durch diese hindurch. Die erste und die zweite Durchkontaktierung sind in der zweiten Zwischenebenen-Dielektrikumschicht und der Kontaktätzstoppschicht angeordnet und verlaufen durch diese hindurch. Bei solchen Ausführungsformen befinden sich ein erster Teil der ersten Durchkontaktierung, der sich in den ersten Source-Drain-Kontakt erstreckt, und ein zweiter Teil der zweiten Durchkontaktierung, der sich in den zweiten Source-Drain-Kontakt erstreckt, in physischem Kontakt mit einer unteren Oberfläche der Kontaktätzstoppschicht.
  • Bei manchen Ausführungsformen enthält die erste Durchkontaktierung mit der ersten Durchkontaktierungsschichtkonfiguration eine erste Durchkontaktierungsvolumenschicht, eine über der ersten Durchkontaktierungsvolumenschicht angeordnete zweite Durchkontaktierungsvolumenschicht und eine zwischen der ersten und der zweiten Durchkontaktierungsvolumenschicht angeordnete Durchkontaktierungssperrschicht. Die erste Durchkontaktierungsvolumenschicht befindet sich in physischem Kontakt mit der Dielektrikumschicht, und die Durchkontaktierungssperrschicht ist ferner zwischen der zweiten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet. Bei solchen Ausführungsformen enthält die zweite Durchkontaktierung mit der zweiten Durchkontaktierungschichtkonfiguration ferner eine dritte Durchkontaktierungsvolumenschicht, die sich in physischem Kontakt mit der Dielektrikumschicht befindet. Die erste und die dritte Durchkontaktierungsvolumenschicht enthalten ein gleiches Material, die dritte Durchkontaktierungsvolumenschicht weist die zweite Dicke und die erste Durchkontaktierungsvolumenschicht eine dritte Dicke auf, die geringer ist als die erste Dicke. Bei manchen Ausführungsformen enthält der erste Source-Drain-Kontakt eine erste Kontaktsperrschicht und eine erste Kontaktvolumenschicht, und der zweite Source-Drain-Kontakt enthält eine zweite Kontaktsperrschicht und eine zweite Kontaktvolumenschicht. Bei manchen Ausführungsformen wird ein erster Teil der ersten Kontaktsperrschicht zwischen der ersten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der ersten Kontaktsperrschicht zwischen der ersten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet. Bei manchen Ausführungsformen wird ein erster Teil der zweiten Kontaktsperrschicht zwischen der zweiten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der zweiten Kontaktsperrschicht zwischen der dritten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet.
  • Bei manchen Ausführungsformen enthält die erste Durchkontaktierung mit der ersten Durchkontaktierungsschichtkonfiguration eine erste Durchkontaktierungsvolumenschicht, eine über der ersten Durchkontaktierungsvolumenschicht angeordnete zweite Durchkontaktierungsvolumenschicht und eine zwischen der ersten und der zweiten Durchkontaktierungsvolumenschicht angeordnete Durchkontaktierungssperrschicht. Die erste Durchkontaktierungsvolumenschicht befindet sich in physischem Kontakt mit der Dielektrikumschicht, und die erste Durchkontaktierungssperrschicht ist ferner zwischen der zweiten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet. Bei solchen Ausführungsformen enthält die zweite Durchkontaktierung mit der zweiten Durchkontaktierungsschichtkonfiguration ferner eine dritte Durchkontaktierungsvolumenschicht, eine über der dritten Durchkontaktierungsvolumenschicht angeordnete vierte Durchkontaktierungsvolumenschicht und eine zwischen der dritten und der vierten Durchkontaktierungsvolumenschicht angeordnete zweite Durchkontaktierungssperrschicht. Die dritte Durchkontaktierungsvolumenschicht befindet sich in physischem Kontakt mit der Dielektrikumschicht, und die zweite Durchkontaktierungssperrschicht ist ferner zwischen der vierten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet. Bei manchen Ausführungsformen enthalten die erste und die dritte Durchkontaktierungsvolumenschicht ein erstes Material, die zweite und die vierte Durchkontaktierungsvolumenschicht ein zweites Material und die erste und die zweite Durchkontaktierungssperrschicht ein drittes Material. Bei manchen Ausführungsformen unterscheidet sich ein erster Abstand zwischen der ersten Durchkontaktierungssperrschicht und einem Substrat von einem zweiten Abstand zwischen der zweiten Durchkontaktierungssperrschicht und dem Substrat. Bei manchen Ausführungsformen enthält der erste Source-Drain-Kontakt eine erste Kontaktsperrschicht und eine erste Kontaktvolumenschicht, und der zweite Source-Drain-Kontakt enthält eine zweite Kontaktsperrschicht und eine zweite Kontaktvolumenschicht. Ein erster Teil der ersten Kontaktsperrschicht ist zwischen der ersten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der ersten Kontaktsperrschicht zwischen der ersten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet. Ein erster Teil der zweiten Kontaktsperrschicht ist zwischen der zweiten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der zweiten Kontaktsperrschicht zwischen der dritten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet.
  • Ein beispielhaftes Bauelement weist eine Gate-Struktur auf, die über einem Substrat angeordnet ist. Die Gate-Struktur ist zwischen einem ersten und einem zweiten Source-Drain-Element angeordnet. Das Bauelement weist ferner einen ersten und einen zweiten Source-Drain-Kontakt auf, die in einer Dielektrikumschicht angeordnet sind. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit dem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit dem zweiten Source-Drain-Element. Das Bauelement weist ferner eine erste, eine zweite und eine dritte Durchkontaktierung auf, die in der Dielektrikumschicht angeordnet sind. Die erste Durchkontaktierung befindet sich in physischem Kontakt mit dem ersten Source-Drain-Kontakt, die zweite Durchkontaktierung in physischem Kontakt mit dem zweiten Source-Drain-Kontakt und die dritte Durchkontaktierung in physischem Kontakt mit der Gate-Struktur. Die erste Durchkontaktierung enthält eine erste Metallfüllschicht mit ersten Seitenwänden, die sich in physischem Kontakt mit der Dielektrikumschicht befinden. Die zweite Durchkontaktierung enthält eine zweite Metallfüllschicht mit zweiten Seitenwänden, die sich in physischem Kontakt mit der Dielektrikumschicht befinden. Die dritte Durchkontaktierung enthält eine dritte Metallfüllschicht, die über einer Metallsperrschicht angeordnet ist. Die Metallsperrschicht ist zwischen der dritten Metallfüllschicht und der Dielektrikumschicht angeordnet, so dass sich dritte Seitenwände der dritten Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil auf. Die erste Richtung unterscheidet sich von der zweiten. Ein erster Boden der ersten Durchkontaktierung und ein zweiter Boden der zweiten Durchkontaktierung weisen in dem ersten Querschnittsprofil eine im Wesentlichen planare Oberfläche und in dem zweiten Querschnittsprofil eine im Wesentlichen gewölbte Oberfläche auf.
  • Bei manchen Ausführungsformen handelt es sich bei der Metallsperrschicht um eine erste Metallsperrschicht. Bei solchen Ausführungsformen weist die erste Durchkontaktierung einen ersten Teil, der die erste Metallfüllschicht enthält, und einen über dem ersten Teil angeordneten zweiten Teil auf. Der zweite Teil enthält eine vierte Metallfüllschicht, die über einer zweiten Metallsperrschicht angeordnet ist, welche zwischen der vierten Metallfüllschicht und der Dielektrikumschicht angeordnet ist, so dass sich vierte Seitenwände der vierten Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden, und die zweite Metallsperrschicht ist zwischen der ersten und der vierten Metallfüllschicht angeordnet. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung eine erste Dicke, die erste Metallfüllschicht eine zweite Dicke, die geringer ist als die erste Dicke, und die zweite Metallfüllschicht eine dritte Dicke auf, die der ersten Dicke entspricht. Bei manchen Ausführungsformen weist die zweite Durchkontaktierung einen dritten Teil, der die zweite Metallfüllschicht enthält, und einen vierten Teil auf, der über dem dritten Teil angeordnet ist. Der vierte Teil enthält eine fünfte Metallfüllschicht, die über einer dritten Metallsperrschicht angeordnet ist, welche zwischen der fünften Metallfüllschicht und der Dielektrikumschicht angeordnet ist, so dass sich fünfte Seitenwände der fünften Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden, und die dritte Metallsperrschicht ist zwischen der zweiten und der fünften Metallfüllschicht angeordnet. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung eine erste Dicke, die erste Metallfüllschicht eine zweite Dicke, die geringer ist als die erste Dicke, und die zweite Metallfüllschicht eine dritte Dicke auf, die geringer ist als die erste Dicke, und die dritte Dicke unterscheidet sich von der zweiten ersten Dicke.
  • Bei manchen Ausführungsformen enthält der erste Source-Drain-Kontakt eine erste Kontaktvolumenschicht, die über einer ersten Kontaktsperrschicht angeordnet ist, und der zweite Source-Drain-Kontakt eine zweite Kontaktvolumenschicht, die über einer zweiten Kontaktsperrschicht angeordnet ist. Ein erster Teil der ersten Seitenwände der ersten Metallfüllschicht befindet sich in physischem Kontakt mit der Dielektrikumschicht und ein zweiter Teil der ersten Seitenwände der ersten Metallfüllschicht in physischem Kontakt mit der ersten Kontaktsperrschicht, so dass die erste Kontaktsperrschicht zwischen dem zweiten Teil der ersten Seitenwände der ersten Metallfüllschicht und der Dielektrikumschicht angeordnet ist. Ein dritter Teil der zweiten Seitenwände der zweiten Metallfüllschicht befindet sich in physischem Kontakt mit der Dielektrikumschicht und ein vierter Teil der zweiten Seitenwände der zweiten Metallfüllschicht in physischem Kontakt mit der zweiten Kontaktsperrschicht, so dass die zweite Kontaktsperrschicht zwischen dem vierten Teil der zweiten Seitenwände der zweiten Metallfüllschicht und der Dielektrikumschicht angeordnet ist.
  • Ein beispielhaftes Verfahren umfasst das Bilden eines ersten und eines zweiten Source-Drain-Kontakts in einer Dielektrikumschicht. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit einem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit einem zweiten Source-Drain-Element. Das Verfahren umfasst ferner das Bilden einer ersten und einer zweiten Durchkontaktierungsöffnung in der Dielektrikumschicht. Die erste Durchkontaktierungsöffnung legt den ersten Source-Drain-Kontakt und die zweite Durchkontaktierungsöffnung den zweiten Source-Drain-Kontakt frei. Das Verfahren umfasst ferner das Vertiefen des ersten Source-Drain-Kontakts zum Vergrößern der ersten Durchkontaktierungsöffnung und des zweiten Source-Drain-Kontakts zum Vergrößern der zweiten Durchkontaktierungsöffnung und das Durchführen eines von unten nach oben erfolgenden Abscheidungsprozesses zum Bilden einer ersten Durchkontaktierungsvolumenschicht in der ersten Durchkontaktierungsöffnung und einer zweiten Durchkontaktierungsvolumenschicht in der zweiten Durchkontaktierungsöffnung. Eine erste Dicke der ersten Durchkontaktierungsvolumenschicht unterscheidet sich von einer zweiten Dicke der zweiten Durchkontaktierungsvolumenschicht. Das Verfahren umfasst ferner das Bilden einer ersten Durchkontaktierungssperrschicht über der ersten und der zweiten Durchkontaktierungsvolumenschicht, das Bilden einer dritten Durchkontaktierungsvolumenschicht über der ersten Durchkontaktierungssperrschicht und das Durchführen eines Planarisierungsprozesses zum Entfernen von über einer oberen Oberfläche der Dielektrikumschicht angeordneter dritter Durchkontaktierungsvolumenschicht, erster Durchkontaktierungssperrschicht, zweiter Durchkontaktierungsvolumenschicht und erster Durchkontaktierungsvolumenschicht, wodurch eine erste Durchkontaktierung mit einer dritten Dicke und einer ersten Durchkontaktierungsschichtkonfiguration und eine zweite Durchkontaktierung mit der dritten Dicke und einer zweiten Durchkontaktierungsschichtkonfiguration gebildet wird, die sich von der ersten Durchkontaktierungsschichtkonfiguration unterscheidet. Das Verfahren umfasst ferner das Bilden einer dritten Durchkontaktierungsöffnung in der Dielektrikumschicht, die eine Gate-Struktur freilegt, einer zweiten Durchkontaktierungssperrschicht, die die dritte Durchkontaktierungsöffnung teilweise füllt, und einer vierten Durchkontaktierungsvolumenschicht über der zweiten Durchkontaktierungssperrschicht. Die vierte Durchkontaktierungsvolumenschicht füllt den Rest der dritten Durchkontaktierungsöffnung. Das Verfahren umfasst ferner das Durchführen eines Planarisierungsprozesses zum Entfernen von über der oberen Oberfläche der Dielektrikumschicht angeordneter vierter Durchkontaktierungsvolumenschicht und zweiter Durchkontaktierungssperrschicht, wodurch eine dritte Durchkontaktierung mit einer dritten Durchkontaktierungsschichtkonfiguration gebildet wird, die sich von der ersten und der zweiten Durchkontaktierungsschichtkonfiguration unterscheidet. Bei manchen Ausführungsformen umfasst das Bilden der ersten und der zweiten Durchkontaktierungsöffnung in der Dielektrikumschicht das Durchführen eines Trockenätzprozesses, und das Vertiefen des ersten und des zweiten Source-Drain-Kontakts umfasst das Durchführen eines Nassätzprozesses. Bei manchen Ausführungsformen enthalten der erste und der zweite Source-Drain-Kontakt jeweils eine Kontaktvolumenschicht, die über einer Kontaktsperrschicht angeordnet ist, und das Vertiefen des ersten und des zweiten Source-Drain-Kontakts umfasst das Ätzen der Kontaktvolumenschicht, ohne die Kontaktsperrschicht wesentlich zu ätzen. Bei manchen Ausführungsformen umfasst das Bilden der dritten Durchkontaktierungsvolumenschicht und das Bilden der vierten Durchkontaktierungsvolumenschicht jeweils das Durchführen eines flächendeckenden Abscheidungsprozesses.
  • Ein weiteres beispielhaftes Verfahren umfasst das Bilden eines Source-Drain-Kontakts zu einem Source-Drain-Element in einer ersten Dielektrikumschicht, das Bilden einer ersten Durchkontaktierung zu dem Source-Drain-Kontakt und das Bilden einer zweiten Durchkontaktierung zu einer Gate-Struktur. Das Bilden der ersten Durchkontaktierung umfasst das Bilden einer zweiten Dielektrikumschicht über der ersten Dielektrikumschicht und das Bilden einer ersten Durchkontaktierungsöffnung in der zweiten Dielektrikumschicht. Die erste Durchkontaktierungsöffnung legt den Source-Drain-Kontakt frei. Das Bilden der ersten Durchkontaktierung umfasst ferner das Vertiefen des Source-Drain-Kontakts zum Vergrößern der ersten Durchkontaktierungsöffnung, das Durchführen eines von unten nach oben erfolgenden Abscheidungsprozesses zum Füllen der vergrößerten ersten Durchkontaktierungsöffnung mit einer ersten Metallschicht, das Bilden einer zweiten Metallschicht über der ersten Metallschicht und der zweiten Dielektrikumschicht, das Bilden einer dritten Metallschicht über der zweiten Metallschicht und das Durchführen eines Planarisierungsprozesses zum Entfernen von über einer oberen Oberfläche der zweiten Dielektrikumschicht angeordneter erster, zweiter und dritter Metallschicht. Das Bilden der zweiten Durchkontaktierung umfasst das Bilden einer zweiten Durchkontaktierungsöffnung in der zweiten und der ersten Dielektrikumschicht. Die zweite Durchkontaktierungsöffnung legt die Gate-Struktur frei. Das Bilden der zweiten Durchkontaktierungsöffnung umfasst ferner das Bilden einer vierten Metallschicht zum teilweisen Füllen der zweiten Durchkontaktierungsöffnung und das Bilden einer fünften Metallschicht über der vierten Metallschicht zum Füllen eines Rests der zweiten Durchkontaktierungsöffnung. Bei manchen Ausführungsformen umfasst das Bilden der ersten Metallschicht das Bilden einer ersten wolframhaltigen Schicht und das Bilden der dritten Metallschicht das Bilden einer zweiten wolframhaltigen Schicht. Bei manchen Ausführungsformen umfasst das Bilden der zweiten Metallschicht das Bilden einer titanhaltigen Schicht.
  • Bei manchen Ausführungsformen weist die vergrößerte erste Durchkontaktierungsöffnung in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil auf. Die erste Richtung unterscheidet sich von der zweiten. Bei solchen Ausführungsformen wird ein Boden der vergrößerten ersten Durchkontaktierungsöffnung durch eine im Wesentlichen planare Oberfläche in dem ersten Querschnittsprofil und eine im Wesentlichen gekrümmte Oberfläche in dem zweiten Querschnittsprofil definiert. Bei manchen Ausführungsformen umfasst das Bilden der zweiten Metallschicht das Abscheiden einer ersten Kleberschicht und das Abscheiden einer zweiten Kleberschicht über der ersten Kleberschicht. Bei solchen Ausführungsformen kann die erste Kleberschicht Titan und die zweite Kleberschicht Titan und Stickstoff enthalten. Bei manchen Ausführungsformen füllt die erste Metallschicht die erste Durchkontaktierungsöffnung vollständig, so dass die erste Durchkontaktierung die erste Metallschicht enthält. Bei manchen Ausführungsformen umfasst das Bilden der ersten Metallschicht das Füllen eines unteren Teils der ersten Durchkontaktierungsöffnung mit der ersten Metallschicht, so dass nach dem Bilden der ersten Metallschicht ein oberer Teil der ersten Durchkontaktierungsöffnung übrigbleibt. Bei solchen Ausführungsformen umfasst das Bilden der zweiten Metallschicht das teilweise Füllen des oberen Teils der ersten Durchkontaktierungsöffnung mit der zweiten Metallschicht und das Bilden der dritten Metallschicht das Füllen des Rests des oberen Teils der ersten Durchkontaktierungsöffnung mit der dritten Metallschicht.
  • Bei manchen Ausführungsformen umfasst das Bilden der ersten Durchkontaktierungsöffnung das Durchführen eines Trockenätzprozesses, und das Vertiefen des Source-Drain-Kontakts umfasst das Durchführen eines Nassätzprozesses. Bei manchen Ausführungsformen enthält der Source-Drain-Kontakt eine Metallfüllschicht, die über einer Metallkleberschicht angeordnet ist, und das Vertiefen des Source-Drain-Kontakts umfasst das Ätzen der Metallfüllschicht, ohne die Metallkleberschicht wesentlich zu ätzen. Bei manchen Ausführungsformen weist die Gate-Struktur in einer ersten Richtung eine erste Abmessung und in einer zweiten Richtung, die im Wesentlichen senkrecht zur ersten Richtung verläuft, eine zweite Abmessung auf. Bei solchen Ausführungsformen wird beim Vertiefen des Source-Drain-Kontakts zum Vergrößern der ersten Durchkontaktierungsöffnung die erste Durchkontaktierungsöffnung unter der zweiten Dielektrikumschicht in der zweiten Richtung vergrößert, ohne dass die erste Durchkontaktierungsöffnung unter der zweiten Dielektrikumschicht in der ersten Richtung vergrößert wird. Bei manchen Ausführungsformen handelt es sich bei der ersten Abmessung um eine Gate-Länge und bei der zweiten Abmessung um eine Gate-Breite.
  • Bei manchen Ausführungsformen handelt es sich bei dem Source-Drain-Kontakt um einen ersten Source-Drain-Kontakt und bei dem Source-Drain-Element um ein erstes Source-Drain-Element. Bei solchen Ausführungsformen kann das Verfahren ferner das Bilden eines zweiten Source-Drain-Kontakts zu einem zweiten Source-Drain-Element in der ersten Dielektrikumschicht umfassen. Die Gate-Struktur ist zwischen dem ersten und dem zweiten Source-Drain-Element angeordnet. Bei solchen Ausführungsformen kann das Verfahren ferner beim Bilden der ersten Durchkontaktierung zu dem ersten Source-Drain-Kontakt das Bilden einer dritten Durchkontaktierung in der zweiten Dielektrikumschicht zu dem zweiten Source-Drain-Kontakt umfassen. Das Bilden der dritten Durchkontaktierung umfasst das Bilden einer dritten Durchkontaktierungsöffnung in der zweiten Dielektrikumschicht. Die dritte Durchkontaktierungsöffnung legt den zweiten Source-Drain-Kontakt frei. Das Bilden der dritten Durchkontaktierung umfasst ferner das Vertiefen des zweiten Source-Drain-Kontakts zum Vergrößern der dritten Durchkontaktierungsöffnung, das Durchführen des von unten nach oben erfolgenden Abscheidungsprozesses zum Füllen der vergrößerten dritten Durchkontaktierungsöffnung mit der ersten Metallschicht, das Bilden der zweiten Metallschicht über der ersten Metallschicht und der zweiten Dielektrikumschicht, das Bilden der dritten Metallschicht über der zweiten Metallschicht und das Durchführen des Planarisierungsprozesses zum Entfernen von über der oberen Oberfläche der zweiten Dielektrikumschicht angeordneter erster, zweiter und dritter Metallschicht. Bei manchen Ausführungsformen füllt die erste Metallschicht die erste Durchkontaktierungsöffnung teilweise und die dritte Durchkontaktierungsöffnung vollständig und erstreckt sich über der zweiten Dielektrikumschicht, wodurch ein erster Teil der ersten Metallschicht, der in der ersten Durchkontaktierungsöffnung angeordnet ist, und ein zweiter Teil der ersten Metallschicht gebildet wird, der in der dritten Durchkontaktierungsöffnung angeordnet ist. Bei manchen Ausführungsformen füllt die zweite Metallschicht die erste Durchkontaktierungsöffnung teilweise und ist über dem ersten Teil der ersten Metallschicht, der in der ersten Durchkontaktierungsöffnung angeordnet ist, und über dem zweiten Teil der ersten Metallschicht angeordnet, der in der dritten Durchkontaktierungsöffnung angeordnet ist. Bei manchen Ausführungsformen füllt die dritte Metallschicht teilweise einen Rest der ersten Durchkontaktierungsöffnung und ist über der zweiten Metallschicht angeordnet.
  • Ein weiteres beispielhaftes Bauelement weist eine Gate-Struktur auf, die über einem Substrat angeordnet ist. Die Gate-Struktur ist zwischen einem ersten und einem zweiten Source-Drain-Element angeordnet. Das Bauelement weist ferner einen ersten und einen zweiten Source-Drain-Kontakt auf, die in einer Dielektrikumschicht angeordnet sind. Der erste Source-Drain-Kontakt befindet sich in physischem Kontakt mit dem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit dem zweiten Source-Drain-Element. Das Bauelement weist ferner eine erste, eine zweite und eine dritte Durchkontaktierung auf, die in der Dielektrikumschicht angeordnet sind. Die erste Durchkontaktierung befindet sich in physischem Kontakt mit dem ersten Source-Drain-Kontakt, die zweite Durchkontaktierung in physischem Kontakt mit dem zweiten Source-Drain-Kontakt und die dritte Durchkontaktierung in physischem Kontakt mit der Gate-Struktur. Die erste und die zweite Durchkontaktierung enthalten jeweils eine erste Metallfüllschicht, die sich in physischem Kontakt mit der Dielektrikumschicht befindet. Die dritte Durchkontaktierung weist eine zweite Metallfüllschicht und eine Metallkleberschicht auf. Die Metallkleberschicht ist zwischen der zweiten Metallfüllschicht und der Dielektrikumschicht angeordnet. Bei manchen Ausführungsformen handelt es sich bei der Metallkleberschicht um eine erste Metallkleberschicht, die erste Durchkontaktierung weist einen ersten Teil auf, in dem sich die erste Metallfüllschicht in physischem Kontakt mit der Dielektrikumschicht befindet, und die erste Durchkontaktierung weist einen zweiten Teil auf, in dem eine zweite Metallkleberschicht zwischen der ersten Metallfüllschicht und der Dielektrikumschicht angeordnet ist. Bei manchen Ausführungsformen weisen der erste und der zweite Source-Drain-Kontakt jeweils eine zwischen einer dritten Metallfüllschicht und der Dielektrikumschicht angeordnete dritte Metallkleberschicht auf. Bei solchen Ausführungsformen weist die erste Durchkontaktierung ferner einen dritten Teil auf, in dem die dritte Metallfüllschicht zwischen der ersten Metallfüllschicht und der Dielektrikumschicht angeordnet ist, und die zweite Durchkontaktierung weist einen Teil auf, in dem die dritte Metallkleberschicht zwischen der ersten Metallfüllschicht und der Dielektrikumschicht angeordnet ist. Bei manchen Ausführungsformen weisen die erste und die zweite Durchkontaktierung in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil auf. Die erste Richtung unterscheidet sich von der zweiten. Bei solchen Ausführungsformen werden ein erster Boden der ersten Durchkontaktierung und ein zweiter Boden der zweiten Durchkontaktierung durch eine im Wesentlichen planare Oberfläche in dem ersten Querschnittsprofil und eine im Wesentlichen gekrümmte Oberfläche in dem zweiten Querschnittsprofil definiert.
  • Der obige Text gibt einen Überblick über Merkmale mehrerer Ausführungsformen, damit die Aspekte der vorliegenden Offenbarung für Fachleute besser verständlich werden. Fachleuten dürfte klar sein, dass sie die vorliegende Offenbarung problemlos als Ausgangspunkt für die Konzipierung oder Modifizierung anderer Prozesse und Konstruktionen für die gleichen Zwecke und/oder zum Erzielen der gleichen Vorteile wie die hier vorgestellten Ausführungsformen verwenden können. Fachleuten dürfte ebenfalls klar sein, dass derartige äquivalente Konstruktionen nicht vom Gedanken und Schutzumfang der vorliegenden Offenbarung abweichen und dass sie daran diverse Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne vom Gedanken und Schutzumfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/907823 [0001]

Claims (20)

  1. Vorrichtung, die Folgendes umfasst: einen ersten Source-Drain-Kontakt, der in einer Dielektrikumschicht angeordnet ist, wobei sich der erste Source-Drain-Kontakt mit einem ersten Source-Drain-Element in physischem Kontakt befindet, einen zweiten Source-Drain-Kontakt, der in der Dielektrikumschicht angeordnet ist, wobei sich der zweite Source-Drain-Kontakt mit einem zweiten Source-Drain-Element in physischem Kontakt befindet, eine erste Durchkontaktierung mit einer in der Dielektrikumschicht angeordneten ersten Durchkontaktierungsschichtkonfiguration, wobei sich die erste Durchkontaktierung bis in den ersten Source-Drain-Kontakt erstreckt und sich mit diesem in physischem Kontakt befindet, und eine zweite Durchkontaktierung mit einer in der Dielektrikumschicht angeordneten zweiten Durchkontaktierungsschichtkonfiguration, wobei sich die zweite Durchkontaktierung bis in den zweiten Source-Drain-Kontakt erstreckt und sich mit diesem in physischem Kontakt befindet, wobei sich die zweite Durchkontaktierungsschichtkonfiguration von der ersten Durchkontaktierungsschichtkonfiguration unterscheidet und eine erste Dicke der ersten Durchkontaktierung einer zweiten Dicke der zweiten Durchkontaktierung entspricht.
  2. Vorrichtung nach Anspruch 1, wobei: die erste Durchkontaktierung einen ersten oberen Teil aufweist, der über einem ersten unteren Teil angeordnet ist, wobei sich die Dielektrikumschicht in physischem Kontakt mit Seitenwänden des ersten oberen Teils und der erste Source-Drain-Kontakt in physischem Kontakt mit Seitenwänden des ersten unteren Teils befindet, und die zweite Durchkontaktierung einen zweiten oberen Teil aufweist, der über einem zweiten unteren Teil angeordnet ist, wobei sich die Dielektrikumschicht in physischem Kontakt mit Seitenwänden des zweiten oberen Teils und der zweite Source-Drain-Kontakt in physischem Kontakt mit Seitenwänden des zweiten unteren Teils befindet.
  3. Vorrichtung nach Anspruch 1 oder 2, wobei die erste Durchkontaktierung und die zweite Durchkontaktierung jeweils in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil aufweisen, wobei sich die erste Richtung von der zweiten Richtung und das erste Querschnittsprofil von dem zweiten Querschnittsprofil unterscheidet.
  4. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei: die erste Durchkontaktierung und die zweite Durchkontaktierung jeweils in einer ersten Richtung ein erstes Bodengrenzflächenprofil mit dem ersten beziehungsweise dem zweiten Source-Drain-Kontakt und in einer zweiten Richtung ein zweites Bodengrenzflächenprofil mit dem ersten beziehungsweise dem zweiten Source-Drain-Kontakt aufweisen und sich die erste Richtung von der zweiten Richtung unterscheidet, das erste Bodengrenzflächenprofil durch eine im Wesentlichen planare Oberfläche und das zweite Bodengrenzflächenprofil durch eine im Wesentlichen gewölbte Oberfläche definiert ist.
  5. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei: die Dielektrikumschicht eine erste Zwischenebenen-Dielektrikumschicht, eine über der ersten Zwischenebenen-Dielektrikumschicht angeordnete zweite Zwischenebenen-Dielektrikumschicht und eine zwischen der ersten und der zweiten Zwischenebenen-Dielektrikumschicht angeordnete Kontaktätzstoppschicht umfasst, der erste und der zweite Source-Drain-Kontakt in der ersten Zwischenebenen-Dielektrikumschicht angeordnet sind und durch diese hindurch verlaufen und die erste und die zweite Durchkontaktierung in der zweiten Zwischenebenen-Dielektrikumschicht und der Kontaktätzstoppschicht angeordnet sind und durch diese hindurch verlaufen, wobei sich ein erster Teil der ersten Durchkontaktierung, der sich bis in den ersten Source-Drain-Kontakt erstreckt, und ein zweiter Teil der zweiten Durchkontaktierung, der sich bis in den zweiten Source-Drain-Kontakt erstreckt, in physischem Kontakt mit einer unteren Oberfläche der Kontaktätzstoppschicht befinden.
  6. Vorrichtung nach einem der vorhergehenden Ansprüche, wobei: die erste Durchkontaktierung mit der ersten Durchkontaktierungsschichtkonfiguration Folgendes umfasst: eine erste Durchkontaktierungsvolumenschicht, eine zweite Durchkontaktierungsvolumenschicht, die über der ersten Durchkontaktierungsvolumenschicht angeordnet ist, und eine Durchkontaktierungssperrschicht, die zwischen der ersten und der zweiten Durchkontaktierungsvolumenschicht angeordnet ist, wobei sich die erste Durchkontaktierungsvolumenschicht in physischem Kontakt mit der Dielektrikumschicht befindet und die erste Durchkontaktierungssperrschicht ferner zwischen der zweiten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist, und die zweite Durchkontaktierung mit der zweiten Durchkontaktierungsschichtkonfiguration Folgendes umfasst: eine dritte Durchkontaktierungsvolumenschicht, die sich in physischem Kontakt mit der Dielektrikumschicht befindet, wobei die erste und die dritte Durchkontaktierungsvolumenschicht ein gleiches Material enthalten, die dritte Durchkontaktierungsvolumenschicht die zweite Dicke und die erste Durchkontaktierungsvolumenschicht eine dritte Dicke aufweist, die geringer ist als die erste Dicke.
  7. Vorrichtung nach Anspruch 6, wobei: der erste Source-Drain-Kontakt eine erste Kontaktsperrschicht und eine erste Kontaktvolumenschicht umfasst, wobei ein erster Teil der ersten Kontaktsperrschicht zwischen der ersten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der ersten Kontaktsperrschicht zwischen der ersten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist, und der zweite Source-Drain-Kontakt eine zweite Kontaktsperrschicht und eine zweite Kontaktvolumenschicht umfasst, wobei ein erster Teil der zweiten Kontaktsperrschicht zwischen der zweiten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der zweiten Kontaktsperrschicht zwischen der dritten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist.
  8. Vorrichtung nach einem der Ansprüche 1 bis 5, wobei: die erste Durchkontaktierung mit der ersten Durchkontaktierungsschichtkonfiguration Folgendes umfasst: eine erste Durchkontaktierungsvolumenschicht, eine zweite Durchkontaktierungsvolumenschicht, die über der ersten Durchkontaktierungsvolumenschicht angeordnet ist, und eine erste Durchkontaktierungssperrschicht, die zwischen der ersten und der zweiten Durchkontaktierungsvolumenschicht angeordnet ist, wobei sich die erste Durchkontaktierungsvolumenschicht in physischem Kontakt mit der Dielektrikumschicht befindet und die erste Durchkontaktierungssperrschicht ferner zwischen der zweiten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist, die zweite Durchkontaktierung mit der zweiten Durchkontaktierungsschichtkonfiguration Folgendes umfasst: eine dritte Durchkontaktierungsvolumenschicht, eine vierte Durchkontaktierungsvolumenschicht, die über der dritten Durchkontaktierungsvolumenschicht angeordnet ist, und eine zweite Durchkontaktierungssperrschicht, die zwischen der dritten und der vierten Durchkontaktierungsvolumenschicht angeordnet ist, wobei sich die dritte Durchkontaktierungsvolumenschicht in physischem Kontakt mit der Dielektrikumschicht befindet und die zweite Durchkontaktierungssperrschicht ferner zwischen der vierten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist, wobei die erste und die dritte Durchkontaktierungsvolumenschicht ein erstes Material, die zweite und die vierte Durchkontaktierungsvolumenschicht ein zweites Material und die erste und die zweite Durchkontaktierungssperrschicht ein drittes Material enthalten; und wobei sich ein erster Abstand zwischen der ersten Durchkontaktierungssperrschicht und einem Substrat von einem zweiten Abstand zwischen der zweiten Durchkontaktierungssperrschicht und dem Substrat unterscheidet.
  9. Vorrichtung nach Anspruch 8, wobei: der erste Source-Drain-Kontakt eine erste Kontaktsperrschicht und eine erste Kontaktvolumenschicht umfasst, wobei ein erster Teil der ersten Kontaktsperrschicht zwischen der ersten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der ersten Kontaktsperrschicht zwischen der ersten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist, und der zweite Source-Drain-Kontakt eine zweite Kontaktsperrschicht und eine zweite Kontaktvolumenschicht umfasst, wobei ein erster Teil der zweiten Kontaktsperrschicht zwischen der zweiten Kontaktvolumenschicht und der Dielektrikumschicht und ein zweiter Teil der zweiten Kontaktsperrschicht zwischen der dritten Durchkontaktierungsvolumenschicht und der Dielektrikumschicht angeordnet ist.
  10. Vorrichtung, die Folgendes umfasst: eine Gate-Struktur, die über einem Substrat angeordnet ist, wobei die Gate-Struktur zwischen einem ersten und einem zweiten Source-Drain-Element angeordnet ist, einen ersten und einen zweiten Source-Drain-Kontakt, die in einer Dielektrikumschicht angeordnet sind, wobei sich der erste Source-Drain-Kontakt in physischem Kontakt mit dem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit dem zweiten Source-Drain-Element befindet, und eine erste, eine zweite und eine dritte Durchkontaktierung, die in der Dielektrikumschicht angeordnet sind, wobei: sich die erste Durchkontaktierung in physischem Kontakt mit dem ersten Source-Drain-Kontakt, die zweite Durchkontaktierung in physischem Kontakt mit dem zweiten Source-Drain-Kontakt und die dritte Durchkontaktierung in physischem Kontakt mit der Gate-Struktur befindet, die erste Durchkontaktierung eine erste Metallfüllschicht mit ersten Seitenwänden umfasst, die sich in physischem Kontakt mit der Dielektrikumschicht befinden, die zweite Durchkontaktierung eine zweite Metallfüllschicht mit zweiten Seitenwänden umfasst, die sich in physischem Kontakt mit der Dielektrikumschicht befinden, und die dritte Durchkontaktierung eine dritte Metallfüllschicht umfasst, die über einer Metallsperrschicht angeordnet ist, wobei die Metallsperrschicht zwischen der dritten Metallfüllschicht und der Dielektrikumschicht angeordnet ist, so dass sich dritte Seitenwände der dritten Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden.
  11. Vorrichtung nach Anspruch 10, wobei: es sich bei der Metallsperrschicht um eine erste Metallsperrschicht handelt; und die erste Durchkontaktierung einen ersten Teil, der die erste Metallfüllschicht umfasst, und einen über dem ersten Teil angeordneten zweiten Teil aufweist, wobei der zweite Teil eine vierte Metallfüllschicht umfasst, die über einer zweiten Metallsperrschicht angeordnet ist, die zweite Metallsperrschicht zwischen der vierten Metallfüllschicht und der Dielektrikumschicht angeordnet ist, so dass sich vierte Seitenwände der vierten Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden, und die zweite Metallsperrschicht zwischen der ersten und der vierten Metallfüllschicht angeordnet ist.
  12. Vorrichtung nach Anspruch 10 oder 11, wobei die erste und die zweite Durchkontaktierung eine erste Dicke aufweisen, die erste Metallfüllschicht eine zweite Dicke, die geringer ist als die erste Dicke, und die zweite Metallfüllschicht eine dritte Dicke aufweist, die der ersten Dicke entspricht.
  13. Vorrichtung nach Anspruch 10 oder 11, wobei: die zweite Durchkontaktierung einen dritten Teil, der die zweite Metallfüllschicht umfasst, und einen über dem dritten Teil angeordneten vierten Teil aufweist, wobei der vierte Teil eine fünfte Metallfüllschicht umfasst, die über einer dritten Metallsperrschicht angeordnet ist, wobei die dritte Metallsperrschicht zwischen der fünften Metallfüllschicht und der Dielektrikumschicht angeordnet ist, so dass sich fünfte Seitenwände der fünften Metallfüllschicht nicht in physischem Kontakt mit der Dielektrikumschicht befinden, und die dritte Metallsperrschicht zwischen der zweiten und der fünften Metallfüllschicht angeordnet ist.
  14. Vorrichtung nach Anspruch 13, wobei die erste und die zweite Durchkontaktierung eine erste Dicke aufweisen, die erste Metallfüllschicht eine zweite Dicke aufweist, die geringer ist als die erste Dicke, die zweite Metallfüllschicht eine dritte Dicke aufweist, die geringer ist als die erste Dicke, und sich die dritte Dicke von der zweiten ersten Dicke unterscheidet.
  15. Vorrichtung nach einem der Ansprüche 10 bis 14, wobei: die erste und die zweite Durchkontaktierung in einer ersten Richtung ein erstes Querschnittsprofil und in einer zweiten Richtung ein zweites Querschnittsprofil aufweisen, wobei sich die erste Richtung von der zweiten Richtung unterscheidet, und ein erster Boden der ersten Durchkontaktierung und ein zweiter Boden der zweiten Durchkontaktierung in dem ersten Querschnittsprofil eine im Wesentlichen planare Oberfläche und in dem zweiten Querschnittsprofil eine im Wesentlichen gewölbte Oberfläche aufweisen.
  16. Vorrichtung nach einem der Ansprüche 10 bis 15, wobei: der erste Source-Drain-Kontakt eine erste Kontaktvolumenschicht, die über einer ersten Kontaktsperrschicht angeordnet ist, und der zweite Source-Drain-Kontakt eine zweite Kontaktvolumenschicht umfasst, die über einer zweiten Kontaktsperrschicht angeordnet ist, sich ein erster Teil der ersten Seitenwände der ersten Metallfüllschicht in physischem Kontakt mit der Dielektrikumschicht und ein zweiter Teil der ersten Seitenwände der ersten Metallfüllschicht in physischem Kontakt mit der ersten Kontaktsperrschicht befindet, so dass die erste Kontaktsperrschicht zwischen dem zweiten Teil der ersten Seitenwände der ersten Metallfüllschicht und der Dielektrikumschicht angeordnet ist, und sich ein dritter Teil der zweiten Seitenwände der zweiten Metallfüllschicht in physischem Kontakt mit der Dielektrikumschicht und ein vierter Teil der zweiten Seitenwände der zweiten Metallfüllschicht in physischem Kontakt mit der zweiten Kontaktsperrschicht befindet, so dass die zweite Kontaktsperrschicht zwischen dem vierten Teil der zweiten Seitenwände der zweiten Metallfüllschicht und der Dielektrikumschicht angeordnet ist.
  17. Verfahren, das Folgendes umfasst: Bilden eines ersten und eines zweiten Source-Drain-Kontakts in einer Dielektrikumschicht, wobei sich der erste Source-Drain-Kontakt in physischem Kontakt mit einem ersten Source-Drain-Element und der zweite Source-Drain-Kontakt in physischem Kontakt mit einem zweiten Source-Drain-Element befindet, Bilden einer ersten und einer zweiten Durchkontaktierungsöffnung in der Dielektrikumschicht, wobei die erste Durchkontaktierungsöffnung den ersten Source-Drain-Kontakt und die zweite Durchkontaktierungsöffnung den zweiten Source-Drain-Kontakt freilegt, Vertiefen des ersten Source-Drain-Kontakts zum Vergrößern der ersten Durchkontaktierungsöffnung und Vertiefen des zweiten Source-Drain-Kontakts zum Vergrößern der zweiten Durchkontaktierungsöffnung, Durchführen eines von unten nach oben erfolgenden Abscheidungsprozesses zum Bilden einer ersten Durchkontaktierungsvolumenschicht in der ersten Durchkontaktierungsöffnung und einer zweiten Durchkontaktierungsvolumenschicht in der zweiten Durchkontaktierungsöffnung, wobei sich eine erste Dicke der ersten Durchkontaktierungsvolumenschicht von einer zweiten Dicke der zweiten Durchkontaktierungsvolumenschicht unterscheidet, Bilden einer ersten Durchkontaktierungssperrschicht über der ersten und der zweiten Durchkontaktierungsvolumenschicht, Bilden einer dritten Durchkontaktierungsvolumenschicht über der ersten Durchkontaktierungssperrschicht, Durchführen eines Planarisierungsprozesses zum Entfernen von über einer oberen Oberfläche der Dielektrikumschicht angeordneter dritter Durchkontaktierungsvolumenschicht, erster Durchkontaktierungssperrschicht, zweiter Durchkontaktierungsvolumenschicht und erster Durchkontaktierungsvolumenschicht, wodurch eine erste Durchkontaktierung mit einer dritten Dicke und einer ersten Durchkontaktierungsschichtkonfiguration und eine zweite Durchkontaktierung mit der dritten Dicke und einer sich von der ersten Durchkontaktierungsschichtkonfiguration unterscheidenden zweiten Durchkontaktierungsschichtkonfiguration gebildet wird, Bilden einer dritten Durchkontaktierungsöffnung in der Dielektrikumschicht, die eine Gate-Struktur freilegt, Bilden einer zweiten Durchkontaktierungssperrschicht, die die dritte Durchkontaktierungsöffnung teilweise füllt, Bilden einer vierten Durchkontaktierungsvolumenschicht über der zweiten Durchkontaktierungssperrschicht, wobei die vierte Durchkontaktierungsvolumenschicht einen Rest der dritten Durchkontaktierungsöffnung füllt, und Durchführen eines Planarisierungsprozesses zum Entfernen von über der oberen Oberfläche der Dielektrikumschicht angeordneter vierter Durchkontaktierungsvolumenschicht und zweiter Durchkontaktierungssperrschicht, wodurch eine dritte Durchkontaktierung mit einer dritten Durchkontaktierungsschichtkonfiguration gebildet wird, die sich von der ersten und der zweiten Durchkontaktierungsschichtkonfiguration unterscheidet.
  18. Verfahren nach Anspruch 17, wobei: das Bilden der ersten und der zweiten Durchkontaktierungsöffnung in der Dielektrikumschicht ein Durchführen eines Trockenätzprozesses umfasst; und das Vertiefen des ersten und des zweiten Source-Drain-Kontakts ein Durchführen eines Nassätzprozesses umfasst.
  19. Verfahren nach Anspruch 17 oder 18, wobei: der erste und der zweite Source-Drain-Kontakt jeweils eine Kontaktvolumenschicht umfassen, die über einer Kontaktsperrschicht angeordnet ist, und das Vertiefen des ersten und des zweiten Source-Drain-Kontakts ein Ätzen der Kontaktvolumenschicht ohne wesentliches Ätzen der Kontaktsperrschicht umfasst.
  20. Verfahren nach einem der Ansprüche 17 bis 19, wobei das Bilden der dritten Durchkontaktierungsvolumenschicht und das Bilden der vierten Durchkontaktierungsvolumenschicht jeweils ein Durchführen eines flächendeckenden Abscheidungsprozesses umfasst.
DE102020121496.0A 2019-09-30 2020-08-17 Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen Pending DE102020121496A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962907823P 2019-09-30 2019-09-30
US62/907,823 2019-09-30
US16/984,884 US11532561B2 (en) 2019-09-30 2020-08-04 Different via configurations for different via interface requirements
US16/984,884 2020-08-04

Publications (1)

Publication Number Publication Date
DE102020121496A1 true DE102020121496A1 (de) 2021-04-01

Family

ID=74872760

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020121496.0A Pending DE102020121496A1 (de) 2019-09-30 2020-08-17 Unterschiedliche durchkontaktierungskonfigurationen fürunterschiedliche durchkontaktierungsgrenzflächenanforderungen

Country Status (2)

Country Link
CN (1) CN112582405A (de)
DE (1) DE102020121496A1 (de)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070257323A1 (en) * 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
US8749067B2 (en) * 2010-08-18 2014-06-10 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for forming the same
CN103855077B (zh) * 2012-12-05 2018-07-10 联华电子股份有限公司 具有接触插栓的半导体结构与其形成方法
US9147767B2 (en) * 2014-02-07 2015-09-29 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
DE102017118475B4 (de) * 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10083863B1 (en) * 2017-05-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device

Also Published As

Publication number Publication date
CN112582405A (zh) 2021-03-30

Similar Documents

Publication Publication Date Title
DE102018100058B4 (de) Verfahren auf Basis von Atomlagenabscheidung für Kontaktsperrschicht
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102019116730B4 (de) Teilweise barrierefreie Durchkontaktierungen für kobaltbasierte Verbindungen und Verfahren zu deren Herstellung
DE102020111602B4 (de) Mehr-gate-vorrichtungen und gate-strukturierungsprozess dafür
DE102017128577B4 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102019116861A1 (de) Verfahren zum strukturieren von finnenartigen feldeffekttransistoren zum erreichen einer gleichmässigen finnenbreite
DE102017120565B4 (de) Durchkontaktierungen für zwischenverbindungen auf cobaltbasis und verfahren zu deren herstellung
DE102018113843B4 (de) Finnen- und Gateabmessungen zum Optimieren einer Gateausbildung
DE102018100050A1 (de) Integrierte Schaltung mit Seitenwandabstandhaltern für Gate-Stapel
DE102020101271A1 (de) Bottom-up-Bildung von Kontaktsteckern
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102021104073B4 (de) Selbstausgerichtetes metall-gate für multigate-vorrichtung und herstellungsverfahren
DE102020115430A1 (de) P-metall-gate-first-gate-ersetzungsprozess für mehrfachgate-vorrichtungen
DE102014119648B4 (de) METALL-GATE-STAPEL MIT TaAlCN-SCHICHT
DE102020130156A1 (de) Halbleitervorrichtung und verfahren der herstellung davon
DE102020104621A1 (de) Halbleitervorrichtung mit einem cut-metallgate und ein herstellungsverfahren
DE102021108885A1 (de) Bilden von esd-bauelementen unter verwendung von multigatekompatiblen prozessen
DE102021107624A1 (de) Gate-isolation für mehr-gate-vorrichtung
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102020129257B4 (de) Abstandhalter für Halbleitervorrichtungen, die rückseitige Stromschienen aufweisen
DE102018122862A1 (de) Verfahren zum Verbessern von Topografie dielektrischer Zwischenschichten
DE102020129673A1 (de) Halbleiterstruktur mit selbstausgerichteter rückseitiger stromschiene
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102019117005A1 (de) Ausbildung und Struktur leitfähiger Kontaktmerkmale
DE102016114724B4 (de) Verfahren zum Ausbilden von Gräben mit unterschiedlichen Tiefen und Vorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed