DE102019117005A1 - Ausbildung und Struktur leitfähiger Kontaktmerkmale - Google Patents

Ausbildung und Struktur leitfähiger Kontaktmerkmale Download PDF

Info

Publication number
DE102019117005A1
DE102019117005A1 DE102019117005.2A DE102019117005A DE102019117005A1 DE 102019117005 A1 DE102019117005 A1 DE 102019117005A1 DE 102019117005 A DE102019117005 A DE 102019117005A DE 102019117005 A1 DE102019117005 A1 DE 102019117005A1
Authority
DE
Germany
Prior art keywords
layer
dielectric
barrier layer
silicon
weld
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102019117005.2A
Other languages
English (en)
Inventor
Ken-Yu Chang
Chun-I Tsai
Ming-Hsing Tsai
Wei-Jung Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019117005A1 publication Critical patent/DE102019117005A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Allgemein stellt die vorliegende Offenbarung Ausführungsbeispiele, die leitfähige Merkmale, wie etwa metallische Kontakte, Durchkontaktierungen, Leitbahnen usw., betreffen, und Verfahren zum Ausbilden dieser leitfähigen Merkmale bereit. In einer Ausführungsform wird entlang einer Seitenwand eine Barriereschicht ausgebildet. Ein Abschnitt der Barriereschicht entlang der Seitenwand wird mittels eines Nassätzprozesses rückgeätzt. Nach dem Rückätzen des Abschnitts der Barriereschicht liegt eine darunterliegende dielektrische Schweißschicht frei. Entlang der Barriereschicht wird ein leitfähiges Material ausgebildet.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • Der Industriezweig der Halbleiter umfassenden integrierten Schaltungen (integrated circuits - ICs) verzeichnet ein exponentielles Wachstum. Technologische Fortschritte bei IC-Materialien und -Aufbau haben Generationen von ICs hervorgebracht, bei denen jede Generation kleinere und komplexere Schaltkreise aufweist als die vorherige Generation. Im Zuge der Weiterentwicklung von ICs hat die Funktionsdichte (z. B. die chipflächenbezogene Anzahl miteinander verbundener Vorrichtungen) allgemein zugenommen, während die Geometriegröße (z. B. das(die) kleinste Bauteil (oder Leitbahn), das(die) mittels eines Herstellungsprozesses gefertigt werden kann) abgenommen hat. Dieser Verkleinerungsprozess bringt allgemein Vorteile, indem die Produktionseffizienz erhöht und die zugehörigen Kosten gesenkt werden.
  • Einhergehend mit der Verkleinerung von Vorrichtungen haben die Hersteller begonnen, neue und andere Materialien und/oder Kombinationen von Materialien zu benutzen, um die Verkleinerung von Vorrichtungen zu erleichtern. Verkleinerung - allein und in Kombination mit neuen und anderen Materialien - hat auch zu Herausforderungen geführt, die sich bei vorherigen Generationen mit größeren Geometrien möglicherweise nicht gestellt haben.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung erschließen sich am besten aus der folgenden ausführlichen Beschreibung in Zusammenschau mit den beigefügten Figuren. Man beachte, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu gezeichnet sind. Die Abmessungen der verschiedenen Merkmale können der Klarheit der Erörterung halber willkürlich vergrößert oder verkleinert sein.
    • 1 ist ein Ablaufdiagramm eines beispielhaften Verfahrens zum Ausbilden leitfähiger Merkmale gemäß einigen Ausführungsformen.
    • 2 bis 12 sind Querschnittsansichten entsprechender vorläufiger Strukturen während eines beispielhaften Verfahrens zum Ausbilden leitfähiger Merkmale gemäß einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen oder Beispiele zum Realisieren unterschiedlicher Merkmale des bereitgestellten Gegenstands bereit. Nachstehend werden konkrete Beispiele von Bauteilen und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind selbstverständlich nur Beispiele und sollen nicht einschränkend sein. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, in denen das erste und zweite Merkmal in direktem Kontakt ausgebildet sind, und auch Ausführungsformen umfassen, in denen zusätzliche Merkmale zwischen dem ersten und zweiten Merkmal ausgebildet sein können, derart dass das erste und zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Außerdem kann die vorliegende Offenbarung Bezugsziffern und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient der Einfachheit und Klarheit und begründet für sich genommen keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Ausgestaltungen.
  • Ferner können hierin der Einfachheit der Beschreibung halber räumlich relative Begriffe, wie etwa „unterhalb“, „unter“, „untere/r/s“, „über“, „obere/r/s“ und dergleichen, benutzt werden, um die Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) so zu beschreiben wie in den Figuren dargestellt. Die räumlich relativen Begriffe sollen unterschiedliche Ausrichtungen der Vorrichtung bei Gebrauch oder Betrieb, zusätzlich zu der Ausrichtung, die in den Figuren abgebildet ist, einschließen. Die Vorrichtung kann anders ausgerichtet sein (um 90 Grad gedreht oder mit anderen Ausrichtungen) und die hierin benutzten räumliche Relationen beschreibenden Wörter können ebenso entsprechend ausgelegt werden.
  • Allgemein stellt die vorliegende Offenbarung Ausführungsbeispiele, die leitfähige Merkmale, wie etwa metallische Kontakte, Durchkontaktierungen, Leitbahnen usw., betreffen, und Verfahren zum Ausbilden dieser leitfähigen Merkmale bereit. In einigen Beispielen wird eine Barriereschicht und/oder eine Schweißschicht (Bindungsschicht; engl.: welding layer), die in einer Öffnung durch eine dielektrische Schicht hindurch ausgebildet ist, abgetragen (z. B. geätzt), damit in der Öffnung ein Höhenunterschied vorliegt, der sich weiter unten befindet als die Oberseite des Dielektrikums. Dementsprechend erfolgt ein Abtragen (z. B. Ätzung), um redundante Strukturen der Barriereschicht und/oder der Schweißschicht an Ecken der Öffnung zu entfernen, um die Profilkontrolle und Abmessungsgenauigkeit zu verbessern. Dies trägt unter anderem dazu bei, eine Ausbildung von Leerräumen während des Abscheidens und/oder Anhaftens eines leitfähigen Materials auf/an der Barriereschicht zu verringern.
  • Hierin beschriebene Ausführungsbeispiele werden im Kontext eines Ausbildens leitfähiger Merkmale im Rahmen des Front-End-of-Line- (FEOL) und/oder Middle-End-of-Line- (MEOL) Processing für Transistoren beschrieben. Realisierungen einiger Aspekte der vorliegenden Offenbarung können in anderen Prozessen und/oder bei anderen Vorrichtungen benutzt werden. Beispielsweise können Ausführungsbeispiele im Rahmen des Back-End-of-Line- (BEOL) Processing realisiert werden. Es werden einige Abwandlungen der beispielhaften Verfahren und Strukturen beschrieben. Auch wenn Ausführungsformen des Verfahrens möglicherweise in einer bestimmten Reihenfolge beschrieben werden, können verschiedene andere Ausführungsformen des Verfahrens in jeder beliebigen logischen Reihenfolge erfolgen und weniger oder mehr Schritte als die hierin beschriebenen umfassen. In einigen Figuren können einige Bezugszeichen von darin dargestellten Komponenten oder Merkmalen weggelassen sein, um ein Unkenntlichmachen anderer Komponenten oder Merkmale zu vermeiden; dies dient der Einfachheit des Darstellens der Figuren.
  • 1 stellt ein beispielhaftes Ablaufdiagramm eines Prozesses 100 dar, der durchgeführt wird, um eine Halbleiterbauelementstruktur gemäß einigen Ausführungsformen auszubilden. 2 bis 12 stellen Ansichten entsprechender vorläufiger Strukturen in entsprechenden Phasen während eines beispielhaften Verfahrens zum Ausbilden leitfähiger Merkmale gemäß einigen Ausführungsformen dar. Die vorläufigen Strukturen, wie nachfolgend beschrieben, werden bei der Realisierung von Feldeffekttransistoren (FETs) benutzt. In anderen Ausführungsbeispielen können andere Strukturen realisiert werden.
  • Wie in den Figuren dargestellt und hierin beschrieben, sind die Vorrichtungen Feldeffekttransistoren (FETs), bei denen es sich um planare FETs oder FinFETs handeln kann. Bei anderen Realisierungen können die Vorrichtungen Vertical-Gate-All-Around- (VGAA) FETs, Horizontal-Gate-All-Around- (HGAA) FETs, Bipolartransistoren (bipolar junction transistors - BJTs), Dioden, Kondensatoren, Induktionsspulen, Widerstände usw. sein. Gemäß planaren FETs und/oder FinFETs werden Gatestapel 32 auf aktiven Bereichen des Halbleitersubstrats 30 ausgebildet, wie in 2 gezeigt. Bei planaren FETs können die aktiven Bereiche ein Abschnitt an der Oberseite des Halbleitersubstrats 30 sein, der durch Isolationsbereiche begrenzt wird. Bei FinFETs können die aktiven Bereiche dreidimensionale Finnen sein, die zwischen Isolationsbereichen auf dem Halbleitersubstrat 30 vorstehen. Das Halbleitersubstrat 30 kann ein massives Halbleitersubstrat, ein Halbleiter-auf-Isolator- (semiconductor-on-insulator - SOI) Substrat oder ein anderes Substrat sein oder umfassen. Das Halbleitermaterial des Halbleitersubstrats 30 kann ein(en) elementaren/r Halbleiter, wie Silizium (z. B. kristallines Silizium, wie Si<100> oder Si<m>) oder Germanium, ein(en) Verbindungs- oder Legierungshalbleiter, dergleichen oder eine Kombination derselben umfassen oder sein. Das Halbleitermaterial des Halbleitersubstrats 30 kann dotiert, wie etwa mit einem p-leitenden oder einem n-leitenden Dotierstoff, oder undotiert sein. Andere Substrate, wie etwa ein mehrschichtiges oder Gradientensubstrat, können ebenfalls benutzt werden. In einigen Ausführungsformen kann das Halbleitermaterial des Halbleitersubstrats einen elementaren Halbleiter, wie etwa Silizium (Si) und Germanium (Ge); einen Verbindungshalbleiter, darunter Siliziumcarbid, Galliumarsenid, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, darunter SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder eine Kombination derselben umfassen.
  • Die Gatestapel 32 können funktionsfähige Gatestapel, wie bei einem Gate-First-Prozess, oder Dummy-Gatestapel, wie bei einem Replacement-Gate-Prozess, sein. Bei dem Replacement-Gate-Prozess kann jeder Gatestapel 32 eine dielektrische Schicht über dem aktiven Bereich, eine Gateschicht über der dielektrischen Schicht und in einigen Fällen eine Maskenschicht über der Gateschicht umfassen, wobei der Gatestapel 32 später durch eine metallische Gatestruktur ersetzt wird, die ein dielektrisches Material mit hohem k-Wert umfassen kann. Ein dielektrisches Material mit hohem k-Wert kann einen k-Wert größer als etwa 7,0 aufweisen und ein Metalloxid oder ein Metallsilikat von Hafnium (Hf), Aluminium (Al), Zirconium (Zr), Lanthanum (La), Magnesium (Mg), Barium (Ba), Titan (Ti), Blei (Pb), Mehrlagenschichten daraus oder eine Kombination derselben sein. Die Gateschicht (z. B. Gateelektrode) kann Silizium (z. B. Polysilizium, das dotiert oder undotiert sein kann), ein metallhaltiges Material (wie etwa Titan, Wolfram, Aluminium, Ruthenium, TiN, TaN, TaC, Co, eine Kombination derselben (wie etwa ein Silicid (das nachfolgend ausgebildet werden kann)) oder mehrere Schichten daraus umfassen oder sein. Die Maskenschicht kann Siliziumnitrid, Siliziumoxynitrid, Silizium-Kohlenstoff-Nitrid, dergleichen oder eine Kombination derselben umfassen oder sein. Prozesse zum Ausbilden oder Abscheiden der dielektrischen Schicht, Gateschicht und Maskenschicht umfassen thermisches und/oder chemisches Aufwachsen, chemische Gasphasenabscheidung (Chemical Vapor Deposition - CVD), plasmaunterstützte CVD (Plasma-Enhanced CVD - PECVD), Molekularstrahlabscheidung (Molecular-Beam Deposition - MBD), Atomlagenabscheidung (Atomic Layer Deposition - ALD), physikalische Gasphasenabscheidung (Physical Vapor Deposition - PVD) und andere Abscheidungsverfahren. Die Schichten für die Gatestapel 32 können dann strukturiert werden, so dass sie die Gatestapel 32 werden, beispielsweise mittels Fotolithographie und eines oder mehrerer Ätzprozesse. Beispielsweise kann ein Fotolack auf der Maskenschicht (oder der Gateschicht, beispielsweise wenn keine Maskenschicht realisiert wird) ausgebildet werden, wie etwa mittels Schleuderbeschichten, und durch Belichten des Fotolacks unter Verwendung einer geeigneten Fotomaske strukturiert werden. Dann können belichtete oder unbelichtete Abschnitte des Fotolacks entfernt werden, je nachdem, ob ein Positiv- oder Negativlack benutzt wird. Die Struktur des Fotolacks kann dann auf die Schichten des Gatestapels 32 übertragen werden, wie etwa mittels eines oder mehrerer geeigneten/r Ätzprozesse(s). Der eine oder die mehreren Ätzprozesse können eine reaktive Ionenätzung (reactive ion etch - RIE), neutrale Strahlätzung (neutral beam etch - NBE), dergleichen oder eine Kombination derselben umfassen. Das Ätzen kann anisotrop erfolgen. Nachfolgend wird der Fotolack entfernt, beispielsweise in einem Veraschungs- oder Nassablöseprozess.
  • Entlang von Seitenwänden der Gatestapel 32 und über den aktiven Bereichen werden Gate-Spacer 34 auf dem Halbleitersubstrat 30 ausgebildet. Die Gate-Spacer 34 können beispielsweise durch konformes Abscheiden einer oder mehrerer Schichten für die Gate-Spacer 34 und anisotropes Ätzen der einen oder mehreren Schichten ausgebildet werden. Die Gate-Spacer 34 können Siliziumnitrid, Siliziumoxynitrid, Silizium-Kohlenstoff-Nitrid, dergleichen, Mehrlagenschichten daraus oder eine Kombination derselben umfassen oder sein.
  • Auf gegenüberliegenden Seiten des Gatestapels 32 werden in den aktiven Bereichen Source/Drain-Bereiche 36 ausgebildet. In einigen Beispielen werden die Source/Drain-Bereiche 36 durch Implantieren von Dotierstoffen in die aktiven Bereiche unter Verwendung der Gatestapel 32 und Gate-Spacer 34 als Masken ausgebildet. Folglich können die Source/Drain-Bereiche 36 durch Implantation auf gegenüberliegenden Seiten jedes Gatestapels 32 ausgebildet werden. In anderen Beispielen können die aktiven Bereiche unter Verwendung der Gatestapel 32 und Gate-Spacer 34 als Masken mit Aussparungen versehen werden und epitaktische Source/Drain-Bereiche 36 epitaktisch in den Aussparungen aufwachsen. Epitaktische Source/Drain-Bereiche 36 können in Bezug auf den aktiven Bereich erhaben sein. Die epitaktischen Source/Drain-Bereiche 36 können durch In-situ-Dotieren während des epitaktischen Aufwachsens und/oder durch Implantation nach dem epitaktischen Aufwachsen dotiert werden. Die epitaktischen Source/Drain-Bereiche 36 können Siliziumgermanium, Siliziumcarbid, Silizium-Phosphor, Silizium-Kohlenstoff-Phosphor, Germanium, ein(en) III-V-Verbindungshalbleiter, ein(en) II-VI-Verbindungshalbleiter oder dergleichen umfassen oder sein. Die epitaktischen Source/Drain-Bereiche 36 können in den Aussparungen mittels eines geeigneten epitaktischen Aufwachs- oder Abscheidungsprozesses ausgebildet werden. In einigen Beispielen können die epitaktischen Source/Drain-Bereiche 36 Facetten aufweisen, die Kristallebenen des Substrats 30 entsprechen können. Folglich können die Source/Drain-Bereiche 36 durch epitaktisches Aufwachsen und eventuell mit Implantation auf gegenüberliegenden Seiten jedes Gatestapels 32 ausgebildet werden.
  • Zwischen den Gatestapeln 32 und über dem Halbleitersubstrat 30 wird ein erstes Zwischendielektrikum (interlayer dielectric - ILD) 38 ausgebildet. Das erste ILD 38 wird über den aktiven Bereichen, Gatestapeln 32 und Gate-Spacern 34 abgeschieden. In einigen Beispielen kann eine Ätzstoppschicht (nicht gezeigt) konform über den aktiven Bereichen, Gatestapeln 32 und Gate-Spacern 34 abgeschieden werden. Allgemein kann eine Ätzstoppschicht einen Mechanismus zum Stoppen eines Ätzprozesses, z. B. beim Ausbilden von Kontakten oder Durchkontaktierungen, bereitstellen. Eine Ätzstoppschicht kann aus einem dielektrischen Material mit einer anderen Ätzselektivität als benachbarte Schichten, beispielsweise das erste ILD 38, ausgebildet werden. Die Ätzstoppschicht kann Siliziumnitrid, Silizium-Kohlenstoff-Nitrid, Silizium-Kohlenstoff-Oxid, Kohlenstoffnitrid, dergleichen oder eine Kombination derselben umfassen oder sein und kann mittels chemischer Gasphasenabscheidung (chemical vapor deposition - CVD), plasmaunterstützter CVD (plasma enhanced CVD - PECVD), Atomlagenabscheidung (atomic layer deposition - ALD) oder eines anderen Abscheidungsverfahrens abgeschieden werden. Das erste ILD 38 kann Siliziumdioxid, ein dielektrisches Material mit niedrigem k-Wert (z. B. ein Material mit einer niedrigeren Dielektrizitätskonstante als Siliziumdioxid), Siliziumoxynitrid, Phosphorsilikatglas (PSG), Borosilikatglas (BSG), Borphosphorsilikatglas (BPSG), undotiertes Silikatglas (USG), fluoriertes Silikatglas (FSG), Organosilikatglas (OSG), SiOxCy, Spin-On-Glas, Spin-On-Polymere, Silizium-Kohlenstoff-Material, eine Verbindung daraus, einen Kompositwerkstoff daraus, dergleichen oder eine Kombination derselben umfassen oder sein. Das erste ILD 38 kann mittels Spin-On-CVD, Fließ-CVD (FCVD), PECVD, physikalischer Gasphasenabscheidung (physical vapor deposition - PVD) oder eines anderen Abscheidungsverfahrens abgeschieden werden. Das erste ILD 38 kann nach dem Abscheiden planarisiert werden. Es kann ein Planarisierungsprozess, wie etwa ein chemisch-mechanisches Polieren (CMP), durchgeführt werden, um das erste ILD 38 zu planarisieren.
  • Über dem ersten ILD 38 wird ein zweites Zwischendielektrikum (interlayer dielectric - ILD) 40 ausgebildet, wie in 3 gezeigt. Das zweite ILD 40 wird über dem ersten ILD 38 abgeschieden. Das zweite ILD 40 wird aus einem ähnlichen Material wie dem zum Ausbilden des ersten ILD 38 verwendeten hergestellt. Das zweite ILD 40 kann nach dem Abscheiden planarisiert werden, wie etwa durch ein CMP. Eine Dicke des ersten und zweiten ILD 38, 40 kann in einem Bereich von etwa 50 nm bis etwa 1200 nm liegen. Eine kombinierte Dicke des ersten und zweiten ILD 38, 40 kann in einem Bereich von etwa 100 nm bis etwa 2400 nm liegen.
  • Erneut auf den Prozess 100 Bezug nehmend, der in 1 dargestellt ist, wird bei Arbeitsgang 106 ein Strukturierungsprozess durchgeführt, um Öffnungen 42, 44 und 46 durch das zweite ILD 40 und das erste ILD 38 hindurch auszubilden, wie in 4 gezeigt. Die erste Öffnung 42 legt einen Gatestapel 32 und einen angrenzenden Source/Drain-Bereich 36 frei. Die erste Öffnung 42 dient daher zum Ausbilden eines zusammengesetzten leitfähigen Merkmals zwischen dem freigelegten Gatestapel 32 und dem angrenzenden Source/Drain-Bereich 36. Die zweite Öffnung 44 legt einen Source/Drain-Bereich 36 frei und dient daher zum Ausbilden eines leitfähigen Merkmals zu dem freigelegten Source/Drain-Bereich 36. Die dritte Öffnung 46 legt einen Gatestapel 32 frei und dient daher zum Ausbilden eines leitfähigen Merkmals zu dem freigelegten Gatestapel 32. Die Öffnungen 42, 44 und 46 können beispielsweise mittels geeigneter Fotolithographie- und Ätzprozesse ausgebildet werden.
  • Bei Arbeitsgang 108 wird in den Öffnungen 42, 44 und 46 eine Schweißschicht 50 ausgebildet, gefolgt von einer ersten Barriereschicht 52, die konform darauf ausgebildet wird. In einigen Ausführungsformen wird die Schweißschicht 50 auch Benetzungsschicht oder Haftschicht genannt. Die Schweißschicht 50 wird so strukturiert, dass die Schweißschicht 50 auf den Seitenwänden der Öffnungen 42, 44, 46 ausgebildet wird, während der darunterliegende Source/Drain-Bereich 36, wie in 5 gezeigt, für den späteren Ausheilprozess freigelassen wird. Nachfolgend wird die erste Barriereschicht 52 konform auf der Schweißschicht 50 in den Öffnungen 42, 44, 46 sowie auf den freiliegenden Source/Drain-Bereichen 36, freiliegenden Gatestapeln 32, Seitenwänden des ersten ILD 38 und zweiten ILD 40 und der Oberseite des zweiten ILD 40 ausgebildet, wie in 6 gezeigt. In einigen Ausführungsformen wird die erste Barriereschicht 52 nach Bedarf auch Haftschicht oder Antireflexbeschichtungs- (anti-reflection coating - ARC) Schicht genannt.
  • In einem Beispiel kann die Schweißschicht 50 ein dielektrisches Material sein oder umfassen, das Silizium, wie etwa Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid, dergleichen oder Mehrlagenschichten daraus, umfasst. Die Haftung 50 kann mittels PECVD, Niederdruck-CVD (low pressure CVD - LPCVD), Fließ-CVD, ALD oder eines anderen Abscheidungsverfahrens ausgebildet werden. Es wird angenommen, dass das dielektrische Material, das durch die Schweißschicht 50 bereitgestellt wird, eine gute Grenzflächenbindung zwischen den ILDs 38, 40 und der ersten Barriereschicht 52 mit guter Grenzflächenhaftung und -integration bewirken kann, was weiter unten näher erörtert wird. Das dielektrische Material aus der Schweißschicht 50 kann Siliziumelemente und andere Elemente, wie etwa Stickstoff-, Sauerstoff- und/oder Kohlenstoffelemente, umfassen. Somit können die Siliziumelemente aus der Schweißschicht 50 eine Bindungsenergie aufweisen, um an das erste und zweite ILD 38, 40 anzubinden, die ebenfalls Siliziumelemente aufweisen können. Somit kann durch Verwenden der Schweißschicht 50 aus einem dielektrischen Material, das Silizium umfasst, eine gute Grenzflächenhaftung und -integration erreicht werden.
  • Außerdem wird, da die hierin ausgewählte Schweißschicht 50 ein dielektrisches Material ist, die Schweißschicht 50 strukturiert, um den darunterliegenden Source/Drain-Bereich 36 freizulegen. Somit kann die erste Barriereschicht 52, die später darauf ausgebildet wird, mit dem Source/Drain-Bereich 36 in Kontakt stehen. Im Ergebnis kann während des nachfolgenden Ausheilprozesses der Source/Drain-Bereich 36 durch eine chemische Reaktion zwischen der ersten Barriereschicht 52 und dem Source/Drain-Bereich 36 in ein Silicidmaterial umgewandelt werden.
  • In einigen Ausführungsformen handelt es sich bei der Schweißschicht 50, die hierin in 8 ausgebildet ist, um ein Siliziumnitridmaterial mit einer Dicke in einem Bereich von etwa 0,5 nm bis etwa 10 nm.
  • Die erste Barriereschicht 52 kann ein metallhaltiges Material, beispielsweise Titan, Cobalt, Nickel, dergleichen oder eine Kombination derselben, sein oder umfassen und mittels ALD, CVD oder eines anderen Abscheidungsverfahrens abgeschieden werden. Die erste Barriereschicht 52 kann Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid, dergleichen oder eine Kombination derselben sein oder umfassen und mittels ALD, CVD oder eines anderen Abscheidungsverfahrens abgeschieden werden.
  • Bei Arbeitsgang 110 kann ein Ausheilprozess durchgeführt werden, um die Reaktion der Source/Drain-Bereiche 36 mit der ersten Barriereschicht 52 zu begünstigen, um Silicidbereiche 55 (z. B. einen Silicidbereich mit einem metallhaltigen Material, das mit einem Halbleitermaterial (z. B. Si und/oder Ge) zur Reaktion gebracht wird) auf den Source/Drain-Bereichen 36 auszubilden, wie in 7 gezeigt. In einigen Beispielen ist, wenn die erste Barriereschicht 52 eine Schicht aus Titan oder Titannitrid ist, der Silicidbereich 55 ein Titansilicidmaterial. Auch wenn die Silicidbereiche 55 in 7 nur auf den Source/Drain-Bereichen 36 ausgebildet gezeigt sind, beachte man, dass der Silicidbereich 55 an anderen Stellen/Orten ausgebildet sein kann, wie etwa nach Bedarf über den Gatestapeln 32 oder anderen Stellen auf dem Substrat 30.
  • Bei Arbeitsgang 112 kann, nachdem die Silicidbereiche 55 ausgebildet wurden, die erste Barriereschicht 52, die auf dem Substrat 30 ausgebildet wurde, entfernt werden, wie in 8 gezeigt. Die erste Barriereschicht 52 kann mittels geeigneter Ätzverfahren, wie etwa einer reaktiven Ionenätzung (reactive ion etch - RIE), neutralen Strahlätzung (neutral beam etch - NBE), Nassätzen oder eines anderen Ätzprozesses, von dem Substrat 30 entfernt werden. In einigen Beispielen verbleibt die Schweißschicht 50 zwecks Grenzflächenschutz auf dem Substrat 30.
  • Bei Arbeitsgang 114 wird eine zweite Barriereschicht 58 auf der Schweißschicht 50, dem freigelegten Silicidbereich 55, dem freigelegten ersten und zweiten ILD 38, 40 und anderen freigelegten Bereichen des Substrats 30 ausgebildet, wie in 9 gezeigt. Die zweite Barriereschicht 58 kann ähnlich der ersten Barriereschicht 52 konform auf der Schweißschicht 50 und dem Substrat abgeschieden werden. Die zweite Barriereschicht 58 kann Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid, dergleichen oder eine Kombination derselben sein oder umfassen und mittels ALD, CVD oder eines anderen Abscheidungsverfahrens abgeschieden werden. In einigen Beispielen weist die zweite Barriereschicht 58 eine Dicke in einem Bereich von 5 nm bis etwa 80 nm auf.
  • In einem Beispiel ist die zweite Barriereschicht 58 aus einem Material ausgewählt, das ein ähnliches Element mit der Schweißschicht 50 gemeinsam hat, so dass die Grenzflächenhaftung zwischen der Schweißschicht 50 und der zweiten Barriereschicht 58 verstärkt wird. Beispielsweise können, wenn die Schweißschicht 50 Siliziumelemente und andere Elemente, wie etwa Stickstoff-, Sauerstoff- und/oder Kohlenstoffelemente umfasst, die Siliziumelemente eine Bindungsenergie aufweisen, um an das erste und zweite ILD 38, 40 anzubinden, die ebenfalls Siliziumelemente aufweisen können. Gleichzeitig binden die anderen Elemente (z. B. Stickstoff-, Sauerstoff- und/oder Kohlenstoffelemente) aus der Schweißschicht 50 an die zweite Barriereschicht 58 an, die so ausgewählt ist, dass sie wenigstens Stickstoff-, Sauerstoff- oder Kohlenstoffelemente aufweist. Somit kann eine gute Auswahl der Materialien zwischen der Schweißschicht 50 und der zweiten Barriereschicht 58 die Grenzflächenintegration und -haftung zwischen beiden verstärken, um die/das Strukturintegrität und -verhalten der Vorrichtung insgesamt zu verbessern. Somit kann durch Verwenden der Schweißschicht 50 aus einem dielektrischen Material, das Silizium und andere Elemente, die den Elementen aus der zweiten Barriereschicht 58 ähnlich sind, umfasst, eine gute Grenzflächenhaftung und -integration erreicht werden.
  • Bei Arbeitsgang 116 wird ein Abtragungsprozess durchgeführt, um einen Abschnitt der zweiten Barriereschicht 58 sowie einen Abschnitt der Schweißschicht 50 von dem Substrat 30 zu entfernen, wie in 10 gezeigt. Der Abtragungsprozess ist ein Ätzprozess, der einen Trockenätzprozess oder Nassätzprozess umfasst.
  • In einigen Ausführungsformen ist der Abtragungsprozess, wie hier beschrieben, um die zweite Barriereschicht 58 zu ätzen, ein Nassätzprozess, um einen oberen Abschnitt der zweiten Barriereschicht 58 nahe den Ecken der Öffnungen 42, 44, 46 von dem Substrat 30 zu entfernen. Der Abtragungsprozess umfasst ein Entfernen der zweiten Barriereschicht 58 bis zu einer Tiefe 88 unter einer Oberseite 67 des zweiten ILD 40, was die überschüssige zweite Barriereschicht entfernt, die sich möglicherweise an der Ecke 59 der Öffnung 42, 44, 46 angesammelt hat. Eine Oberseite der Barriereschicht 58 befindet sich weiter unter als die Oberseite 67 des zweiten ILD 40 sowie eine Oberseite der Schweißschicht 50. Durch Entfernen der oberen Abschnitte der zweiten Barriereschicht 58 an oberen Bereichen (z. B. Ecken 59) der Öffnungen 42, 44, 46 kann eine breitere Breite 80 der Öffnungen 42, 44, 46 ohne die Schweißschicht 50 sowie die zweite Barriereschicht 58 erhalten werden, verglichen mit der kürzeren Breite 81 an der Schweißschicht 50 und der noch kürzeren Breite 82 an der zweiten Barriereschicht 58. Man beachte, dass ein Abschnitt der Schweißschicht 50 während des Abtragungsprozesses ebenfalls entfernt wird, was ein Verbreitern der Breite 80 der Öffnungen 42, 44, 46 für die nachfolgenden Prozesse unterstützen kann. Die breiteren Breiten 80, 81 der Öffnungen 42, 44, 46 können ein breiteres Prozessfenster für die Füllschicht aus leitfähigem Metall bereitstellen, die nachfolgend darin ausgebildet wird - mit geringerer Wahrscheinlichkeit, dass Leerräume oder Nahtstellen darin ausgebildet werden. In einigen Beispielen ist die kürzere Breite 81 um ein Maß in einem Bereich von etwa 5 % bis etwa 15 % der breiteren Breite 80 kleiner als die breitere Breite 80 und die noch kürzere Breite 82 um ein Maß in einem Bereich von etwa 8 % bis etwa 30 % der breiteren Breite 80 kleiner als die breitere Breite 80.
  • In einigen Beispielen ist der Abtragungsprozess ein Nassätzprozess. Der Nassätzprozess kann ein Tauchen des Substrats 30 in eine Lösung umfassen, die vollentsalztes (deionized - DI) Wasser und eine geeignete Chemikalie umfasst. Die chemische Reaktion zwischen der Lösung und der zweiten Barriereschicht 58 ätzt vor allem die zweite Barriereschicht 58 und einen Abschnitt der Schweißschicht 50, der sich an der Oberseite 67 des zweiten ILD 40 befindet, bis ein vorgegebener Prozesszeitraum erreicht oder die gewünschte Tiefe 88 in den Öffnungen 42, 44, 46 ausgebildet wird, wie in 10 gezeigt. Geeignete Beispiele der Chemikalien, die in dem DI-Wasser enthalten sind, umfassen Wasserstoffperoxid (H2O2), Ammoniumhydroxid (NH4OH), HNO3, H2SO4, HCl, verdünnten HF, und dergleichen. In einigen Beispielen umfasst die Chemikalie, die in dem DI-Wasser benutzt wird, um die zweite Barriereschicht 58 zu ätzen, H2O2. Es wird angenommen, dass H2O2 in dem DI-Wasser mit den Ti/Ta-Elementen aus der zweiten Barriereschicht 58 reagieren kann, um einen Abschnitt der zweiten Barriereschicht 58 von dem Substrat 30 zu entfernen.
  • Die Chemikalie in dem DI-Wasser kann eine Konzentration von 0,1 % bis 50 % aufweisen. Die Lösung kann während des Tauchens eine Temperatur in einem Bereich von etwa 20 °C bis etwa 90 °C aufweisen. Das Substrat 30 kann für eine Dauer in einem Bereich von etwa 5 Sekunden bis etwa 120 Sekunden in die Lösung getaucht werden, um die Tiefe 59 in einem Bereich von 1 nm bis 50 nm auszubilden. Das Halbleitersubstrat 30 kann optional nach dem Tauchen in die Lösung in Isopropylalkohol (IPA) gespült werden, um das Substrat 30 zu trocknen.
  • In einigen Beispielen wird die zweite Barriereschicht 58 rückgeätzt (z. B. abgetragen), um einen oberen Abschnitt 54 der Schweißschicht 50 in den Öffnungen 42, 44, 46 freizulegen. Der obere Abschnitt 54 der Schweißschicht 50, der durch die zweite Barriereschicht 58 freigelegt wird, weist eine Tiefe 60 zwischen etwa 15 nm und etwa 25 nm auf. Wie oben erörtert, kann eine überschüssige zweite Barriereschicht 58, die an Ecken 59 der Öffnungen 42, 44,46 ausgebildet wird, potentiell die Wahrscheinlichkeit eines frühen Verschlusses der Öffnungen 42, 44, 46 bei folgenden Abscheidungsprozessen erhöhen. Die zweite Barriereschicht 58 kann jedoch die Keimbildung und das Wachstum der Metallmaterialien des metallischen leitfähigen Füllmaterials 66, das nachfolgend eingefüllt wird, ermöglichen. Somit kann die Dicke, mit der die zweite Barriereschicht 58 ausgebildet wird, so ausbalanciert werden, dass sowohl das Wachstum des folgenden metallischen leitfähigen Füllmaterials 66 ermöglicht wird als auch ein Versperren der Öffnungen 42, 44, 46 verhindert wird. Somit kann durch Abtragen der zweiten Barriereschicht 58, um einen Abschnitt der darunterliegenden Schweißschicht 50 freizulegen, die zweite Barriereschicht 58, die an den Ecken 59 ausgebildet wird, entfernt werden und auch der obere Abschnitt der Öffnungen 42, 44, 46 verbreitert werden, was das Einfüllen des metallischen leitfähigen Füllmaterials 66 ohne frühzeitigen Verschluss unterstützen kann, um Leerräume zu verhindern. Da eine gewisse Menge der zweiten Barriereschicht 58 noch in den Öffnungen 42, 44, 46 verbleibt, verbleiben auch Keimbildungsstellen und Haftflächen und können gestatten, dass die Metallelemente bei dem nachfolgenden Abscheidungsprozess daran haften. In einigen Beispielen wird durch die zweite Barriereschicht 58 die Tiefe 60 der Schweißschicht 50 freigelegt, wie in 10 gezeigt. In einigen Beispielen liegt die Tiefe 60 in einem Bereich von etwa 15 nm bis etwa 25 nm.
  • In den Beispielen, in denen ein Trockenätzprozess für den Abtragungsprozess benutzt wird, kann der Trockenätzprozess eine RIE, NBE, Ätzung mit induktiv gekoppeltem Plasma (inductively coupled plasma - ICP), dergleichen oder eine Kombination derselben umfassen. Beispielhafte Ätzgase, die für einen Plasmaätzprozess benutzt werden können, umfassen ein halogenhaltiges Gas oder ein anderes Ätzgas. Ein Volumenstrom des/r Ätzgase(s) eines Plasmaätzprozesses kann in einem Bereich von etwa 10 sccm bis etwa 100 sccm liegen. Ein Plasmaätzprozess kann eine Gleichstrom-Substratvorspannung in einem Bereich von etwa 10 kV bis etwa 500 kV realisieren. Eine Leistung eines Plasmaätzprozesses kann in einem Bereich von etwa 200 W bis etwa 2000 W liegen. Ein Druck eines Plasmaätzprozesses kann in einem Bereich von etwa 5 mTorr bis etwa 50 mTorr liegen. Die Tiefe 88 der Abtragung kann über eine Dauer des für die Abtragung benutzten Ätzprozesses kontrolliert werden. Eine Dauer eines Plasmaätzprozesses kann zum Erreichen der Tiefe 88 in einem Bereich von 15 nm bis etwa 35 nm in einigen Beispielen in einem Bereich von etwa 10 Sekunden bis etwa 600 Sekunden liegen.
  • Bei Arbeitsgang 118 wird in den Öffnungen 42, 44 und 46 und auf der zweiten Barriereschicht 58 und dem oberen Abschnitt 54 der Schweißschicht 50 ein metallisches leitfähiges Füllmaterial 66 ausgebildet, wie in 11 gezeigt. Das metallische leitfähige Füllmaterial 66 kann ein Metall, wie etwa Cobalt, Wolfram, Kupfer, Aluminium, Gold, Silber, Legierungen daraus, dergleichen oder eine Kombination derselben sein oder umfassen und mittels CVD, ALD, PVD oder eines anderen Abscheidungsverfahrens abgeschieden werden. Das Abtragen der zweiten Barriereschicht 58 kann größere Abmessungen (z. B. die Breiten 80, 81) an oberen Abschnitten der Öffnungen 42, 44 und 46 oder verglichen mit der zweiten Barriereschicht 58 des unteren Abschnitts, die in den Öffnungen 42, 44, 46 eine kürzere Breite 82 aufweist, gestatten. Somit können die größeren Abmessungen an den oberen Abschnitten der Öffnungen 42, 44, 46 gestatten, dass das metallische leitfähige Füllmaterial 66 die Öffnungen 42, 44, 46 ohne einen Leerraum in dem metallischen leitfähigen Füllmaterial 66 in den Öffnungen 42, 44, 46 füllt.
  • In einigen Beispielen kann überschüssiges metallisches leitfähiges Füllmaterial 66 entfernt werden, wie in 12 gezeigt. Nachdem das metallische leitfähige Füllmaterial 66 abgeschieden wurde, kann überschüssiges metallisches leitfähiges Füllmaterial 66 über der Oberseite 67 des zweiten ILD 40 beispielsweise mittels eines Planarisierungsprozesses, wie etwa eines CMP, entfernt werden. Der Planarisierungsprozess kann überschüssiges metallisches leitfähiges Füllmaterial 66 von oberhalb der Oberseite 67 des zweiten ILD 40 entfernen. Dies bildet leitfähige Merkmale 70, 72, 74, die das metallische leitfähige Füllmaterial 66 umfassen, entsprechend in den Öffnungen 42, 44 und 46 aus. Oberseiten der leitfähigen Merkmale 70, 72, 74 und des zweiten ILD 40 können koplanar sein. Dementsprechend können leitfähige Merkmale 70, 72, 74, die das metallische leitfähige Füllmaterial 66, zweite Barriereschichten 58 und die Schweißschicht 50 (und eventuell Silicidbereiche 55) umfassen, zu entsprechenden Gatestapeln 32 und/oder Source/Drain-Bereichen 36 ausgebildet werden. Wie 12 zu entnehmen ist, können die Breiten des metallischen leitfähigen Füllmaterials 66 der leitfähigen Merkmale 70, 72 und 74 an deren Oberseiten durch Abtragen der zweiten Barriereschicht 58 und der Schweißschicht 50 vergrößert werden, was eine Fläche vergrößern kann, mit der entsprechende nachfolgende leitfähige Merkmale in Kontakt gelangen können.
  • Wie durch das Vorstehende gezeigt, können Aspekte einiger Ausführungsformen für Front-End-of-Line- (FEOL) und Middle-End-of-Line- (MEOL) Prozesse angewendet werden. Leitfähige Merkmale 70, 72, 74, einschließlich der Prozesse, mittels derer die leitfähigen Merkmale 70, 72, 74 ausgebildet wurden, können Aspekte verschiedener Ausführungsformen im Rahmen von FEOL und/oder MEOL realisieren. Andere leitfähige Merkmale, die im Rahmen von FEOL und/oder MEOL ausgebildet werden, können in ähnlicher Weise Aspekte gemäß einigen Ausführungsformen beinhalten. Beispielsweise können gemäß einigen Ausführungsformen Replacement-Gatestapel ausgebildet werden. Für Replacement-Gatestapel können beispielsweise konforme Schichten, wie etwa eine dielektrische Schicht und/oder (eine) Austrittsarbeitseinstellschicht(en), die dort ausgebildet wird/werden, wo ein Dummy-Gatestapel entfernt wurde, gemäß den gleichen oder ähnlichen Prozessen wie oben dargestellt und beschrieben abgeschieden und abgetragen werden. In anderen Beispielen können Aspekte des Vorstehenden in leitfähige Merkmale integriert werden, die im Rahmen eines Back-End-of-Line- (BEOL) Processing in Intermetalldielektrika (intermetallization dielectrics - IMDs) ausgebildet werden.
  • Mit einigen Ausführungsformen lassen sich Vorteile erreichen. Durch Entfernen eines Abschnitts einer Barriereschicht an einem oberen Abschnitt einer Öffnung oder Aussparung kann leitfähiges Material, das ein leitfähiges Merkmal ausbildet, leichter in der Öffnung oder Aussparung abgeschieden werden, ohne dass in der Öffnung oder Aussparung ein Leerraum ausgebildet wird. Insbesondere wenn Abmessungen leitfähiger Merkmale klein sind, können Leerräume in leitfähigen Merkmalen einen höheren Widerstand der leitfähigen Merkmale oder ein komplettes Versagen des leitfähigen Merkmals, wie etwa durch Nichtherstellen von elektrischem Kontakt, verursachen. Folglich kann ein Eindämmen einer Leerraumbildung insbesondere bei kleinen Technologieknoten, wie etwa höherentwickelten Technologien mit kleinen Abmessungen, vorteilhaft sein. Ferner können Höhen von Schweißschichten und Barriereschichten in leitfähigen Merkmalen basierend auf unterschiedlichen Anforderungen bezüglich Prozesssteuerung und Leistungsverhalten von Vorrichtungen eingestellt werden.
  • In einer Ausführungsform umfasst ein Verfahren für einen Halbleiterprozess ein Ausbilden einer dielektrischen Schweißschicht entlang einer Seitenwand einer Öffnung in einer dielektrischen Schicht, ein Ausbilden einer Barriereschicht auf der dielektrischen Schweißschicht, ein Rückätzen eines Abschnitts der Barriereschicht, um eine Seitenfläche eines oberen Abschnitts der dielektrischen Schweißschicht freizulegen, und ein Ausbilden eines leitfähigen Materials auf der Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht und auf der Barriereschicht. In einer Ausführungsform wird die Barriereschicht unter Verwendung einer Lösung, die wenigstens eines aus H2O2, H2SO4, HNO3, NH4OH oder eine Kombination derselben umfasst, nassgeätzt. In einer Ausführungsform steht ein Abschnitt des leitfähigen Materials in direktem Kontakt mit der Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht. In einer Ausführungsform umfasst die Barriereschicht wenigstens eines aus Titannitrid, Titanoxid, Tantalnitrid und Tantaloxid. In einer Ausführungsform ist die dielektrische Schweißschicht ein siliziumhaltiges dielektrisches Material. In einer Ausführungsform umfasst die dielektrische Schweißschicht wenigstens eines aus Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid und Siliziumoxynitrid. In einer Ausführungsform liegt die Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht, die durch das Rückätzen des Abschnitts der Barriereschicht freigelegt wird, bis zu einer Tiefe in einem Bereich von etwa 15 nm bis etwa 25 nm frei. In einer Ausführungsform befindet sich eine Oberseite der Barriereschicht weiter unten als eine Oberseite der dielektrischen Schweißschicht auf der Seitenwand der Öffnung der dielektrischen Schicht.
  • In einer anderen Ausführungsform umfasst eine Struktur eine dielektrische Schicht mit einer Seitenwand, wobei die dielektrische Schicht sich über einem Substrat befindet, eine dielektrische Schweißschicht entlang der Seitenwand, wobei die dielektrische Schweißschicht einen oberen Abschnitt der Seitenwand freilässt, eine Barriereschicht entlang der dielektrischen Schweißschicht, wobei die Barriereschicht einen oberen Abschnitt der dielektrischen Schweißschicht freilässt, und ein leitfähiges Material entlang der Barriereschicht und entlang der entsprechenden oberen Abschnitte der Seitenwand und der dielektrischen Schweißschicht. In einer Ausführungsform weist das leitfähige Material eine obere Breite, die mit der dielektrischen Schweißschicht in Kontakt steht, auf, die breiter ist als eine untere Breite, die mit der Barriereschicht in Kontakt steht. In einer Ausführungsform steht das leitfähige Material in direktem Kontakt mit dem oberen Abschnitt der dielektrischen Schweißschicht. In einer Ausführungsform wird zwischen entsprechenden Oberseiten der dielektrischen Schweißschicht und der Barriereschicht eine Tiefe definiert, wobei die Tiefe etwa 15 nm bis 25 nm beträgt. In einer Ausführungsform befindet sich eine Oberseite der Barriereschicht weiter unten als eine Oberseite der dielektrischen Schweißschicht. In einer Ausführungsform umfasst das leitfähige Material wenigstens eines aus Cobalt, Wolfram, Kupfer, Aluminium, Gold und Silber. In einer Ausführungsform wird unter dem leitfähigen Material entlang einer Unterseite der Barriereschicht ein Silicidbereich ausgebildet. In einer Ausführungsform ist die dielektrische Schweißschicht ein siliziumhaltiges dielektrisches Material. In einer Ausführungsform umfasst die dielektrische Schweißschicht wenigstens eines aus Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid und Siliziumoxynitrid. In einer Ausführungsform umfasst die Barriereschicht wenigstens eines aus Titannitrid, Titanoxid, Tantalnitrid und Tantaloxid.
  • In noch einer anderen Ausführungsform umfasst eine Struktur eine dielektrische Schicht, ein leitfähiges Material, das in der dielektrischen Schicht ausgebildet ist und seitlich durch eine Barriereschicht eingebunden wird, und eine dielektrische Schweißschicht seitlich zwischen der Barriereschicht und der dielektrischen Schicht, wobei die Barriereschicht und die dielektrische Schweißschicht entlang einer Seitenwand der dielektrischen Schicht abweichende Höhen aufweisen. In einer Ausführungsform definieren die abweichenden Höhen eine Stufenhöhe in einem Bereich von 15 nm bis 25 nm.
  • Das Vorstehende umreißt Merkmale mehrerer Ausführungsformen, damit sich dem Fachmann die Aspekte der vorliegenden Offenbarung besser erschließen. Dem Fachmann sollte klar sein, dass er die vorliegende Offenbarung leicht als Grundlage zum Konzipieren oder Abwandeln anderer Prozesse und Strukturen zum Verfolgen der gleichen Zwecke und/oder Erreichen der gleichen Vorteile der hierin vorgestellten Ausführungsformen benutzen kann. Für den Fachmann sollte es sich auch verstehen, dass derartige äquivalente Konstruktionen den Grundgedanken und Umfang der vorliegenden Offenbarung nicht verlassen und dass er hierin verschiedene Änderungen, Ersetzungen und Abänderungen vornehmen kann, ohne den Grundgedanken und Umfang der vorliegenden Offenbarung zu verlassen.

Claims (20)

  1. Verfahren für einen Halbleiterprozess, wobei das Verfahren umfasst: Ausbilden einer dielektrischen Schweißschicht entlang einer Seitenwand einer Öffnung in einer dielektrischen Schicht; Ausbilden einer Barriereschicht auf der dielektrischen Schweißschicht; Rückätzen eines Abschnitts der Barriereschicht, um eine Seitenfläche eines oberen Abschnitts der dielektrischen Schweißschicht freizulegen; und Ausbilden eines leitfähigen Materials auf der Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht und auf der Barriereschicht.
  2. Verfahren nach Anspruch 1, wobei das Rückätzen des Abschnitts der Barriereschicht umfasst: Nassätzen der Barriereschicht unter Verwendung einer Lösung, die wenigstens eines aus H2O2, H2SO4, HNO3, NH4OH oder eine Kombination derselben umfasst.
  3. Verfahren nach Anspruch 1 oder 2, wobei ein Abschnitt des leitfähigen Materials in direktem Kontakt mit der Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht steht.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Barriereschicht wenigstens eines aus Titannitrid, Titanoxid, Tantalnitrid und Tantaloxid umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei die dielektrische Schweißschicht ein siliziumhaltiges dielektrisches Material ist.
  6. Verfahren nach Anspruch 5, wobei die dielektrische Schweißschicht wenigstens eines aus Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid und Siliziumoxynitrid umfasst.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Seitenfläche des oberen Abschnitts der dielektrischen Schweißschicht, die durch das Rückätzen des Abschnitts der Barriereschicht freigelegt wird, bis zu einer Tiefe in einem Bereich von etwa 15 nm bis etwa 25 nm freiliegt.
  8. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Oberseite der Barriereschicht sich weiter unten befindet als eine Oberseite der dielektrischen Schweißschicht auf der Seitenwand der Öffnung der dielektrischen Schicht.
  9. Struktur, umfassend: eine dielektrische Schicht mit einer Seitenwand, wobei die dielektrische Schicht sich über einem Substrat befindet; eine dielektrische Schweißschicht entlang der Seitenwand, wobei die dielektrische Schweißschicht einen oberen Abschnitt der Seitenwand freilässt; eine Barriereschicht entlang der dielektrischen Schweißschicht, wobei die Barriereschicht einen oberen Abschnitt der dielektrischen Schweißschicht freilässt; und ein leitfähiges Material entlang der Barriereschicht und entlang der entsprechenden oberen Abschnitte der Seitenwand und der dielektrischen Schweißschicht.
  10. Struktur nach Anspruch 9, wobei das leitfähige Material eine obere Breite, die mit der dielektrischen Schweißschicht in Kontakt steht, aufweist, die breiter ist als eine untere Breite, die mit der Barriereschicht in Kontakt steht.
  11. Struktur nach Anspruch 9 oder 10, wobei das leitfähige Material in direktem Kontakt mit dem oberen Abschnitt der dielektrischen Schweißschicht steht.
  12. Struktur nach einem der vorhergehenden Ansprüche 9 bis 11, wobei zwischen entsprechenden Oberseiten der dielektrischen Schweißschicht und der Barriereschicht eine Tiefe definiert wird, wobei die Tiefe etwa 15 nm bis 25 nm beträgt.
  13. Struktur nach einem der vorhergehenden Ansprüche 9 bis 12, wobei eine Oberseite der Barriereschicht sich weiter unten befindet als eine Oberseite der dielektrischen Schweißschicht.
  14. Struktur nach einem der vorhergehenden Ansprüche 9 bis 13, wobei das leitfähige Material wenigstens eines aus Cobalt, Wolfram, Kupfer, Aluminium, Gold, Silber und Legierungen daraus umfasst.
  15. Struktur nach einem der vorhergehenden Ansprüche 9 bis 14, ferner umfassend: einen Silicidbereich entlang einer Unterseite der Barriereschicht unter dem leitfähigen Material.
  16. Struktur nach einem der vorhergehenden Ansprüche 9 bis 15, wobei die dielektrische Schweißschicht ein siliziumhaltiges dielektrisches Material ist.
  17. Struktur nach Anspruch 16, wobei es sich bei der dielektrischen Schweißschicht um Siliziumoxid, Siliziumnitrid, Siliziumcarbid, Siliziumoxycarbid, Siliziumoxynitrid oder Mehrlagenschichten daraus handelt.
  18. Struktur nach einem der vorhergehenden Ansprüche 9 bis 17, wobei es sich bei der Barriereschicht um Titannitrid, Titanoxid, Tantalnitrid, Tantaloxid oder eine Kombination derselben handelt.
  19. Struktur, umfassend: eine dielektrische Schicht; ein leitfähiges Material, das in der dielektrischen Schicht ausgebildet ist und seitlich durch eine Barriereschicht eingebunden wird; und eine dielektrische Schweißschicht seitlich zwischen der Barriereschicht und der dielektrischen Schicht, wobei die Barriereschicht und die dielektrische Schweißschicht entlang einer Seitenwand der dielektrischen Schicht abweichende Höhen aufweisen.
  20. Struktur nach Anspruch 19, wobei die abweichenden Höhen eine Stufenhöhe in einem Bereich von etwa 15 nm bis etwa 25 nm definieren.
DE102019117005.2A 2018-07-11 2019-06-25 Ausbildung und Struktur leitfähiger Kontaktmerkmale Pending DE102019117005A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/032,416 2018-07-11
US16/032,416 US10580693B2 (en) 2018-07-11 2018-07-11 Contact conductive feature formation and structure

Publications (1)

Publication Number Publication Date
DE102019117005A1 true DE102019117005A1 (de) 2020-01-16

Family

ID=69139612

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019117005.2A Pending DE102019117005A1 (de) 2018-07-11 2019-06-25 Ausbildung und Struktur leitfähiger Kontaktmerkmale

Country Status (5)

Country Link
US (4) US10580693B2 (de)
KR (1) KR102375640B1 (de)
CN (1) CN110718503B (de)
DE (1) DE102019117005A1 (de)
TW (1) TWI722485B (de)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10580693B2 (en) * 2018-07-11 2020-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Contact conductive feature formation and structure
JP2020043263A (ja) * 2018-09-12 2020-03-19 キオクシア株式会社 半導体装置およびその製造方法
US11043414B2 (en) * 2019-10-16 2021-06-22 Micron Technology, Inc. Microelectronic devices with conductive contacts to silicide regions, and related devices
US11349005B2 (en) 2020-05-22 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide structures in transistors and methods of forming

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3118785B2 (ja) 1991-05-23 2000-12-18 ソニー株式会社 バリヤメタル構造の形成方法
KR100407678B1 (ko) 2000-06-15 2003-12-01 주식회사 하이닉스반도체 반도체 소자의 구리 금속배선 형성 방법
US20020106881A1 (en) * 2000-12-07 2002-08-08 Jain Manoj K. Prevention of contact failure by hydrogen treatment
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US20090102058A1 (en) * 2007-10-17 2009-04-23 Chao-Ching Hsieh Method for forming a plug structure and related plug structure thereof
TW201007885A (en) * 2008-07-18 2010-02-16 Nec Electronics Corp Manufacturing method of semiconductor device, and semiconductor device
US8822347B2 (en) * 2009-04-27 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Wet soluble lithography
KR20110022267A (ko) * 2009-08-27 2011-03-07 주식회사 하이닉스반도체 반도체 장치 제조방법
CN102148202B (zh) * 2010-02-09 2016-06-08 精材科技股份有限公司 晶片封装体及其形成方法
KR101927992B1 (ko) 2012-08-31 2018-12-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조 방법
US9627256B2 (en) * 2013-02-27 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
US10163792B2 (en) * 2014-07-28 2018-12-25 Qualcomm Incorporated Semiconductor device having an airgap defined at least partially by a protective structure
KR102458923B1 (ko) * 2016-02-01 2022-10-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10529815B2 (en) * 2017-10-31 2020-01-07 International Business Machines Corporation Conformal replacement gate electrode for short channel devices
US10361120B2 (en) * 2017-11-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure
US10755970B2 (en) * 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structures
US10580693B2 (en) * 2018-07-11 2020-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Contact conductive feature formation and structure

Also Published As

Publication number Publication date
US20200020578A1 (en) 2020-01-16
KR20200006947A (ko) 2020-01-21
US20200203222A1 (en) 2020-06-25
CN110718503A (zh) 2020-01-21
CN110718503B (zh) 2022-04-19
US20230268228A1 (en) 2023-08-24
TWI722485B (zh) 2021-03-21
US20210343590A1 (en) 2021-11-04
TW202013611A (zh) 2020-04-01
US11062941B2 (en) 2021-07-13
KR102375640B1 (ko) 2022-03-17
US11676859B2 (en) 2023-06-13
US10580693B2 (en) 2020-03-03

Similar Documents

Publication Publication Date Title
DE102016114705B4 (de) Ätzstoppschicht für Halbleiter-Bauelemente
DE102018107997B4 (de) Selektive abdeckprozesse und dadurch ausgebildete strukturen
DE102015112267B4 (de) Verfahren und struktur für finfet
DE102019118385B4 (de) Herstellungsverfahren für eine halbleitervorrichtung
DE102019117005A1 (de) Ausbildung und Struktur leitfähiger Kontaktmerkmale
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102017112753A1 (de) Halbleitervorrichtung und Verfahren
DE102017117793B4 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102019123629A1 (de) Gate-all-around-feldeffekttransistorvorrichtung
DE102015116912A1 (de) Halbleiterstruktur und Verfahren zum Herstellen von dieser
DE102017128577A1 (de) Ätzprofilsteuerung von polysiliziumstrukturen von halbleitervorrichtungen
DE102019126565A1 (de) Mehrfachgatevorrichtung und zugehörige verfahren
DE102017124072A1 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102020113628A1 (de) Halbleitervorrichtung und verfahren
DE102020134644B4 (de) Rückseitenkontakt und verfahren zu seiner herstellung
DE102020110754B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102020122151A1 (de) Halbleitervorrichtung und verfahren
DE102017120571A1 (de) Verfahren zum reduzieren der kontakttiefenschwankung bei der halbleiterherstellung
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102017123948A1 (de) Umschlossene epitaxiale struktur und verfahren
DE102021109760A1 (de) Verfahren zum bilden von kontaktstrukturen
DE102020119099A1 (de) Halbleitervorrichtung und verfahren
DE102021114489A1 (de) Nanostrukturen und deren herstellungsverfahren
DE102021118124A1 (de) Transistorisolationsbereiche und Verfahren zum Bilden derselben
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication