DE102016119018A1 - Zwischenverbindungsaufbau und -verfahren - Google Patents

Zwischenverbindungsaufbau und -verfahren Download PDF

Info

Publication number
DE102016119018A1
DE102016119018A1 DE102016119018.7A DE102016119018A DE102016119018A1 DE 102016119018 A1 DE102016119018 A1 DE 102016119018A1 DE 102016119018 A DE102016119018 A DE 102016119018A DE 102016119018 A1 DE102016119018 A1 DE 102016119018A1
Authority
DE
Germany
Prior art keywords
dielectric layer
layer
conductive
opening
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102016119018.7A
Other languages
English (en)
Inventor
Chia-Cheng Chou
Chih-Chien Chi
Chung-Chi Ko
Yao-Jen Chang
Chen-Yuan Kao
Kai-Shiang KUO
Po-Cheng Shih
Tze-Liang Lee
Jun-Yi Ruan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102016119018A1 publication Critical patent/DE102016119018A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Abstract

Es werden eine Vorrichtung, ein Aufbau und ein Verfahren bereitgestellt, wobei eine Einsatzschicht benutzt wird, um umgebenden dielektrischen Schichten eine zusätzliche Stütze bereitzustellen. Die Einsatzschicht kann zwischen zwei dielektrischen Schichten angewendet werden. Sobald sie gebildet ist, werden in den Verbundschichten Gräben und Durchkontaktierungen gebildet, und wird die Einsatzschicht helfen, eine Stütze bereitzustellen, die ein unerwünschtes Biegen oder andere strukturelle Bewegungen, die anschließende Prozessschritte wie etwa das Füllen der Gräben und Durchkontaktierungen mit einem leitenden Material beeinträchtigen könnten, beschränken oder beseitigen wird.

Description

  • ALLGEMEINER STAND DER TECHNIK
  • In dem gegenwärtigen Prozess der Miniaturisierung von Halbleitervorrichtungen sind dielektrische Low-k-Materialien als Zwischenmetall- und/oder Zwischenschichtdielektrikum zwischen leitenden Verbindungen erwünscht, um die resistiv-kapazitive (RC) Verzögerung bei der Signalausbreitung aufgrund von kapazitiven Wirkungen zu verringern. An sich ist die parasitäre Kapazität der angrenzenden Leiterbahnen umso niedriger und die RC-Verzögerung der integrierten Schaltung umso niedriger, je niedriger die Konstante der dielektrischen Schicht des Dielektrikums ist.
  • Doch die Materialien, die gegenwärtig als dielektrische Low-k-Materialien angesehen oder verwendet werden, sind nicht ideal. Insbesondere kann es sein, dass bei der Wahl eines Materials auf Basis seines k-Werts und insbesondere seines Low-k-Werts andere Eigenschaften wie etwa die Härte des Materials oder seine Stärke für die Verwendung in einem Halbleiterherstellungsprozess nicht ideal sind. Daher sind Verbesserungen bei Prozessen, die dielektrische Low-k-Materialien verwenden, erwünscht.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Gesichtspunkte der vorliegenden Offenbarung werden am besten aus der folgenden ausführlichen Beschreibung verstanden, wenn diese mit den beiliegenden Figuren gelesen wird. Es wird betont, dass verschiedene Merkmale gemäß der Standardpraxis in der Industrie nicht maßstabgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zur Klarheit der Besprechung beliebig vergrößert oder verkleinert sein.
  • 1 bis 9 sind Schnittansichten von Zwischenstadien bei der Herstellung eines Zwischenverbindungsaufbaus nach einigen Ausführungsformen.
  • 7 ist eine Schnittansicht eines Zwischenverbindungsaufbaus nach einigen Ausführungsformen.
  • 8 bis 11 sind Schnittansichten von Zwischenstadien bei der Herstellung eines Zwischenverbindungsaufbaus nach einigen Ausführungsformen.
  • 12 ist eine Schnittansicht eines Zwischenverbindungsaufbaus nach einigen Ausführungsformen.
  • 13 ist ein Beispiel für einen Fin-Feldeffekt-Transistor (FinFET) in einer dreidimensionalen Ansicht.
  • 14 bis 18, 19A bis 19C, 20A bis 20C, 21A bis 21C, 22A bis 22C, 23A bis 23C, 24A bis 24C, 25A bis 25C, 26A bis 26C und 27A bis 27C sind Schnittansichten von Zwischenstadien bei der Herstellung von FinFETS mit Zwischenaufbauten nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für die Ausführung verschiedener Merkmale der Erfindung. Nachstehend werden bestimmte Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen beinhalten, bei denen das erste und das zweite Merkmal in einem direkten Kontakt gebildet werden, und kann sie auch Ausführungsformen beinhalten, bei denen zwischen dem ersten und dem zweiten Merkmal zusätzliche Merkmale gebildet werden können, so dass das erste und das zweite Merkmal möglicherweise nicht in einem direkten Kontakt stehen. Zudem kann die vorliegende Offenbarung Bezugszeichen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Einfachheit und Klarheit und schreibt selbst keine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Gestaltungen vor.
  • Ferner können räumlich bezogene Ausdrücke wie ”unter”, ”unterhalb”, ”darunter”, ”über”, ”oberhalb” und dergleichen hier zur Erleichterung der Beschreibung verwendet sein, um die wie in den Figuren veranschaulichte Beziehung eines Elements oder Merkmals zu (einem) anderen Element(en) oder Merkmal(en) zu beschreiben. Die räumlich bezogenen Ausdrücke sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anders ausgerichtet (um 90 Grad oder in andere Ausrichtungen gedreht) sein, und die hier verwendeten räumlich bezogenen Beschreiber können ebenfalls entsprechend interpretiert werden.
  • Es werden Zwischenverbindungsaufbauten und Verfahren zu ihrer Bildung nach verschiedenen Ausführungsformen bereitgestellt. Es werden Zwischenstadien bei der Bildung von Zwischenverbindungsaufbauten veranschaulicht. Einige hier besprochene Ausführungsformen werden in dem Kontext von Zwischenverbindungen, die unter Verwendung eines Dual-Damascene-Prozesses gebildet werden, besprochen. Bei anderen Ausführungsformen kann ein Einzel-Damascene-Prozess verwendet werden. Es werden einige Veränderungen der Ausführungsformen besprochen. Ein Durchschnittsfachmann wird leicht andere vornehmbare Abwandlungen erkennen, die in dem Umfang anderer Ausführungsformen ins Auge gefasst sind. Obwohl Verfahrensausführungsformen in einer bestimmten Reihenfolge besprochen sind, können verschiedene andere Verfahrensausführungsformen in jeder beliebigen logischen Reihenfolge ausgeführt werden und können sie weniger oder mehr Schritte als hier beschrieben umfassen.
  • Unter Bezugnahme auf 1 veranschaulicht 1 ein Substrat 10 mit aktiven Vorrichtungen (nicht gezeigt), Metallisierungsschichten (nicht gezeigt) in dem Substrat 10, einem leitenden Element 12, das mit den Metallisierungsschichten gekoppelt ist, einer optionalen Ätzstoppschicht 14, und einer ersten dielektrischen Schicht 16. Das Substrat 10 kann ein Halbleitersubstrat wie etwa ein Bulkhalbleiter, ein Halbleiter-auf-Isolator(SOI)-Substrat, oder dergleichen sein, das dotiert (z. B. mit einem p-Typ- oder einem n-Typ-Dotiermittel) oder undotiert sein kann. Das Substrat 10 kann ein Wafer wie etwa ein Siliziumwafer sein. Im Allgemeinem umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX), eine Siliziumoxidschicht, oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat, typischerweise einem Silizium- oder einem Glassubstrat bereitgestellt. Andere Substrate wie etwa ein mehrschichtiges oder ein Gradientensubstrat können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 10 Silizium; Germanium; einen Verbindungshalbleiter einschließlich Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid, und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, und/oder GaInAsP; oder Kombinationen davon umfassen.
  • Die aktiven Vorrichtungen können eine breite Vielfalt von aktiven Vorrichtungen wie etwa Transistoren und dergleichen und passive Vorrichtungen wie etwa Kondensatoren, Widerstände, Induktoren und dergleichen, die verwendet werden können, um die gewünschten baulichen und funktionalen Teile des Konzeption zu erzeugen, umfassen. Die aktiven Vorrichtungen und passiven Vorrichtungen können unter Verwendung aller beliebigen geeigneten Verfahren in oder aber auf dem Substrat 10 gebildet werden.
  • Die Metallisierungsschichten sind über aktiven Vorrichtungen gebildet und dazu gestaltet, die verschiedenen aktiven Vorrichtungen zu verbinden, um einen funktionalen Schaltungsaufbau für die Konzeption zu bilden. Bei einer Ausführungsform sind die Metallisierungsschichten aus abwechselnden Schichten aus dielektrischen und leitenden Materialien gebildet und können sie durch alle beliebigen geeigneten Prozesse (wie etwa Ablagerung, Damascene, Dual-Damascene, usw.) gebildet werden. Bei einer Ausführungsform können ein bis vier Schichten einer Metallisierung durch zumindest eine Zwischenschicht-Dielektrikumsschicht (ILD) voneinander getrennt sein, doch hängt die genaue Anzahl der Metallisierungsschichten von der Konzeption ab.
  • Das leitende Element 12 kann in oder über den Metallisierungsschichten gebildet sein und ist ein Bereich, mit dem eine Zwischenverbindung 24 (in 1 nicht veranschaulicht, aber nachstehend in 6 veranschaulicht und beschrieben) eine physische und elektrische Verbindung herstellen wird. Bei einer Ausführungsform kann das leitende Element 12 ein Material wie etwa Kupfer sein, das unter Verwendung z. B. eines Damascene- oder eines Dual-Damascene-Prozesses gebildet wird, wobei in den Metallisierungsschichten eine Öffnung gebildet wird, die Öffnung mit einem leitenden Material wie etwa Kupfer gefüllt über überfüllt wird, und ein Planarisierungsprozess vorgenommen wird, um das leitfähige Material in die Metallisierungsschichten einzubetten. Es kann jedoch jedes beliebige geeignete Material und jeder beliebige geeignete Prozess verwendet werden, um das leitenden Element 12 zu bilden.
  • Die Ätzstoppschicht 14 kann über dem Substrat 10, jeglichen Metallisierungsschichten und den leitenden Elementen 12 gebildet werden. Bei einigen Ausführungsformen kann die Ätzstoppschicht 14 Siliziumnitrid, Siliziumcarbid, Siliziumoxid, Low-k-Dielektrika wie etwa kohlenstoffdotierte Oxide, extrem-Low-k-Dielektrika wie etwa mit porösem Kohlenstoff dotiertes Siliziumdioxid, dergleichen, oder eine Kombination davon sein und durch CVD, PVD, ALD, einen Dielektrikumsaufschleuderprozess, dergleichen, oder eine Kombination davon abgelagert werden.
  • Die erste dielektrische Schicht 16 kann gebildet werden, um dabei zu helfen, die Zwischenverbindung 24 von anderen angrenzenden elektrischen Routing-Leitungen zu isolieren. Bei einer Ausführungsform kann die erste dielektrische Schicht 16 z. B. ein Low-k-Dielektrikumsfilm sein, der helfen soll, die Zwischenverbindung 24 von anderen Aufbauten zu isolieren. Durch das Isolieren der Zwischenverbindung 24 kann die Widerstands-Kapazitäts(RC)-Verzögerung der Zwischenverbindung 24 verringert werden, wodurch die gesamte Leistungsfähigkeit und die Geschwindigkeit der Elektrizität durch die Zwischenverbindung erhöht wird.
  • Bei einer Ausführungsform kann die erste dielektrische Schicht 16 ein poröses Material wie etwa SiOCN, SiCN, SiOCN, SiOCH, oder dergleichen sein und durch anfängliches Bilden einer Vorläuferschicht über der Ätzstoppschicht 14, falls eine solche vorhanden ist, gebildet werden. Die Vorläuferschicht kann sowohl ein Matrixmaterial als auch einen in das Matrixmaterial eingestreuten Porenbildner umfassen, oder kann alternativ das Matrixmaterial ohne den Porenbildner umfassen. Bei einer Ausführungsform kann die Vorläuferschicht z. B. durch gemeinsames Ablagern der Matrix und des Porenbildners unter Verwendung eines Prozesses wie etwa der plasmaunterstützten chemischen Abscheidung aus der Dampfphase (PECVD) gebildet werden, wobei das Matrixmaterial zur gleichen Zeit wie der Porenbildner abgelagert wird, wodurch die Vorläuferschicht mit dem vermischten Matrixmaterial und Porenbildner gebildet wird. Doch wie ein Durchschnittsfachmann erkennen wird, ist die gemeinsame Ablagerung unter Verwendung eines gleichzeitigen PECVD-Prozesses nicht der einzige Prozess, der verwendet werden kann, um die Vorläuferschicht zu bilden. Es kann jeder beliebige Prozess benutzt werden, wie etwa ein Vormischen des Matrixmaterials und des Porenbildners als Flüssigkeit und dann Aufschleudern des Gemischs auf die Ätzstoppschicht 14.
  • Die Vorläuferschicht kann zu einer Dicke ausgeführt werden, die ausreicht, um die Isolations- und Routingeigenschaften, die von der ersten dielektrischen Schicht 16 gewünscht sind, bereitzustellen. Bei einer Ausführungsform kann die Vorläuferschicht zu einer ersten Dicke T1 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 300 Å, ausgeführt werden. Doch diese Dicken sollen lediglich erläuternd sein und sollen den Umfang der Ausführungsformen nicht beschränken, da die genaue Dicke der Vorläuferschicht jede beliebige geeignete gewünschte Dicke sein kann.
  • Das Matrixmaterial, oder das grundlegende dielektrische Material, kann unter Verwendung eines Prozesses wie PECVD gebildet werden, obwohl alternativ jeder beliebige geeignete Prozess wie etwa eine chemische Abscheidung aus der Dampfphase (CVD), eine physikalische Abscheidung aus der Dampfphase (PVD), oder sogar eine Aufschleuderbeschichtung verwendet werden kann. Der PECVD-Prozess kann Vorläufer wie etwa Methyldiethoxisilan (DEMS) benutzen, obwohl alternativ andere Vorläufer wie etwa andere Silane, Alkylsilane (z. B. Trimethylsilan und Tetramethylsilan), Alkoxisilane (z. B. Methyltriethoxisilan (MTEOS), Methyltrimethoxisilan (MTMOS), Methyldimethoxisilan (MDMOS), Trimethylmethoxisilan (TMMOS) und Dimethyldimethoxisilan (DMDMOS)), lineare Siloxane und zyklische Siloxane (z. B. Octamethylcyclotetrasiloxan (OMCTS) und Tetramethylcyclotetrasiloxan (TMCTS)), Kombinationen davon, und dergleichen benutzt werden können. Doch wie ein Durchschnittsfachmann erkennen wird, sind die hier angeführten Materialien und Prozesse lediglich erläuternd und sollen sie die Ausführungsformen nicht beschränken, da alternativ beliebige andere geeignete Matrixvorläufer benutzt werden können.
  • Der Porenbildner kann ein Molekül sein, dass aus dem Matrixmaterial entfernt werden kann, nachdem das Matrixmaterial ausgehärtet hat, um Poren in der Matrix zu bilden und dadurch den gesamten Wert der dielektrischen Konstanten der ersten dielektrischen Schicht zu verringern. Der Porenbildner kann ein Material sein, das groß genug ist, um die Poren zu bilden, während es auch klein genug bleibt, dass die Größe der einzelnen Poren das Matrixmaterial nicht allzu sehr verschiebt. Daher kann der Porenbildner ein organisches Molekül wie etwa Alpha-Terpinen (ATRP) (1-Isopropyl-4-Methyl-1,3-Cyclohexadien) oder Cyclooctan (bootförmig) oder 1,2-Bis(triethoxisilyl)ethansilizium umfassen.
  • Nach der Bildung der Vorläuferschicht mit dem in dem Matrixmaterial zerstreuten Porenbildner wird der Porenbildner aus dem Matrixmaterial entfernt, um die Poren in dem Matrixmaterial zu bilden. Bei einer Ausführungsform wird das Entfernen des Porenbildners durch einen Ausglühprozess vorgenommen, der das Porenbildnermaterial zerlegen und verdampfen kann, wodurch dem Porenbilder gestattet wird, zu diffundieren und das Matrixmaterial zu verlassen, was ein strukturell intaktes poröses dielektrisches Material als die erste dielektrische Schicht 16 zurücklässt. Zum Beispiel kann ein Ausglühen in einem Bereich von etwa 200°C bis etwa 500°C, wie etwa 400°C, für einen Bereich von etwa 10 Sekunden bis etwa 600 Sekunden, wie etwa 200 Sekunden, benutzt werden.
  • Doch wie ein Durchschnittsfachmann erkennen wird, ist der oben beschriebene thermische Prozess nicht das einzige Verfahren, das benutzt werden kann, um den Porenbildner aus dem Matrixmaterial zu entfernen um die erste dielektrische Schicht 16 zu bilden. Alternativ können andere geeignete Prozesse benutzt werden, wie etwa das Bestrahlen des Porenbildners mit UV-Strahlung, um den Porenbildner zu zerlegen, oder das Benutzen von Mikrowellen, um den Porenbildern zu zerlegen. Diese und beliebige andere geeignete Prozesse, um den gesamten Porenbildner oder einen Teil davon zu entfernen, sollen durchaus in dem Umfang der Ausführungsformen enthalten sein.
  • Doch die wie oben beschriebene erste dielektrische Schicht 16 besitzt nicht den gewünschten Widerstand, um einer unausgewogenen Beanspruchung, die während eines Strukturierungsprozesses auftreten kann, zu widerstehen. Zum Beispiel können sich Grabenöffnungen, die sich neben einer Durchkontaktierungsöffnung befinden, um ein anderes Ausmaß verformen, als eine Grabenöffnung, die sich weiter von der Durchkontaktierungsöffnung weg befindet, indem sie etwa die dritte entfernte Grabenöffnung von der Durchkontaktierungsöffnung ist. Bei einem bestimmten Beispiel kann jede der Grabenöffnungen durch einen Prozess strukturiert werden, der versucht, die Grabenöffnungen so zu bilden, dass sie eine gleichartige Breite aufweisen, doch kann es sein, dass diese gewünschte Breite bei einer Grabenöffnung, die sich neben der Durchkontaktierungsöffnung befindet, aufgrund der unausgewogenen Kräfte in jeder Öffnung verglichen mit der Dicke, die durch eine von der Durchkontaktierungsöffnung entfernte Grabenöffnung erreicht wird, um 4 nm oder 5 nm oder 6 nm verringert ist. Diese Verringerungen und Unterschiede zwischen den verschiedenen Grabenöffnungen könnten bei anschließenden Metallisierungsprozessen (weiter unten besprochen) Spaltfüllprobleme verursachen.
  • 2 veranschaulicht das Bilden einer Einsatzschicht 18 über der ersten dielektrischen Schicht 16, um einen Rahmen für eine zusätzliche strukturelle Stütze zu bilden, um die Robustheit der ersten dielektrischen Schicht 16 und anderer anschließend abgelagerter Schichten zu erhöhen. Zudem beeinflusst die Aufnahme der Einsatzschicht 18 die Kapazität weniger als ein einfaches Abändern des Bulkfilms von einem Low-k-Dielektrikumsfilm. Bei einer Ausführungsform ist die Einsatzschicht 18 ein Material mit einer größeren Härte und einem höheren k-Wert als die erste dielektrische Schicht 16. Zum Beispiel kann die Einsatzschicht 18 bei einer Ausführungsform, bei der die erste dielektrische Schicht 16 eine Härte in einem Bereich von etwa 1,5 GPa bis etwa 3,0 GPa, wie etwa 2 GPa, aufweist, eine Härte von mehr als etwa 8 GPa, wie etwa in einem Bereich von etwa 10 GPa bis etwa 13 GPa, wie etwa 12 GPa, aufweisen. Mit anderen Worten kann die Einsatzschicht 18 eine Härte aufweisen, die um zumindest 5 GPa höher als jene der ersten dielektrischen Schicht 16 ist. In gleicher Weise kann die Einsatzschicht 18 bei einer Ausführungsform, bei der die erste dielektrische Schicht einen k-Wert in einem Bereich von etwa 2,3 bis etwa 2,9 aufweist, einen k-Wert von mehr als etwa 3,0 aufweisen.
  • Bei einigen Ausführungsformen kann die Einsatzschicht 18 ein Material wie etwa SixOy (z. B. SiO2), SixCy (z. B. SiC), SixOyCz (z. B. SiOC), und SixCyNz (z. B. SiCN), Kombinationen davon, oder dergleichen umfassen. Es kann jedoch jedes beliebige geeignete Material verwendet werden, um der ersten dielektrischen Schicht 16 die zusätzliche strukturelle Stütze bereitzustellen.
  • Bei einer Ausführungsform kann die Einsatzschicht 18 unter Verwendung eines Ablagerungsprozesses wie etwa der chemischen Abscheidung aus der Gasphase (CVD), der Atomlagenabscheidung (ALD), der physikalischen Abscheidung aus der Gasphase (PVD), der plasmaunterstützten CVD (PECVD), der Schleuderbeschichtung, oder dergleichen gebildet werden. Bei anderen Ausführungsformen, wie etwa einer Ausführungsform, bei der SiO2 gebildet wird, kann eine anfängliche Schicht aus einem ersten Material wie etwa Silizium abgelagert oder gebildet werden und die Schicht dann mit z. B. Sauerstoff behandelt werden, um das endgültige Material für die Einsatzschicht 18 zu bilden. Es kann jeder beliebige geeignete Prozess verwendet werden, um die Einsatzschicht 18 zu bilden. Die Einsatzschicht 18 kann zu einer zweiten Dicke T2 in einem Bereich von etwa 10 Å bis etwa 100 Å, wie etwa 50 Å, ausgeführt werden.
  • 3 veranschaulicht das Bilden einer zweiten dielektrischen Schicht 20 über der Einsatzschicht 18. Bei einer Ausführungsform kann die zweite dielektrische Schicht 20 aus einem ähnlichen Material und auf eine ähnliche Weise wie die erste dielektrische Schicht 16 gebildet werden. Zum Beispiel kann die zweite dielektrische Schicht 20 ein poröses Material wie ATRP (1-Isopropyl-4-Methyl-1,3-Cyclohexadien) oder Cyclooctan (Bootform) oder 1,2-Bis(triethoxisilyl)ethansilizium umfassen, das durch anfängliches Anordnen eines Matrixmaterials und eines Porenbildners und dann Entfernen des Porenbildners gebildet wird. Doch bei anderen Ausführungsformen kann die zweite dielektrische Schicht 20 ein anderes Material als die erste dielektrische Schicht 16 sein. Es kann jede beliebige geeignete Kombination von Materialien gebildet werden. Bei einer Ausführungsform kann die zweite dielektrische Schicht 20 zu einer dritten Dicke T3 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 600 Å, ausgeführt werden.
  • 4 veranschaulicht das Strukturieren der zweiten dielektrischen Schicht 20, der Einsatzschicht 18, der ersten dielektrischen Schicht 146 und der Ätzstoppschicht 14, falls eine solche vorhanden ist, um Öffnungen 22 zum Freilegen von Teilen der leitenden Elemente 12 zu bilden. Die Öffnungen 22 gestatten, dass ein Teil der Zwischenverbindungen 24 einen physischen und elektrischen Kontakt mit den leitenden Elementen 12 herstellt. Bei einigen Ausführungsformen sind die Öffnungen 22 Dual-Damascene-Öffnungen, die obere Grabenabschnitte 22A und untere Durchkontaktierungsöffnungsabschnitte 22B umfassen. Obwohl die Ausführungsformen Dual-Damascene-Öffnungen in den Schichten 16, 18 und 20 veranschaulichen, ist das Verfahren, das in der vorliegenden Anmeldung offenbart wird, auf eine Ausführungsform mit Einzel-Damascene-Öffnungen in den Schichten anwendbar. Bei Dual-Damascene-Technologien, die ein ”Durchkontaktierung zuerst”-Strukturierungsverfahren oder ein ”Graben zuerst”-Strukturierungsverfahren beinhalten, können der obere Grabenabschnitt 22A und der untere Durchkontaktierungsöffnungsabschnitt 22B unter Verwendung der Photolithographie mit Maskierungstechnologien und anisotrope Ätztätigkeiten (z. B. Plasmaätzung oder reaktive Ionenätzung) gebildet werden.
  • Zum Beispiel wird bei einer Ausführungsform für ”Durchkontaktierung zuerst” ein erstes Photoresist (nicht gezeigt) über der zweiten dielektrischen Schicht 20 gebildet und strukturiert, um einen Teil der zweiten dielektrischen Schicht 20 freizulegen. Das erste Photoresist kann unter Verwendung einer Aufschleudertechnik gebildet werden und kann unter Verwendung annehmbarer Photolithographietechniken strukturiert werden. Sobald das erste Photoresist strukturiert ist, wird ein erster anisotroper Ätzprozess vorgenommen, um die Durchkontaktierungsöffnungen zu den leitenden Elementen 12 zu bilden, und kann das erste Photoresist als Maske für den Ätzprozess dienen. Nach dem ersten anisotropen Ätzprozess wird das erste Photoresist entfernt, etwa durch einen annehmbaren Veraschungsprozess. Nach dem Entfernen des ersten Photoresists wird ein zweites Photoresist über der zweiten dielektrischen Schicht 20 gebildet und strukturiert, um einen Teil der zweiten dielektrischen Schicht 20 freizulegen. Das zweite Photoresist kann unter Verwendung einer Aufschleudertechnik gebildet werden und kann unter Verwendung annehmbarer Photolithographietechniken strukturiert werden. Sobald das zweite Photoresist strukturiert ist, wird ein zweiter anisotroper Ätzprozess vorgenommen, um den Grabenabschnitt der Öffnungen zu bilden, und kann das zweite Photoresist als Maske für den Ätzprozess wirken. Nach dem zweiten anisotropen Ätzprozess wird das zweite Photoresist entfernt, etwa durch einen annehmbaren Veraschungsprozess.
  • Während frühere Prozesse (die keine Einsatzschicht 18 benutzen) zu unausgewogenen Beanspruchungen von nahegelegenen Durchkontaktierungsätzprozessen führten, die zu einem Biegen der oberen Öffnung von Gräben neben der Durchkontaktierung führten und Probleme kritischer Abmessungsunterschiede in einem Bereich von Grabenöffnungen an unterschiedlichen Stellen um die Vorrichtung verursachten, wird das Vorhandensein der Einsatzschicht 18 dabei helfen, die erste dielektrische Schicht 16 und die zweite dielektrische Schicht 20 an einem Biegen oder Zusammenfallen zu hindern. Daher wird die Oberseite der Öffnungen 22 die gewünschte Form besser behalten und können Öffnungen 22 über die Vorrichtung weniger Schwankungen aufweisen. Während, zum Beispiel, frühere Prozesse ohne die Einsatzschicht 18 zu einem Biegen führen können, das Breitenschwankungen in einem Bereich von unterschiedlichen Öffnungen 22 in einem Bereich von etwa 5 nm bis etwa 6 nm, wie etwa 5,5 nm (oder mehr als 10% der gewünschten Struktur), verursachen könnte, kann die Aufnahme der Einsatzschicht 18 das Ausmaß des Biegens an der Oberseite der Öffnungen 22 verringern.
  • 5 veranschaulicht ein Füllen der Öffnungen 2 mit einem leitenden Material 24. Bei einer Ausführungsform können die Öffnungen 22 mit einer Sperrschicht 23 und einem leitenden Material 24 gefüllt werden. Die Sperrschicht 23 kann ein leitendes Material wie etwa Titannitrid umfassen, obwohl alternativ andere Materialien wie etwa Tantalnitrid, Titan, ein Dielektrikum, oder dergleichen benutzt werden können. Die Sperrschicht 23 kann unter Verwendung eines CVD-Prozesses wie etwa PECVD gebildet werden. Bei einigen Ausführungsformen wird die Sperrschicht 23 so ausgeführt, dass sie eine Dicke in einem Bereich von etwa 10 Å bis etwa 1000 Å aufweist. Es können jedoch andere Prozesse wie etwa Sputtern oder die metallorganische chemische Abscheidung aus der Gasphase (MOCVD) verwendet werden. Die Sperrschicht 23 wird so gebildet, dass sie sich formgenau an die darunter liegende Form der Öffnungen 22 legt.
  • Das leitende Material 24 kann Kupfer umfassen, obwohl alternativ andere geeignete Materialien wie etwa Aluminium, Legierungen, dotiertes Polysilizium, Kombinationen davon, und dergleichen benutzt werden können. Das leitende Material kann gebildet werden, indem zuerst eine Saatschicht (in 5 nicht gesondert dargestellt) gebildet wird und dann Kupfer elektrisch auf die Saatschicht plattiert wird, wodurch die Öffnungen 22 gefüllt und überfüllt werden.
  • Sobald die Öffnungen 22 gefüllt sind, veranschaulicht 6 das Entfernen der überschüssigen Sperrschicht 23 und des überschüssigen leitenden Materials 24 außerhalb der Öffnungen 22, um Zwischenverbindungen 24 zu bilden. Bei einigen Ausführungsformen erfolgt das Entfernen der überschüssigen Sperrschicht 23 und des überschüssigen leitenden Materials 24 durch einen Schleifprozess wie etwa chemisch-mechanisches Polieren (CMP), obwohl jeder beliebige geeignete Entfernprozess verwendet werden kann. Bei einigen Ausführungsformen sind die Zwischenverbindungen 24 Dual-Damascene-Zwischenverbindungen, die einen Grabenabschnitt 24A und einen Durchkontaktierungsabschnitt 24B umfassen. Bei einigen Ausführungsformen weisen die Durchkontaktierungsabschnitte 24B der Zwischenverbindungen 24 von einer Oberfläche des Substrats 10 gemessene Höhen D1 auf, wobei die Höhen D1 in einem Bereich von etwa 400 Å bis etwa 450 Å liegen. Bei einigen Ausführungsformen weisen die Grabenabschnitte 24A von oberen Flächen der Grabenabschnitte 24A zu Bodenflächen der Grabenabschnitte 24A gemessene Höhen D2 auf, wobei die Höhen D2 in einem Bereich von etwa 410 Å bis etwa 460 Å liegen. Bei einigen Ausführungsformen sind die Bodenflächen der Grabenabschnitte 24A der Zwischenverbindungen 24 um einen Abstand D3 von einer oberen Fläche der Einsatzschicht 18 getrennt, wobei der Abstand D3 in einem Bereich von etwa 20 Å bis etwa 130 Å liegt.
  • Durch das Bilden der Einsatzschicht 18, um der ersten dielektrischen Schicht 16 wie auch der zweiten dielektrischen Schicht zusätzliche Stütze bereitzustellen, können die Entstellung und das Biegen, die normalerweise während des Strukturierungsprozesses auftreten würden, abgeschwächt oder verhindert werden. Somit können die nachteiligen Wirkungen dieser unerwünschten Entstellungen, wie etwa veränderliche verringerte Breiten entlang einer Oberseite der Öffnungen 22, verhindert werden. Derartige Verhinderungen ermöglichen weniger Fehler während des anschließenden Füllprozesses.
  • 7 veranschaulicht eine Schnittansicht eines Zwischenverbindungsaufbaus nach einer anderen Ausführungsform. Die Ausführungsform in 7 ist den Ausführungsformen, die in 1 bis 6 veranschaulicht sind, ähnlich, außer dass die Einsatzschicht 18 bei dieser Ausführungsform im Gegensatz zu dem Durchkontaktierungsabschnitt bei der vorhergehenden Ausführungsform in dem Grabenabschnitt der Zwischenverbindungen 24 gebildet ist. Die Materialien und die Bildungsprozesse der ersten dielektrischen Schicht 16, der Einsatzschicht 18 und der zweiten dielektrischen Schicht 20 können jenen bei der vorher beschriebenen Ausführungsform ähnlich sein, außer dass die relativen Dicken der Schichten verändert werden können, und daher wird die Beschreibung dieser Schichten hier nicht wiederholt. Einzelheiten im Hinblick auf diese Ausführungsform, die jenen für die vorher beschriebene Ausführungsform ähnlich sind, werden hier nicht wiederholt werden.
  • Bei dieser Ausführungsform kann die erste dielektrische Schicht 16 eine vierte Dicke T4 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 600 Å, aufweisen, kann die Einsatzschicht 18 eine zweite Dicke T2 aufweisen, und kann die zweite dielektrische Schicht 20 eine fünfte Dicke T5 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 300 Å, aufweisen. Bei dieser Ausführungsform sind die Bodenflächen der Grabenabschnitte 24A der Zwischenverbindungen 24 um einen Abstand D4 von einer Bodenfläche der Einsatzschicht 18 getrennt, wobei der Abstand D4 in einem Bereich von etwa 30 Å bis etwa 150 Å liegt.
  • 8 bis 11 sind Schnittansichten von Zwischenstadien bei der Herstellung eines Zwischenverbindungsaufbaus nach einer anderen Ausführungsform. Diese Ausführungsform ist der in 1 bis 6 veranschaulichten Ausführungsform ähnlich, außer dass die Einsatzschicht bei dieser Ausführungsform im Gegensatz zu dem Ablagerungsprozess bei der vorherigen Ausführungsform durch einen Plasmabehandlungsprozess gebildet wird. Einzelheiten hinsichtlich dieser Ausführungsform, die jenen für die vorher beschriebene Ausführungsform gleich sind, werden hier nicht wiederholt werden.
  • 8 befindet sich an dem gleichen Bearbeitungspunkt wie die oben beschriebene 1, und die Beschreibung der Prozesse und Schritte, die bis zu diesem Punkt durchgeführt wurden, wird hier nicht widerholt. 8 enthält das Substrat 10, die leitenden Elemente 12, die optionale Ätzstoppschicht 14 und die erste dielektrische Schicht 16.
  • 9 veranschaulicht die Bildung der Einsatzschicht 26 über der ersten dielektrischen Schicht 16, um einen Rahmen für eine zusätzliche strukturelle Stütze zu bilden, um die Robustheit der ersten dielektrischen Schicht 16 und anderer anschließend abgelagerter Schichten zu erhöhen. Zudem beeinflusst die Aufnahme der Einsatzschicht 26 die Kapazität weniger als ein einfaches Abändern des Bulkfilms von einem Low-k-Dielektrikumsfilm. Bei einer Ausführungsform ist die Einsatzschicht 26 ein Material mit einer größeren Härte und einem höheren k-Wert als die erste dielektrische Schicht 16. Zum Beispiel weist bei einigen Ausführungsformen die erste dielektrische Schicht 16 einen k-Wert von etwa 2,6 oder weniger auf, und weist die Einsatzschicht 26 einen k-Wert von mehr als 2,8, wie etwa 3,0, auf.
  • Bei einigen Ausführungsformen wird die Einsatzschicht 26 durch Vornehmen eines Plasmabehandlungsprozesses an der ersten dielektrischen Schicht 16 gebildet. Der Plasmabehandlungsprozess kann Plasmareaktionsgase wie etwa He, Ar, NH3, CO2, N2, O2, dergleichen, oder eine Kombination davon beinhalten. Bei einigen Ausführungsformen kann der Plasmabehandlungsprozess bei einer Temperatur in einem Bereich von etwa 200°C bis etwa 400°C, bei einem Druck in einem Bereich von etwa 0,5 Torr bis etwa 10 Torr, und bei einer Behandlungsleistung (manchmal als Entladungsleistung und/oder Beschussintensität bezeichnet) in einem Bereich von etwa 100 Watt (W) bis etwa 500 Watt vorgenommen werden. Bei einigen Ausführungsformen ist das Plasmasystem ein Direct-Plasma-System, und bei anderen Ausführungsformen ist das Plasmasystem ein Remote-Plasma-System. Der Plasmabehandlungsprozess kann zumindest einen oberen Abschnitt der ersten dielektrischen Schicht 16 in die Einsatzschicht 26 umwandeln, so dass die Dicke der ersten dielektrischen Schicht 16 verringert wird. Bei einigen Ausführungsformen wird die Einsatzschicht 26 zumindest teilweise aus der plasmabehandelten ersten dielektrischen Schicht 16 gebildet, während die Einsatzschicht 26 bei anderen Ausführungsformen hauptsächlich aus der plasmabehandelten ersten dielektrischen Schicht 26 besteht.
  • 10 veranschaulicht das Bilden der zweiten dielektrischen Schicht 20 über der Einsatzschicht 26. Bei einer Ausführungsform kann die zweite dielektrische Schicht 20 aus einem ähnlichen Material und auf eine ähnliche Weise wie die erste dielektrische Schicht 16 gebildet werden. Doch bei anderen Ausführungsformen kann die zweite dielektrische Schicht 20 ein anderes Material als die erste dielektrische Schicht 16 sein. Es kann jede beliebige geeignete Kombination von Materialien gebildet werden. Bei einer Ausführungsform kann die zweite dielektrische Schicht 20 zu einer Dicke T8 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 600 Å, ausgeführt werden. Die Einsatzschicht 26 kann zu einer Dicke T7 in einem Bereich von etwa 10 Å bis etwa 100 Å, wie etwa 50 Å, ausgeführt werden. Die erste dielektrische Schicht 16 kann zu einer Dicke T6 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 300 Å, ausgeführt werden.
  • Nachdem die zweite dielektrische Schicht 20 gebildet wurde, wird eine Bearbeitung, die der oben in 4, 5 und 6 beschriebenen gleich ist, vorgenommen, um den Aufbau von 11, der sich an einem gleichen Bearbeitungspunkt wie 6 befindet, zu bilden. Die Prozesse und Schritte von 4, 5 und 6 wurden oben beschrieben und werden hier nicht wiederholt.
  • 12 ist eine Schnittansicht eines Zwischenverbindungsaufbaus nach einer anderen Ausführungsform. Die Ausführungsform in 12 ist der in 8 bis 11 veranschaulichten Ausführungsform ähnlich, außer dass die Einsatzschicht 26 bei dieser Ausführungsform im Gegensatz zu dem Durchkontaktierungsabschnitt bei der vorhergehenden Ausführungsform in dem Grabenabschnitt der Zwischenverbindungen 24 gebildet ist. Die Materialien und die Bildungsprozesse der ersten dielektrischen Schicht 16, der Einsatzschicht 26 und der zweiten dielektrischen Schicht 20 können jenen bei der vorher beschriebenen Ausführungsform ähnlich sein, außer dass die relativen Dicken der Schichten verändert werden können, und daher wird die Beschreibung dieser Schichten hier nicht wiederholt. Einzelheiten im Hinblick auf diese Ausführungsform, die jenen für die vorher beschriebene Ausführungsform ähnlich sind, werden hier nicht wiederholt werden.
  • Bei dieser Ausführungsform kann die erste dielektrische Schicht 16 eine Dicke T9 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 600 Å, aufweisen, kann die Einsatzschicht 26 die Dicke T7 aufweisen, und kann die zweite dielektrische Schicht 20 eine Dicke T10 in einem Bereich von etwa 10 Å bis etwa 1000 Å, wie etwa 300 Å, aufweisen. Bei dieser Ausführungsform sind die Bodenflächen der Grabenabschnitte 24A der Zwischenverbindungen 24 um den Abstand D4 von einer Bodenfläche der Einsatzschicht 26 getrennt.
  • Durch Bereitstellen der Einsatzschicht als Rahmen für eine zusätzliche strukturelle Stütze kann das normalerweise schwächere poröse Material der ersten dielektrischen Schicht 16 und der zweiten dielektrischen Schicht 20 gestützt werden. Diese zusätzliche Stütze hilft, Abweichungen zwischen verschiedenen Öffnungen, die durch deren Nähe (oder fehlende Nähe) zu benachbarten Öffnungen verursacht werden, zu verringern. Dies verhindert Komplikationen, die während anschließender Spaltfüllprozessen auftreten könnten.
  • 14 bis 18, 19A bis 19C, 20A bis 20C, 21A bis 21C, 22A bis 22C, 23A bis 23C, 24A bis 24C, 25A bis 25C, 26A bis 26C und 27A bis 27C sind Schnittansichten von Zwischenstadien bei der Herstellung von FinFETS mit Zwischenaufbauten nach einigen Ausführungsformen.
  • 13 veranschaulicht ein Beispiel für einen Fin-Feldeffekttransistor (FinFET) 30 in einer dreidimensionalen Ansicht. Der FinFET 30 umfasst eine Finne 36 auf einem Substrat 32. Das Substrat 32 umfasst Isolationsbereiche 34, und die Finne 36 ragt nach oben und von dem Bereich zwischen benachbarten Isolationsbereichen 34. Entlang von Seitenwänden und über einer oberen Fläche der Finne 36 befindet sich ein Gate-Dielektrikum 38, und über dem Gatedielektrikum 38 befindet sich eine Gateelektrode 40. Source/Drain-Bereiche 42 und 44 befinden in Bezug auf das Gate-Dielektrikum 38 und die Gateelektrode 40 an entgegengesetzten Seiten der Finne 36. 13 veranschaulicht ferner Referenzquerschnitte, die in späteren Figuren verwendet werden. Der Querschnitt A-A verläuft quer über einen Kanal, das Gate-Dielektrikum 38 und die Gateelektrode 40 des FinFET 30. Der Querschnitt B/C-B/C verläuft senkrecht zu dem Querschnitt A-A und entlang einer Längsachse der Finne 36 und, zum Beispiel, in einer Richtung eines Stromflusses zwischen den Source/Drain-Bereichen 42 und 44. Die nachfolgenden Figuren beziehen sich zur Klarheit auf diese Referenzquerschnitte.
  • Einige hier besprochene Ausführungsformen sind in dem Kontext von FinFETs, die unter Verwendung eines ”Gate zuletzt”-Prozesses gebildet sind, besprochen. Bei anderen Ausführungsformen kann ein ”Gate zuerst”-Prozess verwendet werden. Außerdem fassen einige Ausführungsformen Gesichtspunkte ins Auge, die bei flachen Vorrichtungen wie etwa flachen FETs verwendet werden.
  • 14 bis 27C sind Querschnitte von Zwischenstadien bei der Herstellung von FinFETs nach einer beispielhaften Ausführungsform. 14 bis 18 veranschaulichen, ausgenommen für mehrere FinFETs, den Referenzquerschnitt A-A, der in 13 veranschaulicht ist. In 19A bis 27C sind Figuren, die mit einem ”A” enden, entlang eines gleichartigen Querschnitts A-A veranschaulicht; Figuren, die mit einem ”B” enden, entlang eines gleichartigen Querschnitts B/C-B/C und in einem ersten Bereich auf einem Substrat veranschaulicht; und Figuren, die mit einem ”C” enden, entlang eines gleichartigen Querschnitts B/C-B/C und in einem zweiten Bereich auf einem Substrat veranschaulicht.
  • 14 veranschaulicht ein Substrat 50. Das Substrat 50 kann ein Halbleitersubstrat wie etwa ein Bulkhalbleiter, ein Halbleiter-auf-Isolator(SOI)-Substrat, oder dergleichen sein, das dotiert (z. B. mit einem p-Typ- oder einem n-Typ-Dotiermittel) oder undotiert sein kann. Das Substrat 50 kann ein Wafer wie etwa ein Siliziumwafer sein. Im Allgemeinem umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, die auf einer Isolatorschicht gebildet ist. Die Isolatorschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX), eine Siliziumoxidschicht, oder dergleichen sein. Die Isolatorschicht ist auf einem Substrat, typischerweise einem Silizium- oder einem Glassubstrat bereitgestellt. Andere Substrate wie etwa ein mehrschichtiges oder ein Gradientensubstrat können ebenfalls verwendet werden. Bei einigen Ausführungsformen kann das Halbleitermaterial des Substrats 50 Silizium; Germanium; einen Verbindungshalbleiter einschließlich Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid, und/oder Indiumantimonid; einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, und/oder GaInAsP; oder Kombinationen davon umfassen.
  • Das Substrat 50 weist einen ersten Bereich 50B und einen zweiten Bereich 50C auf. Der erste Bereich 50B (der nachfolgenden Figuren, die mit ”B” enden, entspricht) kann zur Bildung von n-Typ-Vorrichtungen wie etwa NMOS-Transistoren wie n-Typ-FinFETs dienen. Der zweite Bereich 50C (der nachfolgenden Figuren, die mit einem ”C” enden, entspricht), kann zur Bildung von p-Typ-Vorrichtungen wie etwa PMOS-Transistoren wie p-Typ-FinFETs dienen.
  • 15 und 16 veranschaulichen die Bildung von Finnen 52 und Isolationsbereichen 54 zwischen benachbarten Finnen 52. In 15 werden in dem Substrat 50 Finnen 52 gebildet. Bei einigen Ausführungsformen können die Finnen 52 durch Ätzen von Gräben in das Substrat 50 in dem Substrat 50 gebildet werden. Das Ätzen kann jeder beliebige annehmbare Ätzprozess sein, wie etwa reaktives Ionenätzen (RIE), Neutralteilchenstrahlätzen (NBE), dergleichen, oder eine Kombination davon. Das Ätzen kann anisotrop sein.
  • In 16 wird zwischen benachbarten Finnen 52 ein Isolationsmaterial 54 gebildet, um die Isolationsbereiche 54 zu bilden. Das Isolationsmaterial 54 kann ein Oxid wie Siliziumoxid, ein Nitrid, dergleichen, oder eine Kombination davon sein und kann durch eine chemische Abscheidung aus der Dampfphase mit hochdichtem Plasma (HDP-CVD), eine fließfähige CVD (FCVD) (z. B. eine CVD-basierte Materialablagerung in einem Remote-Plasma-System und eine Nachhärtung, um sie zur Umwandlung in ein anderes Material wie etwa ein Oxid zu bringen), dergleichen, oder eine Kombination davon gebildet werden. Es können andere Isolationsmaterialien, die durch jeden beliebigen annehmbaren Prozess gebildet werden, verwendet werden. Sobald das Isolationsmaterial gebildet ist, kann ein Ausglühprozess vorgenommen werden. Bei der veranschaulichten Ausführungsform ist das Isolationsmaterial 54 Siliziumoxid, das durch einen FCVD-Prozess gebildet wird. Das Isolationsmaterial 54 kann als Isolationsbereiche 54 bezeichnet werden. Ferner kann in 5 und in Schritt 504 ein Planarisierungsprozess wie etwa ein chemisch-mechanisches Polieren (CMP) jegliches überschüssige Isolationsmaterial 54 entfernen und obere Flächen der Isolationsbereiche 54 und obere Flächen der Finnen 52 bilden, die koplanar sind.
  • 17 veranschaulicht das Vertiefen der Isolationsbereiche 54, um Grabenisolations(STI)-Bereiche 54 zu bilden. Die Isolationsbereiche 54 werden so vertieft, dass Finnen 56 in dem ersten Bereich 50B und in dem zweiten Bereich 50C von Bereichen zwischen benachbarten Isolationsbereichen 54 ragen. Ferner können die oberen Flächen der Isolationsbereiche 54 wie veranschaulicht eine flache Oberfläche, eine konvexe Oberfläche, eine konkave Oberfläche (wie etwa eine Schüsselform), oder eine Kombination davon aufweisen. Die oberen Flächen der Isolationsbereiche 54 können durch passendes Ätzen flach, konvex und/oder konkav ausgeführt werden. Die Isolationsbereiche 54 können unter Verwendung eines annehmbaren Ätzprozesses wie etwa eines, der gegenüber dem Material der Isolationsbereiche 54 selektiv ist, vertieft werden. Zum Beispiel kann eine chemische Oxidentfernung unter Verwendung einer CERTAS®-Ätzung oder eines SICONI-Werkzeugs von Applied Materials oder von verdünnter Flusssäure (dHF) verwendet werden.
  • Ein Durchschnittsfachmann wird leicht verstehen, dass der unter Bezugnahme auf 15 bis 17 beschriebene Prozess nur ein Beispiel dafür ist, wie Finnen 56 gebildet werden können. Bei anderen Ausführungsformen kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; können Gräben durch die dielektrische Schicht geätzt werden; können in den Gräben homoepitaktische Aufbauten epitaktisch gezüchtet werden; und kann die dielektrische Schicht so vertieft werden, dass die homoepitaktischen Aufbauten von der dielektrischen Schicht ragen, um Finnen zu bilden. Bei noch anderen Ausführungsformen können für die Finnen heteroepitaktische Aufbauten verwendet werden. Zum Beispiel können die Halbleiterstreifen 52 in 16 vertieft werden und kann an ihrer Stelle ein Material, das sich von den Halbleiterstreifen 52 unterscheidet, epitaktisch gezüchtet werden. Bei noch einer weiteren Ausführungsform kann eine dielektrische Schicht über einer oberen Fläche des Substrats 50 gebildet werden; können Gräben durch die dielektrische Schicht geätzt werden; können in den Gräben unter Verwendung eines Materials, das sich von dem Substrat 50 unterscheidet, heteroepitaktische Aufbauten epitaktisch gezüchtet werden; und kann die dielektrische Schicht vertieft werden, so dass die heteroepitaktischen Aufbauten von der dielektrischen Schicht ragen, um Finnen 56 zu bilden. Bei einigen Ausführungsformen, bei denen homoepitaktische oder heteroepitaktische Aufbauten epitaktisch gezüchtet werden, können die gezüchteten Materialien während des Wachstums vor Ort dotiert werden, wodurch vorhergehende und nachfolgende Implantationen vermieden werden können, obwohl ein Dotieren vor Ort und durch Implantation gemeinsam verwendet werden kann. Und ferner kann es vorteilhaft sein, in einem NMOS-Bereich ein Material, das sich von dem Material in einem PMOS-Bereich unterscheidet, epitaktisch zu züchten. Bei verschiedenen Ausführungsformen können die Finnen 56 Siliziumgermanium (SixGe1-x, wobei x zwischen ungefähr 0 und 100 liegen kann), Siliziumcarbid, reines oder im Wesentlichen reines Germanium, einen III-V-Verbindungshalbleiter, einen II-VI-Verbindungshalbleiter, oder dergleichen umfassen. Zum Beispiel beinhalten die verfügbaren Materialien zur Bildung eines III-V-Verbindungshalbleiters, jedoch ohne Beschränkung darauf, InAs, AlAs, GaAs, InP, GaN, InGaAs, InAlAs, GaSb, AlSb, AlP, GaP und dergleichen.
  • In 17 können in den Finnen 56, den Finnen 52 und/oder dem Substrat 50 passende Wannen gebildet werden. Zum Beispiel kann in dem ersten Bereich 50B eine P-Wanne gebildet werden, und in dem zweiten Bereich 50C eine N-Wanne gebildet werden.
  • Die verschiedenen Implantationsschritte für die verschiedenen Bereiche 50B und 50c können unter Verwendung eines Photoresists oder anderer Masken (nicht gezeigt) erreicht werden. Zum Beispiel wird ein Photoresist über den Finnen 56 und den Isolationsbereichen 54 in dem ersten Bereich 50B gebildet. Das Photoresist wird strukturiert, um den zweiten Bereich 50C des Substrats wie etwa einen PMOS-Bereich freizulegen. Das Photoresist kann unter Verwendung einer Aufschleudertechnik gebildet werden und unter Verwendung annehmbarer Photolithographietechniken strukturiert werden. Sobald das Photoresist strukturiert ist, wird in dem zweiten Bereich 50C eine n-Typ-Verunreinigungsimplantation vorgenommen und kann das Photoresist als Maske dienen, um im Wesentlichen zu verhindern, dass n-Typ-Verunreinigungen in den ersten Bereich 50B wie etwa einen NMOS-Bereich implantiert werden. Die n-Typ-Verunreinigungen können Phosphor, Arsen, oder dergleichen sein und werden bis zu einer Konzentration von gleich oder weniger als 1018 cm–3, wie etwa einem Bereich von etwa 1017 cm–3 bis etwa 1018 cm–3, in den ersten Bereich implantiert. Nach der Implantation wird das Photoresist entfernt, etwa durch einen annehmbaren Veraschungsprozess.
  • Im Anschluss an die Implantation in dem zweiten Bereich 50C wird ein Photoresist über den Finnen 56 und den Isolationsbereichen 54 in dem zweiten Bereich 50C gebildet. Das Photoresist wird strukturiert, um den ersten Bereich 50B des Substrats wie etwa den NMOS-Bereich freizulegen. Das Photoresist kann durch eine Aufschleudertechnik gebildet werden und unter Verwendung annehmbarer Photolithographietechniken strukturiert werden. Sobald das Photoresist strukturiert ist, kann in dem ersten Bereich 50B eine p-Typ-Verunreinigungsimplantation vorgenommen werden und kann das Photoresist als Maske dienen, um im Wesentlichen zu verhindern, dass p-Typ-Verunreinigungen in den zweiten Bereich wie etwa den PMOS-Bereich implantiert werden. Die p-Typ-Verunreinigungen können Bor, FB2 oder dergleichen sein und werden bis zu einer Konzentration von gleich oder weniger als 1018 cm–3, wie etwa in einem Bereich von etwa 1017 cm–3 bis etwa 1018 cm–3, in den ersten Bereich implantiert. Nach der Implantation kann das Photoresist entfernt werden, etwa durch einen annehmbaren Veraschungsprozess.
  • Nach den Implantationen in dem ersten Bereich 50B und dem zweiten Bereich 50C kann ein Ausglühen vorgenommen werden, um die p-Typ und die n-Typ-Verunreinigungen, die implantiert wurden, zu aktivieren. Die Implantationen können eine p-Wanne in dem ersten Bereich 50B, z. B. dem NMOS-Bereich, bilden und eine n-Wanne in dem zweiten Bereich 50C, z. B. dem PMOS-Bereich, bilden. Bei einigen Ausführungsformen können die gezüchteten Materialien der epitaktischen Finnen während des Wachstums vor Ort dotiert werden, wodurch Implantationen vermieden werden können, obwohl ein Dotieren vor Ort und durch Implantation gemeinsam verwendet werden kann.
  • In 18 wird auf den Finnen 56 eine Dummy-Dielektrikumsschicht 58 gebildet. Die Dummy-Dielektrikumsschicht 58 kann zum Beispiel Siliziumoxid, Siliziumnitrid, eine Kombination davon, oder dergleichen sein, und kann gemäß annehmbaren Techniken abgelagert oder thermisch gezüchtet werden. Über der Dummy-Dielektrikumsschicht 58 wird eine Dummy-Gateschicht 60 gebildet, und über der Dummy-Gateschicht 60 wird eine Maskenschicht 62 gebildet. Die Dummy-Gateschicht 60 kann über der Dummy-Dielektrikumsschicht 58 abgelagert werden und dann planarisiert werden, etwa durch eine CMP. Die Maskenschicht 62 kann über der Dummy-Gateschicht 60 abgelagert werden. Die Dummy-Gateschicht 60 kann zum Beispiel aus Polysilizium gebildet werden, obwohl andere Materialien, die eine hohe Ätzselektivität von dem Ätzen der Isolationsbereiche 50 aufweisen, ebenfalls verwendet werden können. Die Maskenschicht 62 kann zum Beispiel Siliziumnitrid oder dergleichen umfassen. Bei diesem Beispiel werden eine einzelne Dummy-Gateschicht 60 und eine einzelne Maskenschicht 62 über den ersten Bereich 50B und den zweiten Bereich 50C gebildet. Bei anderen Ausführungsformen können in dem ersten Bereich 50B und dem zweiten Bereich 50C gesonderte Dummy-Gateschichten gebildet werden und in dem ersten Bereich 50B und dem zweiten Bereich 50C gesonderte Maskenschichten gebildet werden.
  • In 19A, 19B und 19C kann die Maskenschicht 62 unter Verwendung annehmbarer Photolithograpie- und Ätztechniken strukturiert werden, um in dem ersten Bereich 50B Masken 72 (wie in 19B veranschaulicht) und in dem zweiten Bereich 50C Masken 78 (wie in 19C veranschaulicht) zu bilden. Die Struktur der Masken 72 und 78 kann dann durch eine annehmbare Ätztechnik zu der Dummy-Gateschicht 60 und der Dummy-Dielektrikumsschicht 58 übertragen werden, um in dem ersten Bereich 50B Dummy-Gates 70 und in dem zweiten Bereich 50C Dummy-Gates 76 zu bilden. Die Dummy-Gates 70 und 76 bedecken jeweilige Kanalbereiche der Finnen 56. Die Dummy-Gates 70 und 76 können auch eine Längsrichtung aufweisen, die zu der Längsrichtung der epitaktischen Finnen im Wesentlichen senkrecht verläuft.
  • In 20a, 20B und 20C können an freiliegenden Flächen der jeweiligen Dummy-Gates 70 und 76 und/oder Finnen 56 Gate-Versiegelungs-Spacer 80 gebildet werden. Die Gate-Versiegelungs-Spacer 80 können durch eine thermische Oxidation gefolgt von einem anisotropen Ätzen gebildet werden.
  • Nach der Bildung der Gate-Versiegelungs-Spacer 80 können Implantationen für leicht dotierte Source/Drain(LDD)-Bereiche vorgenommen werden. Ähnlich wie bei den oben in 17 besprochenen Implantationen kann eine Maske wie etwa ein Photoresist über dem ersten Bereich 50B, z. B. dem NMOS-Bereich, gebildet werden, während der zweite Bereich 50C, z. B. der PMOS-Bereich, freigelegt wird, und können p-Typ-Verunreinigungen in die freigelegten Finnen 56 in dem zweiten Bereich 50C implantiert werden. Dann kann die Maske entfernt werden. Anschließend kann eine Maske wie etwa ein Photoresist über dem zweiten Bereich 50C gebildet werden, während der erste Bereich 50B freigelegt wird, und können n-Typ-Verunreinigungen in die freigelegten Finnen 56 in dem ersten Bereich 50B implantiert werden. Dann kann die Maske entfernt werden. Die n-Typ-Verunreinigungen können beliebige der vorher besprochenen n-Typ-Verunreinigungen sein, und die p-Typ-Verunreinigungen können beliebige der vorher besprochenen p-Typ-Verunreinigungen sein. Die leicht dotierten Source/Drain-Bereiche können eine Verunreinigungskonzentration von etwa 1015 cm–3 bis etwa 1016 cm–3 aufweisen. Ein Ausglühen kann verwendet werden, um die implantierten Verunreinigungen zu aktivieren.
  • Ferner werden in 20A, 20B und 20C epitaktische Source/Drain-Bereiche 82 und 84 in den Finnen 56 gebildet. In dem ersten Bereich 50B werden epitaktische Source/Drain-Bereiche 82 so in den Finnen 56 gebildet, dass jedes Dummy-Gate 70 zwischen jeweiligen benachbarten Paaren der epitaktischen Source/Drain-Bereiche 82 angeordnet ist. Bei einigen Ausführungsformen können sich diese epitaktischen Source/Drain-Bereiche 82 in die Finnen 52 erstrecken. In dem zweiten Bereich 50C werden epitaktische Source/Drain-Bereiche 84 so in den Finnen 56 gebildet, dass jedes Dummy-Gate 76 zwischen jeweiligen benachbarten Paaren der epitaktischen Source/Drain-Bereiche 84 angeordnet ist. Bei einigen Ausführungsformen können sich diese epitaktischen Source/Drain-Bereiche 84 in die Finnen 52 erstrecken.
  • Epitaktische Source/Drain-Bereiche 82 in dem ersten Bereich 50B, z. B. dem NMOS-Bereich, können durch Maskieren des zweiten Bereichs 50C, z. B. des PMOS-Bereichs, und oberflächengetreues Ablagern einer Dummy-Spacer-Schicht in dem ersten Bereich 50B gefolgt von einem anisotropen Ätzen, um entlang der Seitenwände der Dummy-Gates 70 und/oder der Dummy-Versiegelungs-Spacer 80 in dem ersten Bereich 50B Dummy-Gate-Spacer (nicht gezeigt) zu bilden, gebildet werden. Dann werden die Source/Drain-Bereiche der epitaktischen Finnen in dem ersten Bereich 50B geätzt, um Vertiefungen zu bilden. Die epitaktischen Source/Drain-Bereiche 82 in dem ersten Bereich 50B werden epitaktisch in den Vertiefungen gezüchtet. Die epitaktischen Source/Drain-Bereiche 82 können jedes beliebige annehmbare Material, das etwa für n-Typ-FinFETs passend ist, umfassen. Wenn die Finne 56 Silizium ist, können die epitaktischen Source/Drain-Bereiche 82 zum Beispiel Silizium, SiC, SiCP, SiP oder dergleichen umfassen. Die epitaktischen Source/Drain-Bereiche 82 können Flächen aufweisen, die von jeweiligen Flächen der Finnen 56 erhöht sind, und können Facetten aufweisen. Anschließend werden die Dummy-Gate-Spacer in dem ersten Bereich 50B zum Beispiel durch Ätzen entfernt, wie auch die Maske auf dem zweiten Bereich 50C.
  • Epitaktische Source/Drain-Bereiche 84 in dem zweiten Bereich 50C, z. B. dem PMOS-Bereich, können durch Maskieren des ersten Bereichs 50B, z. B. des NMOS-Bereichs, und oberflächengetreues Ablagern einer Dummy-Spacer-Schicht in dem zweiten Bereich 50C gefolgt von einem anisotropen Ätzen, um entlang der Seitenwände der Dummy-Gates 76 und/oder der Dummy-Versiegelungs-Spacer 80 in dem zweiten Bereich 50C Dummy-Gate-Spacer (nicht gezeigt) zu bilden, gebildet werden. Dann werden die Source/Drain-Bereiche der epitaktischen Finnen in dem zweiten Bereich 50C geätzt, um Vertiefungen zu bilden. Die epitaktischen Source/Drain-Bereiche 84 in dem zweiten Bereich 50C werden epitaktisch in den Vertiefungen gezüchtet. Die epitaktischen Source/Drain-Bereiche 84 können jedes beliebige annehmbare Material, das etwa für p-Typ-FinFETs passend ist, umfassen. Wenn die Finne 56 Silizium ist, können die epitaktischen Source/Drain-Bereiche 84 zum Beispiel SiGe, SiGeB, Ge, GeSn oder dergleichen umfassen. Die epitaktischen Source/Drain-Bereiche 84 können Flächen aufweisen, die von jeweiligen Flächen der Finnen 56 erhöht sind, und können Facetten aufweisen. Anschließend werden die Dummy-Gate-Spacer in dem zweiten Bereich 50C zum Beispiel durch Ätzen entfernt, wie auch die Maske auf dem ersten Bereich 50B.
  • In 21A, 21B und 21C werden an den Gate-Versiegelungs-Spacern 80 entlang der Seitenwände der Dummy-Gates 70 und 76 Gate-Spacer 86 gebildet. Die Gate-Spacer 86 können durch oberflächengetreues Ablagern eines Materials und anschließendes anisotropes Ätzen des Materials gebildet werden. Das Material der Gate-Spacer 86 kann Siliziumnitrid, SiCN, eine Kombination davon, oder dergleichen sein.
  • Ähnlich wie bei dem Prozess, der vorher für die Bildung leicht dotierter Source/Drain-Bereiche besprochen wurde, können Dotiermittel in die epitaktischen Source/Drain-Bereiche 82 und 84 und/oder die epitaktischen Finnen implantiert werden, um Source/Drain-Bereiche zu bilden, worauf ein Ausglühen folgt. Die Source/Drain-Bereiche können eine Verunreinigungskonzentration in einem Bereich von etwa 1019 cm–3 bis etwa 1021 cm–3 aufweisen. Die n-Typ-Verunreinigungen für Source/Drain-Bereiche in dem ersten Bereich 50B, z. B. dem NMOS-Bereich, können beliebige der vorher besprochenen n-Typ-Verunreinigungen sein, und die p-Typ-Verunreinigungen für Source/Drain-Bereiche in dem zweiten Bereich 50C, z. B. dem PMOS-Bereich, können beliebige der vorher besprochenen p-Typ-Verunreinigungen sein. Bei anderen Ausführungsformen können die epitaktischen Source/Drain-Bereiche 82 und 84 während des Wachstums vor Ort dotiert werden.
  • In 22A, 22B und 22C wird über dem in 21A, 21B und 21C veranschaulichten Aufbau ein ILD 88 abgelagert. Bei einer Ausführungsform ist das ILD 88 ein fließfähiger Film, der durch eine fließfähige CVD gebildet wird. Bei einigen Ausführungsformen wird das ILD 88 aus einem dielektrischen Material wie etwa Phosphorsilikatglas (PSC), Borosilikatglas (BSG), undotiertem Silikatglas (USG), oder dergleichen gebildet und kann es durch jedes beliebige geeignete Verfahren wie etwa CVD oder PECVD abgelagert werden.
  • In 23A, 23B und 23C kann ein Planarisierungsprozess wie etwa ein CMP vorgenommen werden, um die obere Fläche des ILD 88 in eine Ebene mit den oberen Flächen der Dummy-Gates 70 und 76 zu bringen. Das CMP kann auch die Masken 72 und 78 auf den Dummy-Gates 70 und 76 entfernen. Entsprechend werden die oberen Flächen der Dummy-Gates 70 und 76 durch das ILD 88 freigelegt.
  • In 24A, 24B und 24C werden die Dummy-Gates 70 und 76, die Gate-Versiegelungs-Spacer 80, und Teile der Dummy-Dielektrikumsschicht 58, die direkt unter den Dummy-Gates 70 und 76 liegen, in (einem) Ätzschritt(en) entfernt, so dass Vertiefungen 90 gebildet werden. Jede Vertiefung 90 legt einen Kanalbereich einer entsprechenden Finne 56 frei. Jeder Kanalbereich ist zwischen benachbarten Paaren von epitaktischen Source/Drain-Bereichen 82 und 84 angeordnet. Während des Entfernens kann die Dummy-Dielektrikumsschicht 58 als Ätzstoppschicht beim Ätzen der Dummy-Gates 70 und 76 verwendet werden. Die Dummy-Dielektrikumsschicht 58 und die Gate-Abdichtungs-Spacer 80 können dann nach dem Entfernen der Dummy-Gates 70 und 76 entfernt werden.
  • In 25A, 25B und 25C werden Gate-Dielektrikumsschichten 92 und 96 und Gateelektroden 94 und 98 für Ersatzgates gebildet. Die Gate-Dielektrikumsschichten 92 und 96 werden oberflächengetreu in den Vertiefungen 90, wie etwa an den oberen Flächen und den Seitenwänden der Finnen 96 und an Seitenwänden der Gate-Spacer 86, und an einer oberen Fläche des ILD 88 abgelagert. Nach einigen Ausführungsformen umfassen die Gate-Dielektrikumsschichten 92 und 96 Siliziumoxid, Siliziumnitrid, oder Mehrfachschichten davon. Bei anderen Ausführungsformen umfassen die Gate-Dielektrikumsschichten 92 und 96 ein dielektrisches High-k-Material, und bei diesen Ausführungsformen können die Gate-Dielektrikumsschichten 92 und 96 einen k-Wert aufweisen, der höher als etwa 7,0 ist, und können sie ein Metalloxid oder ein Silikat von Hf, Al, Zr, La, Mg, Ba, Ti, Pb, und Kombinationen davon umfassen. Die Bildungsverfahren für die Gate-Dielektrikumsschichten 92 und 96 können die Molekularstrahlablagerung (MBD), die Atomlagenabscheidung (ALD), PECVD und dergleichen umfassen.
  • Als nächstes werden die Gateelektroden 94 und 98 jeweils über den Gate-Dielektrikumsschichten 92 und 96 abgelagert, und füllen sie die restlichen Teile der Vertiefungen 90 aus. Die Gateelektroden 94 und 98 können aus einem metallhaltigen Material wie etwa TiN, TaN, TaC, Co, Ru, Al, Kombinationen davon, oder Mehrfachschichten davon hergestellt werden. Nach dem Einfüllen der Gateelektroden 94 und 98 kann in Schritt 228 ein Planarisierungsprozess wie etwa ein CMP vorgenommen werden, um die überschüssigen Abschnitte der Gate-Dielektrikumsschichten 92 und 96 und das Material der Gateelektroden 94 und 98, deren überschüssige Abschnitte sich über der oberen Fläche des ILD befinden, zu entfernen. Die sich ergebenden restlichen Abschnitte des Materials der Gateelektroden 94 und 98 und der Gate-Dielektrikumsschichten 92 und 96 bilden somit Ersatzgates der sich ergebenden FinFETs.
  • Die Bildung der Gate-Dielektrikumsschichten 92 und 96 kann gleichzeitig stattfinden, so dass die Gate-Dielektrikumsschichten 92 und 96 aus den gleichen Materialien hergestellt werden, und die Bildung der Gateelektroden 94 und 98 kann gleichzeitig stattfinden, so dass die Gateelektroden 94 und 98 aus den gleichen Materialien hergestellt werden. Doch bei anderen Ausführungsformen können die Gate-Dielektrikumsschichten 92 und 96 durch verschiedene Prozesse gebildet werden, so dass die Gate-Dielektrikumsschichten durch unterschiedliche Materialien hergestellt werden können, und können die Gateelektroden 94 und 98 durch verschiedene Prozesse gebildet werden, so dass die Gateelektroden 94 und 98 durch unterschiedliche Materialen hergestellt werden können. Wenn verschiedene Prozesse verwendet werden, können verschiedene Maskierungsschritte verwendet werden, um passende Bereiche zu maskieren und freizulegen.
  • In 26A, 26B und 26C wird über dem ILD 88 ein ILD 100 abgelagert. Ferner ist in 26A, 26B und 26C veranschaulicht, dass Kontakte 102 und 104 durch das ILD 100 und das ILD 88 gebildet werden und Kontakte 106 und 108 durch das ILD 100 gebildet werden. Bei einer Ausführungsform ist das ILD 100 ein fließfähiger Film, der durch ein fließfähiges CVD-Verfahren gebildet wird. Bei einigen Ausführungsformen wird das ILD 100 aus einem dielektrischen Material wie PSG, BSG, BPSG, USG oder dergleichen gebildet, und kann es durch jedes beliebige geeignete Verfahren wie etwa CVD und PECVD abgelagert werden. Durch die ILDs 88 und 100 werden Öffnungen für die Kontakte 102 und 104 gebildet. Durch das ILD 100 werden Öffnungen für die Kontakte 106 und 108 gebildet. Diese Öffnungen können alle gleichzeitig in einem gleichen Prozess oder in gesonderten Prozessen gebildet werden. Die Öffnungen können unter Verwendung annehmbarer Photolithographie- und Ätztechniken gebildet werden. In den Öffnungen werden eine Verkleidung wie etwa eine Diffusionssperrschicht, eine Haftschicht, oder dergleichen, und ein leitendes Material gebildet. Die Verkleidung kann Titan, Titannitrid, Tantal, Tantalnitrid, oder dergleichen umfassen. Das leitende Material kann Kupfer, eine Kupferlegierung, Silber, Gold, Wolfram, Aluminium, Nickel, oder dergleichen sein. Ein Planarisierungsprozess wie etwa ein CMP kann vorgenommen werden, um überschüssiges Material von einer Oberfläche des ILD 100 zu entfernen. Die verbleibende Verkleidung und das verbleibende leitende Material bilden in den Öffnungen Kontakte 102 und 104. Ein Ausglühprozess kann vorgenommen werden, um an der Grenzfläche zwischen den epitaktischen Source/Drain-Bereichen 82 und 84 und den Kontakten 102 und 104 jeweils ein Silizid zu bilden. Die Kontakte 102 sind physisch und elektrisch mit den epitaktischen Source/Drain-Bereichen 82 gekoppelt, die Kontakte 104 sind physisch und elektrisch mit den epitaktischen Source/Drain-Bereichen 84 gekoppelt, der Kontakt 106 ist physisch und elektrisch mit der Gateelektrode 94 gekoppelt, und der Kontakt 108 ist physisch und elektrisch mit der Gateelektrode 98 gekoppelt.
  • In 27A, 27B und 27C wird über dem ILD 100 ein Intermetalldielektrikum (IMD) 100 abgelagert. Ferner ist in 27A, 27B und 27C veranschaulicht, dass Zwischenverbindungen 124, 126, 128 und 130 durch das IMD 110 gebildet werden, um einen Kontakt mit jeweiligen leitenden Merkmalen in dem darunter befindlichen ILD 100 (z. B. den Kontakten 102, 104, 106 und/oder 108) herzustellen. Bei einer Ausführungsform ist das IMD 110 ein Mehrschichtfilmstapel, der durch das oben in 1 bis 7 und/oder 8 bis 12 und die entsprechenden Absätze beschriebene Verfahren gebildet wird. Eine Schicht 114 entspricht der oben beschriebenen Ätzstoppschicht 14, eine Schicht 116 entspricht ded oben beschriebenen ersten dielektrischen Schicht 16, eine Schicht 118 entspricht der oben beschriebenen Einsatzschicht 18 oder 26, und eine Schicht 120 entspricht der oben beschriebenen zweiten dielektrischen Schicht. Diese Schichten sind den entsprechenden Schichten, die oben bei den vorhergehenden Ausführungsformen beschreiben wurden, ähnlich, und die Beschreibungen werden hier nicht wiederholt. Durch das IMD 110 werden Öffnungen für die Zwischenverbindungen 124, 126, 128 und 130 durch ein ähnliches Verfahren wie das oben in 4 und den entsprechenden Absätzen beschriebene gebildet. Diese Öffnungen können alle gleichzeitig in einem gleichen Prozess gebildet werden, oder können in gesonderten Prozessen gebildet werden. Die Zwischenverbindungen 124, 126, 128 und 130 werden durch ein ähnliches Verfahren wie das oben in 5 bis 6 und/oder 11 bis 12 und den entsprechenden Absätzen beschriebene gebildet. Die Zwischenverbindung 124 ist physisch und elektrisch mit dem Kontakt 106 gekoppelt, die Zwischenverbindung 126 ist physisch und elektrisch mit dem Kontakt 108 gekoppelt, die Zwischenverbindungen 128 sind physisch und elektrisch mit den Kontakten 102 gekoppelt, und die Zwischenverbindungen 130 sind physisch und elektrisch mit den Kontakten 104 gekoppelt.
  • Obwohl keine ausdrückliche Darstellung erfolgt, wird ein Durchschnittsfachmann leicht verstehen, dass an dem Aufbau in 27A, 27B und 27C weitere Bearbeitungsschritte vorgenommen werden können. Beispielsweise können über dem IMD 110 verschiedene IMDs und ihre entsprechenden Metallisierungen gebildet werden.
  • Durch das Bereitstellen der Einsatzschicht (z. B. die Schichten 18, 16 und/oder 118) als Rahmen für eine zusätzliche strukturelle Stütze kann das normalerweise schwächere poröse Material der umgebenden dielektrischen Schichten (z. B. die Schichten 16, 20, 116 und/oder 120) gestützt werden. Diese zusätzliche Stütze hilft, Abweichungen zwischen verschiedenen Öffnungen, die durch ihre Nähe (oder fehlende Nähe) zu benachbarten Öffnungen verursacht werden, zu verringern. Dies verhindert Komplikationen, die während anschließender Spaltfüllprozesse auftreten könnten.
  • Bei einer Ausführungsform handelt es sich um ein Verfahren, das das Ablagern einer ersten dielektrischen Schicht über einem Substrat, das Bilden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht eine Härte aufweist, die größer als jene der ersten dielektrischen Schicht ist, und einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist, und das Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht, wobei die dritte dielektrische Schicht eine Härte aufweist, die geringer als jene der zweiten dielektrischen Schicht ist, und einen k-Wert aufweist, der niedriger als jener der zweiten dielektrischen Schicht ist, umfasst. Das Verfahren umfasst ferner das Ätzen der dritten dielektrischen Schicht, der zweiten dielektrischen Schicht, und der ersten dielektrischen Schicht, um eine erste Öffnung zu bilden, die einen ersten Bereich über dem Substrat freilegt, wobei die erste Öffnung eine Durchkontaktierungsöffnung mit einer ersten Breite und eine Grabenöffnung mit einer zweiten Breite aufweist, wobei die Grabenöffnung die Durchkontaktierungsöffnung überlappt, die zweite Breite größer als die erste Breite ist, eine Bodenfläche der Grabenöffnung um einen ersten Abschnitt der ersten dielektrischen Schicht oder einen ersten Abschnitt der dritten dielektrischen Schicht von einer Fläche der zweiten dielektrischen Schicht getrennt ist, und das Füllen der ersten Öffnung mit einem leitenden Material, um eine erste leitende Zwischenverbindung zu bilden, die mit dem ersten Bereich des Substrats in Kontakt steht, wobei die erste leitende Zwischenverbindung einen Durchkontaktierungsabschnitt in der Durchkontaktierungsöffnung und einen Grabenabschnitt in der Grabenöffnung aufweist.
  • Eine andere Ausführungsform ist ein Verfahren, das das Ablagern einer ersten dielektrischen Schicht mit einer ersten Dicke über einem leitenden Element über einem Substrat, das Vornehmen eines Plasmabehandlungsprozesses, um auf der ersten dielektrischen Schicht eine Einsatzschicht zu bilden, wobei die Einsatzschicht einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist, wobei die erste dielektrische Schicht nach dem Plasmabehandlungsprozess eine zweite Dicke aufweist, die geringer als die erste Dicke ist, und das Ablagern einer zweiten dielektrischen Schicht über der Einsatzschicht, wobei die zweite dielektrische Schicht einen k-Wert aufweist, der niedriger als jener der Einsatzschicht ist, umfasst. Das Verfahren umfasst ferner das Ätzen der zweiten dielektrischen Schicht, der Einsatzschicht und der ersten dielektrischen Schicht, um eine Durchkontaktierungsöffnung zu bilden, die das leitende Element über dem Substrat freilegt, und das Ätzen der zweiten dielektrischen Schicht, um eine Grabenöffnung zu bilden, die die Durchkontaktierungsöffnung überlappt, wobei die Grabenöffnung eine größere Breite als die Durchkontaktierungsöffnung aufweist, wobei ein erster Abschnitt der zweiten dielektrischen Schicht zwischen eine Bodenfläche der Grabenöffnung und eine obere Fläche der Einsatzschicht eingefügt ist.
  • Eine weitere Ausführungsform ist ein Aufbau, der eine erste dielektrische Schicht über einem Substrat, eine Einsatzschicht über der ersten dielektrischen Schicht und in einem Kontakt damit, wobei die Einsatzschicht eine Härte aufweist, die größer als jene der ersten dielektrischen Schicht ist, und einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist, eine zweite dielektrische Schicht über der Einsatzschicht und in einem Kontakt damit, wobei die zweite dielektrische Schicht eine Härte aufweist, die geringer als jene der Einsatzschicht ist, und einen k-Wert aufweist, der niedriger als jener der Einsatzschicht ist, und eine erste leitende Zwischenverbindung, die sich durch die zweite dielektrische Schicht, die Einsatzschicht, und die erste dielektrische Schicht erstreckt, um mit einem ersten Bereich über dem Substrat in Kontakt zu treten, umfasst, wobei die erste leitende Zwischenverbindung einen Durchkontaktierungsabschnitt mit einer ersten Breite und einen Grabenabschnitt mit einer zweiten Breite umfasst, wobei der Grabenabschnitt den Durchkontaktierungsabschnitt überlappt, wobei die zweite Breite größer als die erste Breite ist, wobei eine Bodenfläche des Grabenabschnitts um einen ersten Abschnitt der ersten dielektrischen Schicht oder einen ersten Abschnitt der zweiten dielektrischen Schicht von einer Fläche der Einsatzschicht getrennt ist.
  • Das Obige umreißt Merkmale mehrerer Ausführungsformen, damit Fachleute die Gesichtspunkte der vorliegenden Offenbarung besser verstehen können. Fachleute sollten erkennen, dass sie die vorliegende Offenbarung leicht als Basis zur Gestaltung oder Abwandlung anderer Tätigkeiten und Aufbauten zur Erfüllung der gleichen Zwecke und/oder zur Erzielung der gleichen Vorteile wie die hier vorgestellten Ausführungsformen verwenden können. Fachleute sollten auch erkennen, dass derartige gleichwertige Aufbauten nicht von dem Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sie hier verschiedene Änderungen, Ersetzungen und Umänderungen vornehmen können, ohne von dem Geist und dem Umfang der vorliegenden Offenbarung abzuweichen.

Claims (20)

  1. Verfahren, umfassend: Ablagern einer ersten dielektrischen Schicht über einem Substrat; Bilden einer zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht, wobei die zweite dielektrische Schicht eine Härte aufweist, die größer als jene der ersten dielektrischen Schicht ist, und einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist; Ablagern einer dritten dielektrischen Schicht über der zweiten dielektrischen Schicht, wobei die dritte dielektrische Schicht eine Härte aufweist, die geringer als jene der zweiten dielektrischen Schicht ist, und einen k-Wert aufweist, der niedriger als jener der zweiten dielektrischen Schicht ist; Ätzen der dritten dielektrischen Schicht, der zweiten dielektrischen Schicht, und der ersten dielektrischen Schicht, um eine erste Öffnung zu bilden, die einen ersten Bereich über dem Substrat freilegt, wobei die erste Öffnung eine Durchkontaktierungsöffnung mit einer ersten Breite und eine Grabenöffnung mit einer zweiten Breite aufweist, wobei die Grabenöffnung die Durchkontaktierungsöffnung überlappt, die zweite Breite größer als die erste Breite ist, und eine Bodenfläche der Grabenöffnung um einen ersten Abschnitt der ersten dielektrischen Schicht oder einen ersten Abschnitt der dritten dielektrischen Schicht von einer Fläche der zweiten dielektrischen Schicht getrennt ist; und Füllen der ersten Öffnung mit einem leitenden Material, um eine erste leitende Zwischenverbindung zu bilden, die mit dem ersten Bereich des Substrats in Kontakt steht, wobei die erste leitende Zwischenverbindung einen Durchkontaktierungsabschnitt in der Durchkontaktierungsöffnung und einen Grabenabschnitt in der Grabenöffnung aufweist.
  2. Verfahren nach Anspruch 1, wobei der erste Bereich über dem Substrat ein leitendes Element umfasst, wobei die erste leitende Zwischenverbindung mit dem leitenden Element in Kontakt steht.
  3. Verfahren nach Anspruch 1 oder 2, wobei die zweite dielektrische Schicht mit dem Durchkontaktierungsabschnitt der ersten leitenden Zwischenverbindung in Kontakt steht.
  4. Verfahren nach einem der vorhergehenden Ansprüche, wobei die zweite dielektrische Schicht mit dem Grabenabschnitt der ersten leitenden Zwischenverbindung in Kontakt steht.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht Folgendes umfasst: Vornehmen eines Plasmabehandlungsprozesses an der ersten dielektrischen Schicht, um die zweite dielektrische Schicht auf der ersten dielektrischen Schicht zu bilden, wobei die erste dielektrische Schicht nach dem Plasmabehandlungsprozess eine Dicke aufweist, die geringer als eine Dicke der ersten dielektrischen Schicht vor dem Plasmabehandlungsprozess ist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Bilden der zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht Folgendes umfasst: Ablagern der zweiten dielektrischen Schicht auf der ersten dielektrischen Schicht.
  7. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Füllen der ersten Öffnung mit dem leitenden Material Folgendes umfasst: Verkleiden der ersten Öffnung mit einer Sperrschicht; Füllen der verkleideten ersten Öffnung mit dem leitenden Material; und Planarisieren des leitenden Materials, der Sperrschicht und der dritten dielektrischen Schicht, um überschüssiges leitendes Material und überschüssige Sperrschicht über einer oberen Fläche der dritten dielektrischen Schicht zu entfernen, um die erste leitende Zwischenverbindung, die mit dem ersten Bereich über dem Substrat in Kontakt steht, zu bilden.
  8. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Ablagern einer Ätzstoppschicht über dem Substrat, wobei die erste dielektrische Schicht über der Ätzstoppschicht gebildet wird und damit in Kontakt steht, wobei sich die erste Öffnung durch die Ätzstoppschicht erstreckt.
  9. Verfahren nach einem der vorhergehenden Ansprüche, wobei die erste dielektrische Schicht einen k-Wert von 2,6 oder weniger aufweist, und die zweite dielektrische Schicht einen k-Wert von 2,8 oder mehr aufweist.
  10. Verfahren, umfassend: Ablagern einer ersten dielektrischen Schicht mit einer ersten Dicke über einem leitenden Element über einem Substrat; Vornehmen eines Plasmabehandlungsprozesses, um auf der ersten dielektrischen Schicht eine Einsatzschicht zu bilden, wobei die Einsatzschicht einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist, wobei die erste dielektrische Schicht nach dem Plasmabehandlungsprozess eine zweite Dicke aufweist, die geringer als die erste Dicke ist; Ablagern einer zweiten dielektrischen Schicht über der Einsatzschicht, wobei die zweite dielektrische Schicht einen k-Wert aufweist, der niedriger als jener der Einsatzschicht ist; Ätzen der zweiten dielektrischen Schicht, der Einsatzschicht und der ersten dielektrischen Schicht, um eine Durchkontaktierungsöffnung zu bilden, die das leitende Element über dem Substrat freilegt; und Ätzen der zweiten dielektrischen Schicht, um eine Grabenöffnung zu bilden, die die Durchkontaktierungsöffnung überlappt, wobei die Grabenöffnung eine größere Breite als die Durchkontaktierungsöffnung aufweist, wobei ein erster Abschnitt der zweiten dielektrischen Schicht zwischen eine Bodenfläche der Grabenöffnung und eine obere Fläche der Einsatzschicht eingefügt ist.
  11. Verfahren nach Anspruch 10, wobei die erste dielektrische Schicht einen k-Wert von 2,6 oder weniger aufweist, und die Einsatzschicht einen k-Wert von 2,8 oder mehr aufweist.
  12. Verfahren nach Anspruch 10 oder 11, ferner umfassend: Füllen der Durchkontaktierungsöffnung und der Grabenöffnung mit einem leitenden Material, um eine erste leitende Zwischenverbindung zu bilden, die mit dem leitenden Element auf dem Substrat in Kontakt steht, wobei die erste leitende Zwischenverbindung einen Durchkontaktierungsabschnitt in der Durchkontaktierungsöffnung und einen Grabenabschnitt in der Grabenöffnung umfasst.
  13. Verfahren nach Anspruch 12, wobei die Einsatzschicht mit dem Durchkontaktierungsabschnitt der ersten leitenden Zwischenverbindung in Kontakt steht.
  14. Verfahren nach einem der Ansprüche 10 bis 13, ferner umfassend: Ablagern einer Ätzstoppschicht über dem Substrat und dem leitenden Element in dem Substrat, wobei die erste dielektrische Schicht über der Ätzstoppschicht gebildet wird und damit in Kontakt steht, wobei sich die Durchkontaktierungsöffnung durch die Ätzstoppschicht erstreckt.
  15. Verfahren nach einem der Ansprüche 10 bis 14, wobei das leitende Element ein leitender Kontakt ist, wobei der leitende Kontakt elektrisch mit einem Source/Drain-Bereich eines Fin-Feldeffekttransistors (FinFET) in Kontakt steht.
  16. Aufbau, umfassend: eine erste dielektrische Schicht über einem Substrat; eine Einsatzschicht über der ersten dielektrischen Schicht und in einem Kontakt damit, wobei die Einsatzschicht eine Härte aufweist, die größer als jene der ersten dielektrischen Schicht ist, und einen k-Wert aufweist, der höher als jener der ersten dielektrischen Schicht ist; eine zweite dielektrische Schicht über der Einsatzschicht und in einem Kontakt damit, wobei die zweite dielektrische Schicht eine Härte aufweist, die geringer als jene der Einsatzschicht ist, und einen k-Wert aufweist, der niedriger als jener der Einsatzschicht ist; und eine erste leitende Zwischenverbindung, die sich durch die zweite dielektrische Schicht, die Einsatzschicht, und die erste dielektrische Schicht erstreckt, um mit einem ersten Bereich über dem Substrat in Kontakt zu treten, wobei die erste leitende Zwischenverbindung einen Durchkontaktierungsabschnitt mit einer ersten Breite und einen Grabenabschnitt mit einer zweiten Breite umfasst, wobei der Grabenabschnitt den Durchkontaktierungsabschnitt überlappt, wobei die zweite Breite größer als die erste Breite ist, wobei eine Bodenfläche des Grabenabschnitts um einen ersten Abschnitt der ersten dielektrischen Schicht oder einen ersten Abschnitt der zweiten dielektrischen Schicht von einer Fläche der Einsatzschicht getrennt ist.
  17. Aufbau nach Anspruch 16, wobei der erste Bereich über dem Substrat ein leitendes Element umfasst, wobei die erste leitende Zwischenverbindung mit dem leitenden Element in Kontakt steht.
  18. Aufbau nach Anspruch 17, wobei das leitende Element ein leitender Kontakt ist, wobei der leitende Kontakt elektrisch mit einem Source/Drain-Bereich eines Fin-Feldeffekttransistors (FinFET) in Kontakt steht.
  19. Aufbau nach einem der Ansprüche 16 bis 18, wobei die Einsatzschicht mit dem Durchkontaktierungsabschnitt der ersten leitenden Zwischenverbindung in Kontakt steht.
  20. Aufbau nach einem der Ansprüche 16 bis 19, wobei die Einsatzschicht mit dem Grabenabschnitt der ersten leitenden Zwischenverbindung in Kontakt steht.
DE102016119018.7A 2016-03-02 2016-10-07 Zwischenverbindungsaufbau und -verfahren Pending DE102016119018A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/058,864 2016-03-02
US15/058,864 US9754822B1 (en) 2016-03-02 2016-03-02 Interconnect structure and method

Publications (1)

Publication Number Publication Date
DE102016119018A1 true DE102016119018A1 (de) 2017-09-07

Family

ID=59650649

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102016119018.7A Pending DE102016119018A1 (de) 2016-03-02 2016-10-07 Zwischenverbindungsaufbau und -verfahren

Country Status (5)

Country Link
US (4) US9754822B1 (de)
KR (3) KR20170102788A (de)
CN (1) CN107154395B (de)
DE (1) DE102016119018A1 (de)
TW (1) TWI625802B (de)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
EP3514833B1 (de) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. Halbleiterbauelement und verfahren
US10790439B2 (en) 2018-07-24 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell with top electrode via
DE102019114256A1 (de) 2018-07-24 2020-01-30 Taiwan Semiconductor Manufacturing Co. Ltd. Speicherzelle mit deckelektrodendurchkontaktierung
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10714382B2 (en) * 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11410880B2 (en) * 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US11114331B2 (en) * 2019-05-03 2021-09-07 United Microelectronics Corp. Method for fabricating shallow trench isolation
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
CN110676214B (zh) * 2019-09-24 2022-04-12 浙江集迈科微电子有限公司 一种金属填充弯管的垂直互联方法
US11373947B2 (en) * 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11314916B2 (en) 2020-07-31 2022-04-26 International Business Machines Corporation Capacitance extraction
US11600486B2 (en) * 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
US20230027567A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN115706063A (zh) * 2021-08-09 2023-02-17 长鑫存储技术有限公司 半导体结构及其制备方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977640A (en) 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
US6548224B1 (en) 2000-03-07 2003-04-15 Kulicke & Soffa Holdings, Inc. Wiring substrate features having controlled sidewall profiles
TW471107B (en) 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
US6713874B1 (en) 2001-03-27 2004-03-30 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
CN1170309C (zh) * 2001-06-11 2004-10-06 联华电子股份有限公司 形成开口于一高分子型介电层中的方法及其结构
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6498093B1 (en) * 2002-01-17 2002-12-24 Advanced Micro Devices, Inc. Formation without vacuum break of sacrificial layer that dissolves in acidic activation solution within interconnect
US6756321B2 (en) 2002-10-05 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US6806192B2 (en) 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
TWI315558B (en) * 2003-08-19 2009-10-01 Taiwan Semiconductor Mfg Method of modifying dielectric layers and employing the method in damascene structures fabrication
CA2549263A1 (en) 2003-12-12 2005-08-11 Conjugon, Inc. Systems for tightly regulated gene expression
US20050140029A1 (en) 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7232762B2 (en) 2004-06-16 2007-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved low power SRAM contact
US7196005B2 (en) * 2004-09-03 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process with dummy features
KR100655774B1 (ko) 2004-10-14 2006-12-11 삼성전자주식회사 식각 저지 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
TWI245345B (en) 2005-02-17 2005-12-11 Touch Micro System Tech Method of forming a wear-resistant dielectric layer
JP4357434B2 (ja) 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
KR100701426B1 (ko) * 2005-06-30 2007-03-30 주식회사 하이닉스반도체 반도체소자의 다층 금속배선 및 그의 제조 방법
US7923384B2 (en) * 2005-11-24 2011-04-12 Nec Corporation Formation method of porous insulating film, manufacturing apparatus of semiconductor device, manufacturing method of semiconductor device, and semiconductor device
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7898037B2 (en) * 2007-04-18 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact scheme for MOSFETs
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US9379059B2 (en) 2008-03-21 2016-06-28 Mediatek Inc. Power and ground routing of integrated circuit devices with improved IR drop and chip performance
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
CN102214599B (zh) 2010-04-02 2013-03-27 中芯国际集成电路制造(上海)有限公司 通孔形成方法
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
TWI467697B (zh) 2010-06-03 2015-01-01 United Microelectronics Corp 內連線結構的製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP5925611B2 (ja) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20140029181A1 (en) * 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9847315B2 (en) 2013-08-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packages, packaging methods, and packaged semiconductor devices
US9230911B2 (en) 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103871963A (zh) * 2014-02-21 2014-06-18 上海华力微电子有限公司 一种低介电常数薄膜的成膜方法
US9502649B2 (en) 2015-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure for improved electric field uniformity
KR102324826B1 (ko) 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US9905605B2 (en) 2015-10-15 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Phase detection autofocus techniques
TWI559990B (en) * 2015-11-06 2016-12-01 Grand Plastic Technology Corp Liquid collection apparatus for spin etcher
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10636709B2 (en) 2018-04-10 2020-04-28 International Business Machines Corporation Semiconductor fins with dielectric isolation at fin bottom

Also Published As

Publication number Publication date
US9754822B1 (en) 2017-09-05
KR20190031227A (ko) 2019-03-25
US11328952B2 (en) 2022-05-10
US20190252246A1 (en) 2019-08-15
US10269627B2 (en) 2019-04-23
US20170372948A1 (en) 2017-12-28
US20170256445A1 (en) 2017-09-07
CN107154395A (zh) 2017-09-12
KR20170102787A (ko) 2017-09-12
US10840134B2 (en) 2020-11-17
CN107154395B (zh) 2021-07-09
TW201732975A (zh) 2017-09-16
US20210074581A1 (en) 2021-03-11
KR20170102788A (ko) 2017-09-12
TWI625802B (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
DE102016119018A1 (de) Zwischenverbindungsaufbau und -verfahren
DE102017111545B4 (de) Implantationen zur herstellung von source-/drain-bereichen für verschiedene transistoren
DE102017118475B4 (de) Selbstjustierte abstandshalter und verfahren zu deren herstellung
DE102017118199A1 (de) Finfet-vorrichtung und ausbildungsverfahren
DE102017110846A1 (de) Halbleiterstruktur und Verfahren zu ihrer Herstellung
DE102017123445A1 (de) Vergrabene Metallleiterbahn und Verfahren zu deren Herstellung
DE102017117795A1 (de) Fets und verfahren zu deren herstellung
DE102020120522B4 (de) Selbstjustiertes ätzen in halbleitervorrichtungen
DE102017123950A1 (de) Finfet-bauelement und verfahren zur herstellung desselben
DE102019116328B4 (de) Halbleiterbauelement und verfahren
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE102019116036B4 (de) Halbleitervorrichtung und verfahren
DE102019117011B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102017117793A1 (de) Verfahren zur Herstellung von Multi-Gate-Transistoren und resultierende Strukturen
DE102021100877B4 (de) Mittellinien-Verbindungsstruktur mit Luftspalt und Verfahren zu deren Herstellung
DE102017127692A1 (de) Halbleitervorrichtung und Verfahren
DE102019117007A1 (de) Dielektrischer spaltfüllungsprozess für halbleitervorrichtung
DE102020110754A1 (de) Halbleitervorrichtung und verfahren
DE102020114314A1 (de) Halbleitervorrichtung und verfahren
DE102017123948A1 (de) Umschlossene epitaxiale struktur und verfahren
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE102017127658A1 (de) Halbleitervorrichtung und verfahren
DE102020122823B4 (de) Halbleitervorrichtungen mit entkopplungskondensatoren
DE102021110539A1 (de) Selfausrichtendes metall-gate für eine multi-gate-vorrichtung und verfahren zu dessen herstellung
DE102021113537A1 (de) Transistor-gate-kontakte und verfahren zu deren bildung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication