TW201732975A - 導線結構和製造方法 - Google Patents

導線結構和製造方法 Download PDF

Info

Publication number
TW201732975A
TW201732975A TW105140660A TW105140660A TW201732975A TW 201732975 A TW201732975 A TW 201732975A TW 105140660 A TW105140660 A TW 105140660A TW 105140660 A TW105140660 A TW 105140660A TW 201732975 A TW201732975 A TW 201732975A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
layer
opening
dielectric
conductive
Prior art date
Application number
TW105140660A
Other languages
English (en)
Other versions
TWI625802B (zh
Inventor
周家政
紀志堅
柯忠祁
張耀仁
高承遠
郭凱翔
施伯錚
李資良
阮俊億
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201732975A publication Critical patent/TW201732975A/zh
Application granted granted Critical
Publication of TWI625802B publication Critical patent/TWI625802B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/27Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)

Abstract

在此提供一種裝置、結構及方法,其中利用一插入層向周圍的介電層提供額外的支撐。插入層可應用在兩個介電層之間。一旦形成,溝槽及通孔被形成於複合層內,而且插入層將有助於提供支撐,此支撐將限制或消除不需要的彎曲或其他結構的移動,其可能妨礙隨後的製程步驟,例如利用導電材料填充溝槽及通孔的製程。

Description

導線結構和製造方法
本發明實施例係有關一種導線結構及其製造方法。
在微縮化半導體裝置之當前製程中,需要低介電常數的介電材料作為導電導線結構之間的金屬間(inter-metal)及/或層間(inter-layer)介電質,以減小因電容效應造成信號傳播的阻容(resistive-capacitive,RC)延遲。因此,介電層的介電常數越低,相鄰導電線之寄生電容會越低,而且積體電路(integrated circuit,IC)之RC延遲會越短。
然而,目前被視作或用作低介電常數介電材料的材料並不理想。特定而言,在基於介電常數值選擇材料時,以及特定而言基於低介電常數值選擇材料時,例如材料硬度或強度等其他特性可能無法理想地用於半導體製程中。因此,需要改良使用低介電常數介電材料之製程。
在部分實施例中,係提供一種製造導線的方法,包含以下步驟:沉積一第一介電層於一基板上方;形成一第二介電層於該第一介電層上,該第二介電層具有一硬度,該硬度大於該第一介電層,且該第二介電層具有一介電常數值,該介電常數值大於該第一介電層;沉積一第三介電層於該第二介電層上方,該第三介電層具有一硬度,該硬度小於該第二介電層,且該第三介電層具有一介電常數值,該介電常數值小於該第二介電層;蝕刻該第三介電層、該第二介電層及該第一介電層而形成一第一開口,該第一開口曝露該基板上方之一第一區域,該第一開口具有一通孔開口及一溝槽開口,該通孔開口具有一第一寬度及該溝槽開口具有一第二寬度,該溝槽開口與該通孔開口重疊,該第二寬度大於該第一寬度,該溝槽開口之一底表面與該第二介電層之一表面藉由該第一介電層之一第一部分或該第三介電層之一第一部分而分隔;以及利用一導電材料填充該第一開口以形成一第一導電導線,該第一導電導線接觸該基板上方的該第一區域,該第一導電導線包含該通孔開口中之一通孔部分及該溝槽開口中之一溝槽部分。
在部分實施例中,係提供一種導線之製造方法,包含以下步驟:沉積一第一介電層於一導電元件上方,該導電元件位於一基板上方,該第一介電層具有一第一厚度;執行一電漿處理製程於該第一介電層上形成一插入層,該插入層具有一介電常數值,該介電常數值大於該第一介電層,其中在電漿處理製程之後,該第一介電層具有小於該第 一厚度之一第二厚度;沉積一第二介電層於該插入層上方,該第二介電層具有一介電常數值,該介電常數值小於該插入層;蝕刻該第二介電層、該插入層及該第一介電層形成一通孔開口,該通孔開口曝露該基板上方的該導電元件;以及蝕刻該第二介電層形成一溝槽開口,該溝槽開口與該通孔開口重疊,該溝槽開口具有大於該通孔開口之一寬度,該第二介電層之一第一部分插入該溝槽開口之一底表面與該插入層之一頂表面之間。
在部分實施例中,係提供一種導線結構,包含:一基板、一第一介電層、一插入層、一第二介電層、一第一導電導線、一通孔和一溝槽。一第一介電層位於一基板上方;一插入層位於該第一介電層上方並接觸該第一介電層,該插入層具有一硬度,該硬度大於該第一介電層,且該插入層具有一介電常數值,該介電常數值大於該第一介電層;一第二介電層,在該插入層上方並接觸該插入層,該第二介電層具有一硬度,該硬度小於該插入層,且該第二介電層具有一介電常數值,該介電常數值小於該插入層;以及一第一導電導線,貫穿該第二介電層、該插入層及該第一介電層而接觸該基板上方的一第一區域,該第一導電導線包含具有一第一寬度之一通孔部分及具有一第二寬度的一溝槽部分,該溝槽部分與該通孔部分重疊,該第二寬度大於該第一寬度,該溝槽部分之一底表面藉由該第一介電層之一第一部分或該第二介電層之一第一部分與該插入層之一表面分隔。
10‧‧‧基板
12‧‧‧導電元件
14‧‧‧蝕刻停止層
16‧‧‧第一介電層
18‧‧‧插入層
20‧‧‧第二介電層
22‧‧‧開口
22A‧‧‧上通孔區段
22B‧‧‧下通孔區段
23‧‧‧阻障層
24‧‧‧導線結構/導電材料
24A‧‧‧溝槽區段
24B‧‧‧通孔區段
26‧‧‧插入層
30‧‧‧鰭式場效電晶體
32‧‧‧基板
34‧‧‧絕緣區域
36‧‧‧鰭板
38‧‧‧閘極介電質
40‧‧‧閘極電極
42‧‧‧源極/汲極區域
44‧‧‧源極/汲極區域
50‧‧‧基板
50B‧‧‧第一區域
50C‧‧‧第二區域
52‧‧‧鰭板
54‧‧‧絕緣區域/淺溝槽絕緣(Shallow Trench Isolation,STI)區域
56‧‧‧鰭板
58‧‧‧虛設介電層
60‧‧‧虛設閘極層
62‧‧‧遮罩層
70‧‧‧虛設閘極
72‧‧‧遮罩
76‧‧‧虛設閘極
78‧‧‧遮罩
80‧‧‧閘極密封間隔物
82‧‧‧磊晶源極/汲極區域
84‧‧‧磊晶源極/汲極區域
86‧‧‧閘極間隔物
88‧‧‧ILD
90‧‧‧凹槽
92‧‧‧閘極介電層
94‧‧‧閘極電極
96‧‧‧閘極介電層
98‧‧‧閘極電極
100‧‧‧層間介電質(Inter-Layer Dielectrics,ILD)
102‧‧‧接觸
104‧‧‧接觸
106‧‧‧接觸
108‧‧‧接觸
110‧‧‧金屬間介電質(Inter-Metal Dielectrics,IMD)
114‧‧‧層
116‧‧‧層
118‧‧‧層
120‧‧‧層
124‧‧‧導線
126‧‧‧導線
128‧‧‧導線
130‧‧‧導線
204‧‧‧步驟
228‧‧‧步驟
D1‧‧‧高度
D2‧‧‧高度
D3‧‧‧距離
D4‧‧‧距離
T1‧‧‧第一厚度
T2‧‧‧第二厚度
T3‧‧‧第三厚度
T4‧‧‧第四厚度
T5‧‧‧第五厚度
T6‧‧‧厚度
T7‧‧‧厚度
T8‧‧‧厚度
T9‧‧‧厚度
T10‧‧‧厚度
由以下文之詳細說明並同時參照附圖能夠最適當地理解本揭示內容之態樣。應注意,依據工業中之標凖實務,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1圖到第6圖是依據一些實施例之製造導線結構的中間階段的剖面示意圖。
第7圖是依據一些實施例之導線結構的剖面示意圖。
第8圖到第11圖是依據一些實施例之製造導線結構的中間階段的剖面示意圖。
第12圖是依據一些實施例之導線結構的剖面示意圖。
第13圖是三維示意圖中的鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)之實例。
第14圖到第18圖、第19A-19C圖、第20A-20C圖、第21A-21C圖、第22A-22C圖、第23A-23C圖、第24A-24C圖、第25A-25C圖、第26A-26C圖,及第27A-27C圖是依據一些實施例之製造具有導線結構的鰭式場效電晶體之中間階段之剖面示意圖。
以下揭示內容提供眾多不同的實施例或實例以用於實施本發明之不同特徵。下文中描述組件及排列之特定實例以簡化本揭示內容。此等組件及排列當然僅為例示實施例,且不意欲進行限制。例如,在下文之描述中,第一特徵形成在第二特徵上 方或之上可包含其中第一特徵與第二特徵以直接接觸方式形成的實施例,且亦可包含其中在第一特徵與第二特徵之間形成額外特徵而使得第一特徵與第二特徵無法直接接觸之實施例。此外,本揭示內容在多個實例中使用重複的元件符號及/或字母。此重複是為了簡化及清楚之目的,而非意指所論述的各個實施例及/或構造之間的關係。
此外,在此使用諸如「下方(beneath)」、「以下(below)」、「下部(lower)」、「上方(above)」、「上部(upper)」等空間相對用語用於簡化描述,以描述如附圖中所圖示的一個元件或特徵結構與其他元件或特徵結構的關係。該空間相對用語意欲涵蓋使用或操作中之元件在除了附圖描述的方向以外的不同方向。此裝置亦可被轉向(90°旋轉或其他方位),且本文使用的空間相對用語可據此作類似的解釋。
依據各種實施方式,提供導線結構及其形成方法。在此說明形成導線結構之中間階段。在藉由使用雙金屬鑲嵌製程而形成的導線結構之上下文中討論一些實施方式。在其他實施例中,可使用單金屬鑲嵌製程。此等實施例之一些變化也被討論。此項技術領域之具有一般知識者將理解,其他的更動仍被認為在實施例的範圍內。儘管在此以特定次序論述方法實施例,但亦可依任一合乎邏輯的次序執行各種其他方法實施例,而且這些其他方法實施例可包含比本文所述之更少或更多的步驟。
現請參看第1圖,第1圖繪示具有主動裝置(未繪示)之基板10、基板10中之金屬化層(metallization layers)(未繪示)、耦接至金屬化層之導電元件12、可選擇性使用的蝕刻停止層14,以及第一介電層16。基板10可為半導體基板,例如塊狀半導體、絕緣體上覆半導體(semiconductor on insulator,SOI)基板或類似物,上述各者可為摻雜(例如摻雜p型或n型摻雜劑)或無摻雜。基板10可為晶圓,例如矽晶圓。一般而言,SOI基板包含形成於絕緣體層上之半導體材料層。絕緣體層例如可為內埋式氧化物(buried oxide,BOX)層、氧化矽層或類似物。提供絕緣體層於基板上,基板通常為矽或玻璃基板。亦可使用其他基板例如多層或梯度基板。在一些實施例中,基板10之半導體材料可包含矽或包含鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦,以及/或銻化銦之化合物半導體;包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP之合金半導體;或上述各者之組合。
主動裝置可包含各種主動裝置,例如電晶體及類似裝置,以及被動裝置例如電容器、電阻器、電感器和類似裝置,可利用主動裝置及被動裝置形成所需之具結構性及功能性的設計部件。主動裝置及被動裝置可藉由使用任一適合方法形成在基板10之內或之上。
在主動裝置上方形成金屬化層並設計連接各種主動裝置以針對設計形成功能性電路系統。在一實施例中,金屬化層由交替的介電及導電材料層形成,而且可經由任一適合製程(如沉積、金屬鑲嵌(damascene)、雙金屬鑲嵌(dual damascene)等製程)形成。在一實施例中,可有一 個至四個金屬化層,金屬化層間藉由至少一層間介電層(interlayer dielectric layer,ILD)使彼此隔離,但金屬化層之精確數量取決於設計的需求。
導電元件12可形成於金屬化層之中或上方,而且導線結構24(未繪示於第1圖中,但繪示於下述第6圖中)將與導電元件12進行實體及電性連接。在一實施例中,導電元件12可為例如銅之材料,此材料藉由使用例如金屬鑲嵌或雙金屬鑲嵌製程而形成,藉此形成一開口於金屬化層內,使用例如銅之導電材料填充及/或過量填充開口,而且執行平面化製程將導電材料埋置在金屬化層內。然而,任一適合材料及任一適合製程都可用以形成導電元件12。
蝕刻停止層14可在基板10、任一金屬化層及導電元件12上方形成。在一些實施例中,蝕刻停止層14可為氮化矽、碳化矽、氧化矽、低介電常數介電質例如碳摻雜氧化物、極低介電常數介電質例如具有碳摻雜的多孔二氧化矽之、類似材料或上述各者之組合。而且蝕刻停止層14可藉由化學氣相沉積(CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋塗介電製程,或使用上述各者之組合而沉積。
可形成第一介電層16以協助使導線結構24與其他相鄰電路佈線絕緣。在一實施例中,第一介電層16例如可為低介電常數介電薄膜,此薄膜是為了協助使導線結構24與其他結構絕緣。藉由使導線結構24絕緣,導線結構24之電阻電容(resistance-capacitance,RC)延遲可縮短,由此改良流經導線結構24之總電流效率及速度。
在一實施例中,第一介電層16可為多孔性材料,例如SiOCN、SiCN、SiOC、SiOCH或類似物,而且如果蝕刻停止層14存在的話,可藉由最初在蝕刻停止層14上方形成前驅物層而形成第一介電層16。前驅物層可包含基質材料及分散在基質材料內的成孔劑(porogen),或可包含不含成孔劑的基質材料。在一實施例中,例如可藉由使用一製程共同沉積基質及成孔劑而形成前驅物層,此製程如電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD),在此製程中,基質材料與成孔劑同時沉積,由此形成具有混合基質材料及成孔劑的前驅物層。然而,此技術領域中之具有一般知識者將了解,使用一同步PECVD製程的共同沉積並非可用以形成前驅物層的唯一製程。亦可利用任一適合製程,例如將基質材料與成孔劑材料預混合為液體,然後將此混合物旋塗在蝕刻停止層14上。
前驅物層可具有一厚度,此厚度足以提供第一介電層16所需之絕緣與佈線特性。在一實施例中,前驅物層可具有第一厚度T1,此第一厚度T1之範圍介於約10Å至約1000Å,例如約300Å。然而,此等厚度僅以說明為目的,而且並非意欲限制實施例之範疇,因為前驅物層之精確厚度可為任一適合所需厚度。
基質材料或基礎介電材料可藉由使用例如PECVD之製程而形成,但也可使用任一適合製程,例如化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD),或甚至旋塗 塗佈。PECVD製程可利用例如甲基二乙氧基矽烷(DEMS)之前驅物,但可替代地使用其他前驅物,如其他矽烷、烷基矽烷(例如三甲基矽烷及四甲基矽烷)、烷氧矽烷(例如甲基三乙氧矽烷(MTEOS)、甲基三甲氧基矽烷(MTMOS)、甲基二甲氧基矽烷(MDMOS)、三甲基甲氧基矽烷(TMMOS)及二甲基二甲氧基矽烷(DMDMOS))、直鏈矽氧烷及環矽氧烷(例如八甲基環四矽氧烷(OMCTS)及四甲基環四矽氧烷(TMCTS))、此等前驅物之組合或其類似物。然而,此項技術之一般技術者將了解,在此列舉材料及製程僅為說明,而且並非意欲限制實施例,因為也可替代地利用任何其他適合的基質前驅物。
成孔劑可為分子,此分子在基質材料固化之後可從基質材料移除,以便在基質內形成小孔,從而降低第一介電層16整體的介電常數值。成孔劑可為一材料,此材料尺寸大到足以形成孔洞,同時亦維持小到足以使單孔大小不會使基質材料過度位移。因而,成孔劑可包含有機分子,如α松油烯(ATRP)(1-異丙基-4-甲基-1,3-環已二烯)或環辛烷(船式構形)或1,2-雙(三乙氧矽烷基)乙烷矽。
在形成前驅物層和分散在基質材料內的成孔劑之後,從基質材料中移除成孔劑而在基質材料內形成小孔。在一實施例中,藉由退火製程來移除成孔劑,此製程可分解及蒸發成孔劑材料,從而允許成孔劑材料散逸且留下基質材料,從而留下結構完整的多孔介電材料作為第一介電層16。例如,可利用退火製程,退火製程的溫度範圍介於約 200℃至約500℃,例如約400℃,且時間範圍介於約10秒至約600秒,例如約200秒。
然而,此項技術領域之具有一般知識者將了解,上述熱製程並非可用以從基質材料中移除成孔劑以形成第一介電層16的唯一方法。也可替代地利用其他適合製程,例如使用紫外線輻射照射成孔劑分解成孔劑或利用微波分解成孔劑。這些用以移除全部或一部分成孔劑的製程以及任何其他適合製程完全包含在實施例範疇內。
然而,如上所述的第一介電層16不具有承受在圖案化製程期間可能出現的失衡應力的所需抗性。舉例來說,位於一通孔開口鄰近處之多個溝槽開口的變形量,可能不同於位於遠離通孔開口之溝槽開口的變形量,例如藉由通孔開口移除第三溝槽開口。在一特定例子中,每一溝槽開口可利用製程進行圖案化,此製程嘗試形成具有相近寬度的溝槽開口,但因為每一開口內的失衡應力,鄰近於通孔開口之溝槽開口與遠離通孔開口之溝槽開口達到的寬度互相比較時,鄰近於通孔開口之溝槽開口的所需寬度可能會減少4奈米至5奈米或6奈米。此種通孔開口縮小及多個溝槽開口之間的差距可能在隨後的金屬化製程(下文中進一步論述)中導致間隙充填問題。
第2圖繪示在第一介電層16上方形成插入層18,以便為額外的結構性支撐提供框架來增強第一介電層16及其他隨後沉積層的穩固性。此外,加入插入層18影響電容的程度小於只是將塊狀薄膜改變為低介電常數介電薄 膜。在一實施例中,插入層18是比第一介電層16具有更大硬度及更高介電常數值的材料。例如,在一實施例中,第一介電層16的硬度範圍介於約1.5GPa至約3.0GPa,例如約2GPa,而插入層18可具有大於約8GPa之硬度,例如範圍介於約10GPa至約13GPa,例如約12GPa。換言之,插入層18的硬度可比第一介電層16大至少5GPa。同樣,在第一介電層16之介電常數值範圍介於約2.3至約2.9的實施例中,插入層18之介電常數值可大於約3.0。
在一些實施例中,插入層18可包含一材料,例如SixOy(例如,SiO2)、SixCy(例如,SiC)、SixOyCz(例如,SiOC)、SixCyNz(例如,SiCN)、上述各者之組合或類似物。然而,可利用任何適合的材料為第一介電層16提供額外結構性支撐。
在一實施例中,可藉由使用一沉積製程而形成插入層18,此沉積製程如化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、物理氣相沉積(physical vapor deposition,PVD)、電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、旋塗塗覆,或類似製程。在其他實施例中,例如形成SiO2的實施例,可沉積或形成第一材料的初始層,例如矽,然後此層可例如利用氧處理,以便形成插入層18的最終材料。可使用任何適合製程形成插入層18。插入層18可形成至第二厚 度T2,此第二厚度T2之範圍介於約10Å至約100Å,例如約50Å。
第3圖繪示在插入層18上方形成第二介電層20。在一實施例中,第二介電層20可由與第一介電層16類似的材料並以類似的方式形成。例如,第二介電層20可包含藉由最初放置一基質材料及成孔劑,隨後移除成孔劑而形成的多孔材料,例如ATRP(1-異丙基-4-甲基-1、3-環己二烯)或環辛烷(船式構形)或1,2-雙(三乙氧矽烷基)乙烷矽。然而,在其他實施例中,第二介電層20可為與第一介電層16不同的材料。任何適合的材料組合可形成第二介電層20。在一實施例中,第二介電層20可形成至第三厚度T3,此第三厚度T3之範圍介於約10Å至約1000Å,例如約600Å。
第4圖繪示將第二介電層20、插入層18、第一介電層16及蝕刻停止層14(如果存在的話)圖案化而形成開口22以曝露部分導電元件12。開口22允許導線24中的一部分與導電元件12進行實體及電性接觸。在一些實施例中,開口22是雙鑲嵌開口,包含上溝槽區段22A及下通孔區段22B。雖然實施例在多個層16、18及20中繪示雙鑲嵌開口,但在此處揭示的方法適用於層中具有單鑲嵌開口之實施例。在包含「先通孔」(via-first)圖案化方法或「先溝槽」(trench-first)圖案化方法的雙鑲嵌技術中,可藉由使用光微影技術而形成上溝槽區段22A及下通孔區段22B,此光微 影技術具有遮罩技術及各非等向性蝕刻操作(例如電漿蝕刻或反應性離子蝕刻)。
例如,在一先通孔(via-first)的實施例中,在第二介電層20上方形成第一光阻(未繪示)並經由圖案化後曝露第二介電層20之一部分。第一光阻可藉由使用旋塗技術而形成,而且可藉由使用合適的光微影技術圖案化第一光阻。一旦圖案化第一光阻,則執行第一非等向性蝕刻製程以形成通孔開口至導電元件12,而且第一光阻可充當蝕刻製程之遮罩。在第一非等向性蝕刻製程之後,例如藉由合適的灰化製程,移除第一光阻。在移除第一光阻之後,在第二介電層20上方形成第二光阻並圖案化第二光阻以曝露第二介電層20之一部分。第二光阻可藉由使用旋塗技術而形成,而且可藉由使用合適的光微影技術圖案化第二光阻。一旦圖案化第二光阻,則執行第二非等向性蝕刻製程以形成開口之溝槽區段,而且第二光阻可充當蝕刻製程之遮罩。在第二非等向性蝕刻製程之後,例如藉由合適的灰化製程,移除第二光阻劑。
鑒於前述製程(不使用插入層18)由於鄰近的通孔蝕刻製程造成失衡應力,此等通孔蝕刻製程造成鄰近通孔之溝槽頂部開口彎曲並造成從溝槽開口到裝置周圍不同位置處的範圍內產生臨界尺寸錯配問題(critical dimension mismatch),但插入層18的存在將有助於避免第一介電層16及第二介電層20彎曲或崩塌。因而,開口22頂部最好保持所需形狀,而且裝置上的開口22可具有更小 變異。例如,鑒於沒有插入層18的前述製程可能導致彎曲,彎曲可能導致不同開口22一定程度的寬度變化,變化範圍介於約5奈米至約6奈米,例如約5.5奈米(或寬度變化大於所需圖案之10%),但加入插入層18可減少開口22頂部的彎曲量。
第5圖繪示利用導電材料24填充開口22。在一實施例中,開口22可填充阻障層23及導電材料24。阻障層23可包含例如氮化鈦之導電材料,但亦可替代地使用例如氮化鉭、鈦、介電質或類似物的其他材料。阻障層23可藉由使用CVD製程而形成,例如PECVD。在一些實施例中,形成阻障層23,阻障層23的厚度範圍介於約10Å至約1000Å。然而,也可以使用其他製程例如濺鍍或金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)。形成阻障層23以便與開口22之下層形狀輪廓相符。
導電材料24可包含銅,但也可替代地使用其他適合材料,如鋁、合金、摻雜多晶矽、上述各者之組合以及類似物。可藉由先沉積種晶層(第5圖中未單獨繪示),然後將銅電鍍至種晶層,充填及過量充填開口22而形成導電材料。
一旦開口22被填充,第6圖繪示移除開口22外側的多餘阻障層23及多餘導電材料24而形成導線24。在一些實施例中,移除多餘阻障層23及多餘導電材料24是藉由例如化學機械研磨(chemical mechanical polishing, CMP)之研磨製程而執行的,但可使用任何適合的移除製程。在一些實施例中,導線24是雙鑲嵌導線,包含溝槽區段24A及通孔區段24B。在一些實施例中,導線24之通孔區段24B具有從基板10表面起測得的高度D1,高度D1介於約400Å至約450Å。在一些實施例中,導線24之溝槽區段24A具有從溝槽區段24A頂表面起至溝槽區段24A底表面測得的高度D2,高度D2介於約410Å至約460Å。在一些實施例中,導線24之溝槽區段24A之底表面與插入層18頂表面分隔距離為D3,距離D3介於約20Å至約130Å。
藉由形成插入層18而向第一介電層16及第二介電層20提供額外支撐,可減輕或防止在圖案化製程期間一般會出現的外形損傷及彎曲。因此可防止這些不合乎需要的外形損傷之有害效應,例如沿開口22頂部之各種的縮短寬度。這種防止措施能使隨後的填充製程期間出現更少缺陷。
第7圖繪示依據另一實施例之導線結構的剖面示意圖。第7圖中之實施例類似於第1圖到第6圖中繪示的實施例,但在第7圖的實施例中,相對於前述實施例中通孔區段,插入層18形成於導線24之溝槽區段中。第一介電層16、插入層18以及第二介電層20之材料及形成製程可類似於先前描述的實施例,但各層之相對厚度可改變,因此,在此不重複關於各層之描述以及和先前描述之實施例類似的實施例相關細節。
在此實施例中,第一介電層16可具有介於約10Å至約1000Å的第四厚度T4,例如約600Å,插入層18可具有第二厚度T2,第二介電層20可具有介於約10Å至約1000Å的第五厚度T5,例如約300Å。在此實施例中,導線24之溝槽區段24A之底表面與插入層18底表面分隔距離為D4,距離D4介於約30Å至約150Å中。
第8圖到第11圖是依據另一實施例之製造導線結構中間階段之剖面示意圖。此實施例類似於第1圖至第6圖中繪示的實施例,但在此實施例中,藉由電漿處理製程形成插入層,與前述實施例中使用沉積製程不同。在此將不重複與先前描述之實施例類似的實施例相關細節。
第8圖處於與上述第1圖類似的製程站點,而且在此不重複在此站點之前所執行的製程及步驟的描述。第8圖包含基板10、導電元件12、可選擇性使用的蝕刻停止層14以及第一介電層16。
第9圖繪示在第一介電層16上方形成插入層26,以便為額外支撐結構提供框架而增強第一介電層16及其他隨後沉積層的穩固性。此外,加入插入層26影響電容的程度小於只將塊狀薄膜改變為低介電常數介電薄膜。在一實施例中,插入層26是比第一介電層16具有更大硬度及更高介電常數值的材料。例如,在一些實施例中,第一介電層16具有約2.6或更小的介電常數值,而且插入層26具有大於2.8之介電常數值,例如約3.0。
在一些實施例中,藉由在第一介電層16上執行電漿處理製程而形成插入層26。電漿處理製程可包含電漿反應氣體,如He、Ar、NH3、CO2、N2、O2、類似物或上述各者之組合。在一些實施例中,電漿處理製程可在一溫度、一壓力及一處理功率下執行,此溫度介於約200℃至約400℃,此壓力介於約0.5托至約10托,而且此處理功率(有時被稱作放電功率及/或轟擊強度)介於約100瓦特至約500瓦特。在一些實施例中,電漿系統是直接電漿系統,而且在其他實施例中,電漿系統是遠端電漿系統。電漿處理製程可將第一介電層16之至少一上部轉化為插入層26,使第一介電層16厚度減少。在一些實施例中,至少部分的第一介電層16經電漿處理後形成插入層26,而在其他實施例中,插入層26主要由經電漿處理的第一介電層16組成。
第10圖繪示在插入層26上方形成第二介電層20。在一實施例中,第二介電層20可由與第一介電層16類似的材料及使用類似的方式形成。然而,在其他實施例中,第二介電層20可為與第一介電層16不同的材料。任何適合的材料組合可形成第二介電層20。在一實施例中,第二介電層可形成至厚度T8,厚度T8介於約10Å至約1000Å,例如約600Å。插入層26可形成至厚度T7,厚度T7介於約10Å約100Å,例如約50Å。第一介電層16可形成至厚度T6,厚度T6介於約10Å至約1000Å,例如約300Å。
在形成第二介電層20之後,執行類似於第4圖、第5圖及第6圖中的上述製程而形成第11圖中之結構, 此製程處於與第6圖類似的製程站點。第4圖、第5圖及第6圖之製程及步驟已如上所述,在此不再重複。
第12圖是依據另一實施例的導線結構之剖面示意圖。第12圖中之實施例類似於第8圖到第11圖中繪示的實施例,但在第12圖之此實施例中,插入層26形成於導線24之溝槽區段中,與前述實施例中形成於通孔區段不同。第一介電層16、插入層26以及第二介電層20之材料及形成製程可類似於先前描述的實施例,但各層之相對厚度可改變,因此,在此不重複這些層之描述。在此將不重複與先前描述之實施例類似的關於此實施例之細節。
在此實施例中,第一介電層16可具有介於約10Å至約1000Å的厚度T9,例如約600Å,插入層26可具有厚度T7,而且第二介電層20可具有介於約10Å至約1000Å的厚度T10,例如約300Å。在此實施例中,導線24之溝槽區段24A底表面與插入層26之底表面分隔距離為D4。
藉由提供插入層作為額外支撐結構的框架,可支撐第一介電層16及第二介電層20在正常情況下較脆弱的多孔材料。此種額外支撐有助於減少不同開口之間的差異,此等差異可能由開口與相鄰開口的鄰近度(或缺少鄰近度)導致。這能防止在隨後的間隙充填製程期間可能出現的併發問題。
第14圖到第18圖、第19A-19C圖、第20A-20C圖、第21A-21C圖、第22A-22C圖、第23A-23C圖、第24A-24C圖、第25A-25C圖、第26A-26C圖,以及第 27A-27C圖是依據一些實施例之製造具有導線結構的鰭式場效電晶體之中間階段之剖面示意圖。
第13圖繪示三維視圖中的鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)30之實施例。FinFET 30包含一鰭板36於基板32上。基板32包含絕緣區域34,而且鰭板36從相鄰絕緣區域34之間突出至這些區域以上的高度。閘極介電質38位於側壁及位於鰭板36之頂表面上,而且閘極電極40位於閘極介電質38上方。源極/汲極區域42及44相對於閘極介電質38及閘極電極40配置於鰭板36之相對側中。第13圖進一步繪示用於後面圖式中之參考剖面示意圖。剖面A-A橫穿鰭式場效電晶體(FinFET)30之通道、閘極介電質38及閘極電極40。剖面B/C-B/C垂直於剖面A-A而且沿著鰭板36之縱軸,並具有例如源極/汲極區域42及44之間電流流向的一方向。隨後圖式為明晰起見而參照此等參考剖面。
在此論述的一些實施例為關於藉由使用後閘極(gate-last)製程而形成的鰭式場效電晶體(FinFET)。在其他實施例中,可使用先閘極(gate-first)製程。此外,一些實施例設想用於平面裝置中之態樣,例如平面場效電晶體。
第14圖到第27C圖是依據一例示實施例之製造鰭式場效電晶體的中間階段之剖面示意圖。第14圖到第18圖繪示第13圖中繪示的參考剖面A-A,不同之處在於具有多個鰭式場效電晶體。在第19A圖到第27C圖中,以「A」標識結尾的圖式為沿著類似A-A的剖面繪示;以「B」標識 結尾的圖式為沿著類似B/C-B/C的剖面以及在基板上的第一區域繪示;以及以「C」標識結尾的圖式為沿著類似B/C-B/C的剖面及在基板上的第二區域繪示。
第14圖繪示基板50。基板50可為半導體基板,如塊狀半導體、絕緣體上半導體(semiconductor on insulator,SOI)基板或類似物,基板可為摻雜(例如摻雜p型或n型摻雜劑)或無摻雜。基板50可為晶圓,如矽晶圓。一般而言,SOI基板包含形成於絕緣體層之上的半導體材料層。絕緣體層例如可為內埋式氧化物(buried oxide,BOX)層、氧化矽層或類似物。提供一絕緣體層於基板上,基板通常為矽或玻璃基板。亦可使用例如多層或梯度基板之其他基板。在一些實施例中,基板50之半導體材料可包含矽或包含鍺;包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦,及/或銻化銦之化合物半導體;包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP之合金半導體;或上述各者之組合。
基板50具有第一區域50B及第二區域50C。第一區域50B(對應於隨後以「B」結尾的圖式)可用於形成n型裝置,如N型金氧半導體電晶體,如n型鰭式場效電晶體。第二區域50C(對應於隨後以「C」結尾的圖式)可用於形成p型裝置,如p型金氧半導體電晶體,如p型鰭式場效電晶體。
第15圖及第16圖繪示鰭板52及相鄰的鰭板52之間的絕緣區域54之形成。在第15圖中,鰭板52形成於基 板50中。在一些實施例中,可藉由蝕刻基板50中之溝槽而於基板50中形成鰭板52。蝕刻可為任何合適的蝕刻製程,如反應性離子蝕刻(reactive ion etch,RIE)、中性射束蝕刻(neutral beam etch,NBE)、類似製程,或上述各者之組合。蝕刻可為非等向性。
在第16圖中,絕緣材料54形成於相鄰的鰭板52之間而形成絕緣區域54。絕緣材料54可為例如氧化矽之氧化物、氮化物、類似物或上述各者之組合,而且可藉由高密度電漿化學氣相沉積(high density plasma chemical vapor deposition,HDP-CVD)、可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)(例如在遠端電漿系統中進行以CVD為主的材料沉積,而且在後固化後使材料轉換為另一材料,例如氧化物)、類似製程或上述各者之組合而形成。可使用由任何合適的製程形成的其他絕緣材料。一旦形成絕緣材料,則可執行退火製程。在繪示的實施例中,絕緣材料54是由FCVD製程形成的氧化矽。絕緣材料54可被稱作絕緣區域54。進一步而言,在第5圖及在步驟204中,例如化學機械研磨(chemical mechanical polish,CMP)之平面化製程可移除任何多餘絕緣材料54及形成絕緣區域54之頂表面及鰭板52之頂表面,這些頂表面為共面。
第17圖繪示形成絕緣區域54之凹槽以形成淺溝槽絕緣(Shallow Trench Isolation,STI)區域54。凹陷的絕緣區域54使第一區域50B中及第二區域50C中之鰭板 56從相鄰絕緣區域54之間突出。此外,絕緣區域54之頂表面可具有如圖所示的平面、凸面、凹面(例如凹陷),或上述各者之組合。絕緣區域54的頂表面可藉由適當蝕刻而形成平面、凸形,及/或凹形。絕緣區域54可藉由使用合適的蝕刻製程而形成凹槽,例如對絕緣區域54材料具有選擇性的蝕刻製程。例如,可使用CERTAS®蝕刻或應用材料公司SICONI的工具移除化學氧化物,或使用稀釋氫氟酸(dHF)。
此項領域之通常知識者將理解,針對第15圖到第17圖描述的製程僅為可如何形成鰭板56之一個實例。在其他實施例中,介電層可形成於基板50頂表面上方;溝槽可蝕穿介電層;同質磊晶結構可在溝槽中磊晶生長;而且可在介電層中形成凹槽使同質磊晶結構從介電層突出以形成鰭板。在其他實施例中,異質磊晶結構可使用於鰭板。例如,可在第16圖中之半導體條帶(鰭板52)上形成凹槽,而且可將不同於半導體條帶(鰭板52)的材料磊晶生長於這些條帶的位置上。在又一實施例中,介電層可形成於基板50頂表面上方;可穿過介電層蝕刻出溝槽;可藉由使用不同於基板50的材料而在溝槽中磊晶生長異質磊晶結構;而且可在介電層中形成凹槽以便使異質磊晶結構從介電層突出而形成鰭板56。在一些實施例中,同質磊晶或異質磊晶結構的磊晶生長,可在生長期間原處(in situ)摻雜生長材料,此舉可免去預先及隨後之佈植製程,但可同時使用原處(in situ)及佈植摻雜。更進一步而言,在N型金氧半導體區域中磊晶生長與P型金氧半導體區域中不同的材料可為有利的。在多 個實施例中,鰭板56可包含矽鍺(SixGe1-x,其中x可在約0與100之間)、碳化矽、純鍺或大致上純鍺、第III-V族化合物半導體、第II-VI族化合物半導體或類似物。例如,用於形成第III-V族化合物半導體的適合材料包含但不限於InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP或類似物。
在第17圖中,適當的井(well)可形成於鰭板56、鰭板52及/或基板50中。例如,P井可形成於第一區域50B中,而且N井可形成於第二區域50C中。
可藉由使用光阻劑或其他遮罩(未繪示)而實現不同區域50B及50C之不同的佈植步驟。例如,在第一區域50B中的鰭板56及絕緣區域54上方形成光阻。圖案化光阻而曝露基板50之第二區域50C,如P型金氧半導體區域。光阻劑可藉由使用旋塗技術而形成,而且可藉由使用可接受的光微影技術圖案化光阻。一旦圖案化光阻,在第二區域50C中執行n型雜質佈植,而且光阻可充當遮罩以大體上防止n型雜質佈植至第一區域50B中,如N型金氧半導體區域。n型雜質可為佈植在第一區域中之磷、砷或類似物,佈植濃度等於或小於1018cm-3,例如介於約1017cm-3至約1018cm-3。佈植之後,如藉由合適的灰化製程移除光阻劑。
在第二區域50C佈植之後,光阻形成於第二區域50C中的鰭板56及絕緣區域54上方。圖案化光阻而曝露基板50之第一區域50B,例如N型金氧半導體區域。第二光阻可藉由使用旋塗技術而形成,而且可藉由使用合適的光微 影技術而圖案化第二光阻。一旦圖案化光阻,可在第一區域50B中執行p型雜質佈植,而且光阻可充當遮罩而大體上防止p型雜質佈植至第二區域(如P型金氧半導體區域)中。p型雜質可為佈植在第一區域中之硼、BF2或類似物,佈植濃度等於或小於1018cm-3,例如介於約1017cm-3至約1018cm-3。佈植之後,可例如藉由合適的灰化製程移除光阻。
在第一區域50B及第二區域50C佈植之後,可執行退火以活化已佈植的p型及n型雜質。佈植製程可在第一區域50B中(例如N型金氧半導體區域)形成p井,而且可在第二區域50C中(例如P型金氧半導體區域)形成n井。在一些實施例中,可在生長期間原處摻雜磊晶鰭板(epitaxial fins)之生長材料,此舉可避開佈植製程,但也可同時使用原處及佈植摻雜製程。
在第18圖中,虛設介電層(dummy dielectric layer)58形成於鰭板56上。虛設介電層58可為例如氧化矽、氮化矽、上述各者之組合或類似物,而且可根據合適的技術而沉積或熱生長。虛設閘極層60形成於虛設介電層58上方,而且遮罩層62形成於虛設閘極層60上方。虛設閘極層60可沉積在虛設介電層58上方,然後藉由例如CMP平面化。遮罩層62可沉積在虛設閘極層60上方。虛設閘極層60可由例如多晶矽組成,但亦可使用蝕刻絕緣區域54時具有高蝕刻選擇比的其他材料。遮罩層62可包含例如氮化矽或類似物。在此實施例中,單個虛設閘極層60及單個遮罩層62在整個第一區域50B及第二區域50C中形成。在其他實施 例中,單獨的虛設閘極層可形成於第一區域50B及第二區域50C中,而且單獨的遮罩層可形成於第一區域50B及第二區域50C中。
在第19A圖、第19B圖及第19C圖中,可藉由使用合適的光微影技術及蝕刻技術圖案化遮罩層62,在第一區域50B中形成遮罩72(如第19B圖中繪示),並在第二區域50C中形成遮罩78(如第19C圖中繪示)。遮罩72及78之圖案隨後可藉由合適的蝕刻技術轉移至虛設閘極層60及虛設介電層58,在第一區域50B中形成虛設閘極70並在第二區域50C中形成虛設閘極76。虛設閘極70及76覆蓋鰭板56之各個通道區域。虛設閘極70及76亦可具有一延伸方向,此延伸方向大致垂直於各個磊晶鰭板之延伸方向。
在第20A圖、第20B圖及第20C圖中,閘極密封間隔物80可形成於各個虛設閘極70及76及/或鰭板56之曝露表面上。在熱氧化或沉積之後進行非等向性蝕刻可形成閘極密封間隔物80。
在形成閘極密封間隔物80之後,可對輕摻雜源極/汲極(lightly doped source/drain,LDD)區域執行佈植。與第17圖中前文論述之佈植類似,例如光阻之遮罩可形成於第一區域50B(例如N型金氧半導體區域)上方,同時曝露第二區域50C(例如P型金氧半導體區域),而且p型雜質可佈植至第二區域50C之曝露鰭板56中。然後可移除遮罩。隨後,遮罩,例如光阻,之可形成於第二區域50C上方,同時曝露第一區域50B,而且n型雜質可佈植至第一區 域50B中之曝露鰭板56中。然後可移除遮罩。n型雜質可為先前論述的n型雜質中任何雜質,而且p型雜質可為先前論述的p型雜質中任何雜質。輕摻雜源極/汲極區域可具有介於約1015cm-3至約1016cm-3的雜質濃度。可用退火製程活化佈植雜質。
此外,在第20A圖、第20B圖及第20C圖中,磊晶源極/汲極區域82及84形成於鰭板56中。在第一區域50B中,磊晶源極/汲極區域82形成於鰭板56中,使每一虛設閘極70配置在相鄰的各對磊晶源極/汲極區域82之間。在一些實施例中,磊晶源極/汲極區域82可延伸至鰭板52內。在第二區域50C中,磊晶源極/汲極區域84形成於鰭板56中,使得每一虛設閘極76配置在相鄰的各對磊晶源極汲極區域84之間。在一些實施例中,磊晶源極/汲極區域84可延伸至鰭板52內。
第一區域50B(例如N型金氧半導體區域)中之磊晶源極/汲極區域82可藉由以下步驟形成:遮蔽第二區域50C(例如P型金氧半導體區域)並在第一區域50B中共形沉積虛設間隔物層,隨後進行非等向性蝕刻,而在第一區域50B中沿虛設閘極70及/或閘極密封間隔物80之側壁形成虛設閘極間隔物(未繪示)。然後,蝕刻第一區域50B中之磊晶鰭板的源極/汲極區域而形成凹槽。第一區域50B中之磊晶源極/汲極區域82在凹槽中磊晶生長。磊晶源極/汲極區域82可包含任何合適的材料,例如適用於n型鰭式場效電晶體的材料。例如,如果鰭板56是矽,則磊晶源極/汲極區域 82可包含矽、SiC、SiCP、SiP或類似物。磊晶源極/汲極區域82之表面可從鰭板56之各表面升起,而且可具有小面(facets)。隨後,移除第一區域50B中之虛設閘極間隔物,例如藉由蝕刻而移除,亦移除第二區域50C上之遮罩。
第二區域50C(例如P型金氧半導體區域)中之磊晶源極/汲極區域84可藉由以下步驟而形成:遮蔽第一區域50B(例如N型金氧半導體區域)並在第二區域50C中共形沉積虛設間隔物層,隨後進行非等向性蝕刻,在第二區域50C中沿虛設閘極76及/或閘極密封間隔物80之側壁形成虛設閘極間隔物(未繪示)。然後,蝕刻第二區域50C中之磊晶鰭的源極/汲極區域而形成凹槽。在凹槽中磊晶生長第二區域50C中之磊晶源極/汲極區域84。磊晶源極/汲極區域84可包含任何合適的材料,例如適用於p型鰭式場效電晶體的材料。例如,如果鰭板56是矽,則磊晶源極/汲極區域84可包含SiGe、SiGeB、Ge、GeSn或類似物。磊晶源極/汲極區域84之表面可從鰭板56之各表面升起,而且可具有小面。隨後,可例如藉由蝕刻移除第二區域50C中之虛設閘極間隔物,亦移除第一區域50B上之遮罩。
在第21A圖、第21B圖及第21C圖中,閘極間隔物86沿虛設閘極70及76之側壁形成於閘極密封間隔物80上。閘極間隔物86可藉由共形地沉積材料並隨後非等向性地蝕刻此材料而形成。閘極間隔物86之材料可為氮化矽、SiCN、上述各者之組合或類似物。
磊晶源極/汲極區域82及84及/或磊晶鰭板可利用摻雜劑佈植形成源極/汲極區域,此類似於先前論述的用於形成輕微摻雜源極/汲極區域的製程,隨後進行退火。源極/汲極區域可具有介於約1019cm-3至約1021cm-3的雜質濃度。用於第一區域50B(例如N型金氧半導體區域)中源極/汲極區域的n型雜質可為先前論述的n型雜質中任何雜質,而且用於第二區域50C(例如P型金氧半導體區域)中源極/汲極區域的p型雜質可為先前論述的p型雜質中任何雜質。在其他實施例中,磊晶源極/汲極區域82及84可在生長期間原處摻雜。
在第22A圖、第22B圖及第22C圖中,ILD 88沉積在第21A圖、第21B圖及第21C圖中繪示的結構上方。在一實施例中,ILD 88是由可流動CVD形成的可流動薄膜。在一些實施例中,ILD 88由例如磷矽玻璃(Phospho-Silicate Glass,PSG)、硼矽玻璃(Boro-Silicate Glass,BSG)、摻雜硼之磷矽玻璃(Boron-Doped Phospho-Silicate Glass,BPPSG)、無摻雜矽酸鹽玻璃(undoped Silicate Glass,USG)或類似物之介電材料而形成,而且可藉由任何適合方法而沉積,例如CVD或PECVD。
在第23A圖、第23B圖及第23C圖中,可執行例如CMP之平面化製程,整平ILD 88頂表面與虛設閘極70及76之頂表面。CMP亦可移除虛設閘極70及76上之遮罩72 及78。相應地,虛設閘極70及76之頂表面穿過ILD 88而曝露。
在第24A圖、第24B圖及第24C圖中,虛設閘極70及76、閘極密封間隔物80和虛設介電層58中直接位於虛設閘極70及76下層的部分在蝕刻步驟中被移除,形成凹槽90。每一凹槽90曝露各個鰭板56之通道區域。每一通道區域配置在相鄰的多對磊晶源極/汲極區域82及82之間。在移除期間,虛設介電層58可在蝕刻虛設閘極70及76時作為蝕刻停止層。可在移除虛設閘極70及76之後移除虛設介電層58及閘極密封間隔物80。
在第25A圖、第25B圖及第25C圖中,形成閘極介電層92及96並形成閘極電極94及98用於替換閘極(replacement gates)。閘極介電層92及96共形地沉積在凹槽90中,例如在鰭板56之頂表面及側壁上、在閘極間隔物86之側壁上以及ILD 88之頂表面上。依據一些實施例,閘極介電層92及96包含氧化矽、氮化矽或前述兩者之多個層。在其他實施例中,閘極介電層92及96包含高介電常數介電材料,而且在這些實施例中,閘極介電層92及96可具有大於約7.0之介電常數值,而且可包含Hf、Al、Zr、La、Mg、Ba、Ti、Pb及上述各者之組合的金屬氧化物或矽酸鹽。閘極介電層92及96之形成方法可包含分子束沉積(Molecular Beam Deposition,MBD)、原子層沉積(atomic layer deposition,ALD)、PECVD或類似方法。。
接著,閘極電極94及98分別沉積在閘極介電層92及96上方,並且充填凹槽90中之剩餘部分。閘極電極94及98可由含金屬之材料組成,此材料為例如TiN、TaN、TaC、Co、Ru、Al、上述各者之組合或上述各者之多個層。在填充閘極電極94及98之後,在步驟228中,可執行例如CMP之平面化製程移除閘極介電層92及96還有閘極電極94及98之材料的多餘部分,這些多餘部分位於ILD 88頂表面上方。閘極電極94及98還有閘極介電層92及96之材料最後剩餘的部分因此形成最後的鰭式場效電晶體的替換閘極。
閘極介電層92及96的形成可同時發生,使閘極介電層92及96由同一材料製成,而且閘極電極94及98之形成可同時發生,使閘極電極94及98由同一材料製成。然而,在其他實施例中,閘極介電層92及96可藉由不同的製程形成,使閘極介電層92及96可由不同的材料製成,而且閘極電極94及98可由不同的製程形成,使閘極電極94及98可由不同的材料製成。當使用不同的製程時,多個遮蔽步驟可用以遮蔽及曝露適當區域。
在第26A圖、第26B圖及第26C圖中,ILD 100沉積在ILD 88上方。第26A圖、第26B圖及第26C圖中進一步繪示,形成接觸(contacts)102及104穿過ILD 100及ILD 88,並形成接觸106及108穿過ILD 100。在一實施例中,ILD 100是由可流動CVD方法形成的可流動薄膜。在一些實施例中,ILD 100由例如PSG、BSG、BPSG、USG或類似物之介電材料形成,而且可藉由任何適合的方法沉積,例 如CVD及PECVD。接觸102及104之開口穿過ILD 88及100而形成。接觸106及108之開口穿過ILD 100而形成。這些開口可在同一製程中或在單獨製程中全部同時形成。這些開口可藉由使用可接受的光微影及蝕刻技術而形成。一襯裡(liner),例如擴散阻障層、黏附層或類似物,以及導電材料形成於開口中。襯裡可包含鈦、氮化鈦、鉭、氮化鉭或類似物。導電材料可為銅、銅合金、銀、金、鎢、鋁、鎳或類似物。可執行例如CMP之平面化製程以從ILD 100之表面移除多餘材料。剩餘襯裡及導電材料在開口中形成接觸102及104。可執行退火製程而分別在磊晶源極/汲極區域82及84與接觸102及104之間的介面處形成矽化物。接觸102實體及電性耦接至磊晶源極/汲極區域82,接觸104實體及電性耦接至磊晶源極/汲極區域84,接觸106實體及電性耦接至閘極電極94,接觸108實體及電性耦接至閘極電極98。
在第27A圖、第27B圖及第27C圖中,金屬間介電質(Inter-Metal Dielectrics,IMD)110沉積在ILD 100上方。第27A圖、第27B圖及第27C圖中進一步繪示,形成導線124、126、128及130穿過IMD 110,用以接觸下層ILD 100內的各個導電特徵(例如接觸102、104、106及/或108)。在一實施例中,IMD 110是由上文第1-7圖及/或第8-12圖及對應段落中所述方法形成的多層薄膜堆疊。層114對應於上述蝕刻停止層14,層116對應於上述第一介電層16,層118對應於上述插入層18或26,而且層120對應於上述第二介電層20。這些層類似於前述實施例中的上述 對應層,在此不再重複描述。導線124、126、128及130之開口使用第4圖及對應段落中描述的類似方法穿過IMD 110而形成。這些開口可在同一製程中或在不同製程中全部同時形成。導線124、126、128及130之開口使用例如上文第5-6圖及/或第11-12圖及對應段落中描述的類似方法而形成。導線124實體及電性耦接至接觸106,導線126實體及電性耦接至接觸108,導線128實體及電性耦接至接觸102,導線130實體及電性耦接至接觸104。
雖然未明確圖示,但此領域中之具有一般知識者將理解,可在第27A圖、第27B圖及第27C圖中之結構上執行更多處理步驟。例如,多個IMD及其對應金屬化可形成於IMD 110上方。
藉由提供插入層(例如層18、26,及/或118)作為額外結構性支撐的框架,周圍介電層(例如層16、20、116及/或120)之一般較脆弱的多孔材料可得到支撐。此種額外支撐有助於減少不同開口之間的差異,差異可能由開口與相鄰開口的鄰近度(或缺少鄰近度)導致。此舉防止在隨後的間隙充填製程期間可能出現的併發問題。
依據一些實施例揭示一種方法,方法包含在基板上方沉積第一介電層,在第一介電層上形成第二介電層,第二介電層具有大於第一介電層之硬度及大於第一介電層之介電常數值,而且在第二介電層上方沉積第三介電層,第三介電層具有小於第二介電層之硬度及小於第二介電層之介電常數值。此方法進一步包含蝕刻第三介電層、第二介電 層及第一介電層而形成第一開口曝露基板上方之第一區域,第一開口具有通孔開口及溝槽開口,通孔開口具有第一寬度及此溝槽開口具有第二寬度,溝槽開口與通孔開口重疊,第二寬度大於第一寬度,溝槽開口底表面與第二介電層表面藉由第一介電層之第一部分或第三介電層之第一部分而分隔,而且利用導電材料填充第一開口而形成第一導電導線,此導線接觸基板之第一區域,第一導電導線包含通孔開口中之通孔部分及溝槽開口中之溝槽部分。
依據一些實施例揭示另一種方法,方法包含在基板上方的導電元件上方沉積具有第一厚度之第一介電層,執行電漿處理製程在第一介電層上形成插入層,插入層具有大於第一介電層之介電常數值,其中在電漿處理製程之後,第一介電層具有小於第一厚度之第二厚度,並在插入層上方沉積第二介電層,第二介電層具有小於插入層之介電常數值。此方法進一步包含蝕刻第二介電層、插入層及第一介電層而形成通孔開口曝露基板上方的導電元件,並蝕刻第二介電層形成與通孔開口重疊的溝槽開口,溝槽開口具有比通孔開口更大的寬度,第二介電層之第一部分插入溝槽開口的底表面與插入層的頂表面之間。
依據一些實施例揭示一種結構,結構包含基板上方之第一介電層;位於第一介電層上方及接觸第一介電層之插入層,插入層具有大於第一介電層之硬度及大於第一介電層之介電常數值;位於插入層上方及接觸插入層之第二介電層,第二介電層具有小於插入層之硬度及小於插入層之介 電常數值;而且貫穿第二介電層、插入層及第一介電層而接觸基板上方第一區域的第一導電導線,第一導電導線包含具有第一寬度之通孔部分及具有第二寬度的溝槽部分,溝槽部分與通孔部分重疊,第二寬度大於第一寬度,溝槽部分之底表面與插入層的表面藉由第一介電層之第一部分或第二介電層之第一部分而分隔。
前述事項概括數個實施例之特徵,以便彼等熟習此項技術者可更佳地理解本揭示內容之態樣。彼等熟習此項技術者應瞭解,本揭示內容可易於作為設計或修正其他製程及結構之基礎,而實現與本案介紹之實施例相同的目的及/或達到與其相同的優勢。彼等熟習此項技術者亦應瞭解,此種同等構造不脫離本揭示內容之精神及範疇,而且可在不脫離本揭示內容精神及範疇之情況下進行多種變更、取代及更動。
10‧‧‧基板
12‧‧‧導電元件
14‧‧‧蝕刻停止層
16‧‧‧第一介電層
20‧‧‧第二介電層
24‧‧‧導線結構/導電材料
26‧‧‧插入層
D4‧‧‧距離
T7‧‧‧厚度
T9‧‧‧厚度
T10‧‧‧厚度

Claims (14)

  1. 一種製造導線的方法,該方法包含:沉積一第一介電層於一基板上方;形成一第二介電層於該第一介電層上,該第二介電層具有一硬度,該硬度大於該第一介電層,且該第二介電層具有一介電常數值,該介電常數值大於該第一介電層;沉積一第三介電層於該第二介電層上方,該第三介電層具有一硬度,該硬度小於該第二介電層,且該第三介電層具有一介電常數值,該介電常數值小於該第二介電層;蝕刻該第三介電層、該第二介電層及該第一介電層而形成一第一開口,該第一開口曝露該基板上方之一第一區域,該第一開口具有一通孔開口及一溝槽開口,該通孔開口具有一第一寬度及該溝槽開口具有一第二寬度,該溝槽開口與該通孔開口重疊,該第二寬度大於該第一寬度,該溝槽開口之一底表面與該第二介電層之一表面藉由該第一介電層之一第一部分或該第三介電層之一第一部分而分隔;以及利用一導電材料填充該第一開口以形成一第一導電導線,該第一導電導線接觸該基板上方的該第一區域,該第一導電導線包含該通孔開口中之一通孔部分及該溝槽開口中之一溝槽部分。
  2. 如請求項1所述製造導線的方法,其中該第二介電層接觸該第一導電導線的該通孔部分或該第一導 電導線的該溝槽部分,或其中該基板上方的該第一區域包含一導電元件,該第一導電導線接觸該導電元件
  3. 如請求項1所述製造導線的方法,其中在該第一介電層上形成該第二介電層包含:沉積該第二介電層於該第一介電層上或在該第一介電層上執行一電漿處理製程而在該第一介電層上形成該第二介電層,其中在該電漿處理製程之後,該第一介電層具有一厚度,該厚度在該電漿處理製程之前小於該第一介電層之一厚度。
  4. 如請求項1所述製造導線的方法,其中利用該導電材料填充該第一開口包含:利用一阻障層為該第一開口加襯;利用該導電材料填充該加襯之第一開口;以及平面化該導電材料、該阻障層及該第三介電層而移除該第三介電層之一頂表面上方的多餘導電材料及阻障層以形成該第一導電導線,該第一導電導線接觸該基板上方的該第一區域。
  5. 如請求項1所述製造導線的方法,更包含:在該基板上方沉積一蝕刻停止層,該第一介電層形成於該蝕刻停止層上方並接觸該蝕刻停止層,該第一開口貫穿該蝕刻停止層。
  6. 如請求項1所述製造導線的方法,其中該第一介電層具有一K值,該K值等於或小於2.6;及該第二介電層具有一K值,該K值等於或大於2.8。
  7. 一種製造導線的方法,該方法包含:沉積一第一介電層於一導電元件上方,該導電元件位於一基板上方,該第一介電層具有一第一厚度;執行一電漿處理製程於該第一介電層上形成一插入層,該插入層具有一介電常數值,該介電常數值大於該第一介電層,其中在電漿處理製程之後,該第一介電層具有小於該第一厚度之一第二厚度;沉積一第二介電層於該插入層上方,該第二介電層具有一介電常數值,該介電常數值小於該插入層;蝕刻該第二介電層、該插入層及該第一介電層形成一通孔開口,該通孔開口曝露該基板上方的該導電元件;以及蝕刻該第二介電層形成一溝槽開口,該溝槽開口與該通孔開口重疊,該溝槽開口具有大於該通孔開口之一寬度,該第二介電層之一第一部分插入該溝槽開口之一底表面與該插入層之一頂表面之間。
  8. 如請求項7所述製造導線的方法,其中該導電元件是一導電接觸,該導電接觸電性接觸一鰭式場效電晶體(fin field-effect transistor,FinFET)之一源極/汲極區域,或其中該第一介電層具有一K值,該K值等於 或小於2.6;及該插入層具有一K值,該K值等於或大於2.8。
  9. 如請求項7所述之製造導線的方法,更包含:利用一導電材料填充該通孔開口與該溝槽開口而形成一第一導電導線,該第一導電導線接觸該基板上的該導電元件,該第一導電導線包含該通孔開口中之一通孔部分及該溝槽開口中之一溝槽部分,且該插入層接觸該第一導電導線的該通孔部分。
  10. 如請求項7所述之製造導線的方法,更包含:沉積一蝕刻停止層於該基板內的該導電元件上方以及該基板上方,該第一介電層形成於該蝕刻停止層上方並接觸該蝕刻停止層,該通孔開口貫穿該蝕刻停止層。
  11. 一種導線結構,該結構包含:一第一介電層位於一基板上方;一插入層位於該第一介電層上方並接觸該第一介電層,該插入層具有一硬度,該硬度大於該第一介電層,且該插入層具有一介電常數值,該介電常數值大於該第一介電層;一第二介電層,在該插入層上方並接觸該插入層,該第二介電層具有一硬度,該硬度小於該插入層,且該第二 介電層具有一介電常數值,該介電常數值小於該插入層;以及一第一導電導線,貫穿該第二介電層、該插入層及該第一介電層而接觸該基板上方的一第一區域,該第一導電導線包含具有一第一寬度之一通孔部分及具有一第二寬度的一溝槽部分,該溝槽部分與該通孔部分重疊,該第二寬度大於該第一寬度,該溝槽部分之一底表面藉由該第一介電層之一第一部分或該第二介電層之一第一部分與該插入層之一表面分隔。
  12. 如請求項11所述之導線結構,其中該基板上方的該第一區域包含一導電元件,該第一導電導線接觸該導電元件。
  13. 如請求項11所述之導線結構,其中該導電元件是一導電接觸,該導電接觸電性接觸一鰭式場效電晶體(fin field-effect transistor,FinFET)之一源極/汲極區域。
  14. 如請求項11所述之導線結構,其中該插入層接觸該第一導電導線的該通孔部分或該第一導電導線的該溝槽部分。
TW105140660A 2016-03-02 2016-12-08 導線結構和製造方法 TWI625802B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/058,864 US9754822B1 (en) 2016-03-02 2016-03-02 Interconnect structure and method
US15/058,864 2016-03-02

Publications (2)

Publication Number Publication Date
TW201732975A true TW201732975A (zh) 2017-09-16
TWI625802B TWI625802B (zh) 2018-06-01

Family

ID=59650649

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105140660A TWI625802B (zh) 2016-03-02 2016-12-08 導線結構和製造方法

Country Status (5)

Country Link
US (4) US9754822B1 (zh)
KR (3) KR20170102787A (zh)
CN (1) CN107154395B (zh)
DE (1) DE102016119018A1 (zh)
TW (1) TWI625802B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI666775B (zh) * 2017-11-30 2019-07-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11211492B2 (en) 2017-11-30 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga
TWI761814B (zh) * 2019-04-23 2022-04-21 台灣積體電路製造股份有限公司 積體電路裝置及其製造方法
TWI803495B (zh) * 2017-11-08 2023-06-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法
US12002712B2 (en) 2022-06-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
EP3514833B1 (en) * 2018-01-22 2022-05-11 GLOBALFOUNDRIES U.S. Inc. A semiconductor device and a method
US10790439B2 (en) 2018-07-24 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell with top electrode via
DE102019114256A1 (de) 2018-07-24 2020-01-30 Taiwan Semiconductor Manufacturing Co. Ltd. Speicherzelle mit deckelektrodendurchkontaktierung
US11043373B2 (en) * 2018-07-31 2021-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect system with improved low-k dielectrics
US10714382B2 (en) * 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11114331B2 (en) * 2019-05-03 2021-09-07 United Microelectronics Corp. Method for fabricating shallow trench isolation
KR20210018669A (ko) 2019-08-08 2021-02-18 삼성전자주식회사 비아 및 배선을 포함하는 반도체 소자
CN110676214B (zh) * 2019-09-24 2022-04-12 浙江集迈科微电子有限公司 一种金属填充弯管的垂直互联方法
US11373947B2 (en) * 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11314916B2 (en) 2020-07-31 2022-04-26 International Business Machines Corporation Capacitance extraction
US11600486B2 (en) * 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
US20230027567A1 (en) * 2021-07-23 2023-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN115706063A (zh) * 2021-08-09 2023-02-17 长鑫存储技术有限公司 半导体结构及其制备方法

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5977640A (en) 1998-06-26 1999-11-02 International Business Machines Corporation Highly integrated chip-on-chip packaging
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
US6548224B1 (en) 2000-03-07 2003-04-15 Kulicke & Soffa Holdings, Inc. Wiring substrate features having controlled sidewall profiles
TW471107B (en) 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
US6713874B1 (en) 2001-03-27 2004-03-30 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
CN1170309C (zh) * 2001-06-11 2004-10-06 联华电子股份有限公司 形成开口于一高分子型介电层中的方法及其结构
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6498093B1 (en) * 2002-01-17 2002-12-24 Advanced Micro Devices, Inc. Formation without vacuum break of sacrificial layer that dissolves in acidic activation solution within interconnect
US6756321B2 (en) 2002-10-05 2004-06-29 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a capping layer over a low-k dielectric with improved adhesion and reduced dielectric constant
US6806192B2 (en) 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
TWI315558B (en) * 2003-08-19 2009-10-01 Taiwan Semiconductor Mfg Method of modifying dielectric layers and employing the method in damascene structures fabrication
JP2007530013A (ja) 2003-12-12 2007-11-01 コンジュゴン インコーポレーティッド 緻密に調節された遺伝子発現のためのシステム
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7232762B2 (en) 2004-06-16 2007-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved low power SRAM contact
US7196005B2 (en) * 2004-09-03 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process with dummy features
KR100655774B1 (ko) 2004-10-14 2006-12-11 삼성전자주식회사 식각 저지 구조물, 이의 제조 방법, 이를 포함하는 반도체장치 및 그 제조 방법
TWI245345B (en) 2005-02-17 2005-12-11 Touch Micro System Tech Method of forming a wear-resistant dielectric layer
JP4357434B2 (ja) 2005-02-25 2009-11-04 株式会社東芝 半導体装置の製造方法
US7638859B2 (en) * 2005-06-06 2009-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnects with harmonized stress and methods for fabricating the same
KR100701426B1 (ko) * 2005-06-30 2007-03-30 주식회사 하이닉스반도체 반도체소자의 다층 금속배선 및 그의 제조 방법
US7923384B2 (en) * 2005-11-24 2011-04-12 Nec Corporation Formation method of porous insulating film, manufacturing apparatus of semiconductor device, manufacturing method of semiconductor device, and semiconductor device
US20070232046A1 (en) 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US8286114B2 (en) * 2007-04-18 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3-dimensional device design layout
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US9379059B2 (en) 2008-03-21 2016-06-28 Mediatek Inc. Power and ground routing of integrated circuit devices with improved IR drop and chip performance
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8519537B2 (en) 2010-02-26 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3D semiconductor package interposer with die cavity
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
CN102214599B (zh) 2010-04-02 2013-03-27 中芯国际集成电路制造(上海)有限公司 通孔形成方法
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
TWI467697B (zh) 2010-06-03 2015-01-01 United Microelectronics Corp 內連線結構的製造方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
JP5925611B2 (ja) * 2012-06-21 2016-05-25 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US20140029181A1 (en) * 2012-07-27 2014-01-30 Florian Gstrein Interlayer interconnects and associated techniques and configurations
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9847315B2 (en) 2013-08-30 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Packages, packaging methods, and packaged semiconductor devices
US9230911B2 (en) * 2013-12-30 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same
CN103871963A (zh) * 2014-02-21 2014-06-18 上海华力微电子有限公司 一种低介电常数薄膜的成膜方法
US9502649B2 (en) 2015-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom electrode structure for improved electric field uniformity
KR102324826B1 (ko) 2015-04-02 2021-11-11 삼성전자주식회사 배선 구조물, 배선 구조물 형성 방법 및 반도체 장치의 제조 방법
US9905605B2 (en) 2015-10-15 2018-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Phase detection autofocus techniques
TWI559990B (en) * 2015-11-06 2016-12-01 Grand Plastic Technology Corp Liquid collection apparatus for spin etcher
US10038095B2 (en) 2016-01-28 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. V-shape recess profile for embedded source/drain epitaxy
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9812363B1 (en) 2016-11-29 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10636709B2 (en) 2018-04-10 2020-04-28 International Business Machines Corporation Semiconductor fins with dielectric isolation at fin bottom

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI803495B (zh) * 2017-11-08 2023-06-01 台灣積體電路製造股份有限公司 半導體裝置結構的形成方法
TWI666775B (zh) * 2017-11-30 2019-07-21 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US11211492B2 (en) 2017-11-30 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having a SiGe epitaxtial layer containing Ga
TWI761814B (zh) * 2019-04-23 2022-04-21 台灣積體電路製造股份有限公司 積體電路裝置及其製造方法
US11410880B2 (en) 2019-04-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation
US12002712B2 (en) 2022-06-30 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Phase control in contact formation

Also Published As

Publication number Publication date
TWI625802B (zh) 2018-06-01
US11328952B2 (en) 2022-05-10
US20170372948A1 (en) 2017-12-28
US20170256445A1 (en) 2017-09-07
KR20170102788A (ko) 2017-09-12
KR20170102787A (ko) 2017-09-12
DE102016119018A1 (de) 2017-09-07
US20210074581A1 (en) 2021-03-11
CN107154395A (zh) 2017-09-12
US10269627B2 (en) 2019-04-23
US9754822B1 (en) 2017-09-05
US20190252246A1 (en) 2019-08-15
US10840134B2 (en) 2020-11-17
KR20190031227A (ko) 2019-03-25
CN107154395B (zh) 2021-07-09

Similar Documents

Publication Publication Date Title
TWI625802B (zh) 導線結構和製造方法
TWI595652B (zh) 包括具有間隙或空隙的閘極間隔物的器件及其形成方法
US11777035B2 (en) Multi-layer film device and method
US11004730B2 (en) Methods of forming conductive features using a vacuum environment
US11424364B2 (en) FinFET device and method of forming
US11973027B2 (en) Semiconductor device and methods of forming the same
CN107919319B (zh) 内连线结构的制造方法
TWI790044B (zh) 形成半導體元件結構的方法
US20240096753A1 (en) Semiconductor device including insulating structure surrounding through via and method for forming the same
US20230317805A1 (en) Semiconductor device structure and methods of forming the same
TW202236505A (zh) 半導體結構的形成方法