DE102020115368A1 - Halbleitervorrichtung und herstellungsverfahren - Google Patents

Halbleitervorrichtung und herstellungsverfahren Download PDF

Info

Publication number
DE102020115368A1
DE102020115368A1 DE102020115368.6A DE102020115368A DE102020115368A1 DE 102020115368 A1 DE102020115368 A1 DE 102020115368A1 DE 102020115368 A DE102020115368 A DE 102020115368A DE 102020115368 A1 DE102020115368 A1 DE 102020115368A1
Authority
DE
Germany
Prior art keywords
layer
lower anti
reflective layer
chemical
procedure according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102020115368.6A
Other languages
English (en)
Inventor
Yao-Wen Hsu
Ming-Chi Huang
Ying-Liang Chuang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/889,160 external-priority patent/US11362006B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102020115368A1 publication Critical patent/DE102020115368A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

Es werden Halbleitervorrichtungen und Verfahren, bei denen ein Behandlungsprozess für eine untere Antireflexionsschicht verwendet wird, bereitgestellt. Der Behandlungsprozess kann ein physikalischer Behandlungsprozess sein, in dem Material verwendet wird, um Löcher und Poren in dem Material der unteren Antireflexionsschicht zu füllen. Der Behandlungsprozess kann aber auch ein chemischer Behandlungsprozess sein, in dem eine chemische Reaktion zum Herstellen einer Schutzschicht verwendet wird. Durch Behandeln der unteren Antireflexionsschicht wird eine Diffusion von später aufgebrachten Chemikalien reduziert oder verhindert, was dazu beiträgt, Defekte zu vermeiden, die durch diese Diffusion entstehen.

Description

  • Prioritätsanspruch und Querverweis
  • Die vorliegende Anmeldung beansprucht die Priorität der am 29. Oktober 2019 eingereichten vorläufigen US-Patentanmeldung mit dem Aktenzeichen 62/927.461 , die durch Bezugnahme aufgenommen ist.
  • Hintergrund
  • Halbleitervorrichtungen kommen in verschiedenen elektronischen Anwendungsgebieten zum Einsatz, wie zum Beispiel Personal Computern, Mobiltelefonen, Digitalkameras und anderen elektronischen Geräten. Halbleitervorrichtungen werden normalerweise dadurch hergestellt, dass isolierende oder dielektrische Materialschichten, leitfähige Materialschichten und Halbleitermaterialschichten nacheinander über einem Halbleitersubstrat abgeschieden werden und die verschiedenen Materialschichten durch Lithografie strukturiert werden, um Schaltkreiskomponenten und -elemente auf dem Substrat herzustellen.
  • Die Halbleiterindustrie verbessert die Integrationsdichte verschiedener elektronischer Komponenten (z. B. Transistoren, Dioden, Widerstände, Kondensatoren usw.) immer weiter, indem sie die kleinste Strukturgröße ständig reduziert, sodass mehr Komponenten auf einer gegebenen Fläche integriert werden können. Wenn die kleinste Strukturgröße reduziert wird, entstehen jedoch weitere Probleme, die angegangen werden sollten.
  • Figurenliste
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 zeigt eine perspektivische Darstellung der Herstellung von Halbleiterfinnen gemäß einigen Ausführungsformen.
    • 2 zeigt die Herstellung von Source-/Drain-Bereichen gemäß einigen Ausführungsformen.
    • 3 zeigt die Herstellung einer unteren Antireflexionsschicht und eines Fotoresists gemäß einigen Ausführungsformen.
    • 4 zeigt das Entfernen des Fotoresists gemäß einigen Ausführungsformen.
    • 5 zeigt eine Behandlung der unteren Antireflexionsschicht gemäß einigen Ausführungsformen.
    • 6 zeigt einen ersten Entfernungsprozess gemäß einigen Ausführungsformen.
    • 7 zeigt einen zweiten Entfernungsprozess gemäß einigen Ausführungsformen.
    • 8 zeigt das Entfernen der unteren Antireflexionsschicht gemäß einigen Ausführungsformen.
    • 9 zeigt die Abscheidung eines Füllmaterials gemäß einigen Ausführungsformen.
    • 10 zeigt die Herstellung einer Kappe gemäß einigen Ausführungsformen.
    • 11 zeigt die Herstellung einer Schutzschicht gemäß einigen Ausführungsformen.
    • 12 zeigt einen ersten Entfernungsprozess mit der Schutzschicht gemäß einigen Ausführungsformen.
    • 13 zeigt einen zweiten Entfernungsprozess mit der Schutzschicht gemäß einigen Ausführungsformen.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale der Erfindung. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Diese sind natürlich lediglich Beispiele und sollen nicht beschränkend sein. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element hergestellt werden können, sodass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • Nachstehend werden Ausführungsformen anhand von speziellen Beispielen beschrieben, die FinFET-Herstellungsprozesse mit Blasenvermeidung und Halbleitervorrichtungen umfassen, die mit einer reduzierten Anzahl von Blasen hergestellt werden. Die Ausführungsformen sind jedoch nicht auf die hier bereitgestellten Beispiele beschränkt, und die Gedanken können in einer breiten Palette von Ausführungsformen implementiert werden.
  • Kommen wir nun zu 1, in der eine perspektivische Darstellung einer Halbleitervorrichtung 100, wie etwa einer FinFET-Vorrichtung, gezeigt ist. Bei einer Ausführungsform weist die Halbleitervorrichtung 100 ein Substrat 101 und erste Gräben 103 auf. Das Substrat 101 kann ein Siliziumsubstrat sein, aber es können auch andere Substrate, wie etwa ein Silizium-auf-Isolator(SOI)-Substrat, ein verspanntes SOI-Substrat und ein Siliziumgermanium-auf-Isolator-Substrat, verwendet werden. Das Substrat 101 kann ein p-Halbleiter sein, aber bei anderen Ausführungsformen kann es ein n-Halbleiter sein.
  • Die ersten Gräben 103 können als ein erster Schritt bei der späteren Herstellung von ersten Isolationsbereichen 105 erzeugt werden. Die ersten Gräben 103 können unter Verwendung einer Maskierungsschicht (in 1 nicht einzeln dargestellt) mit einem geeigneten Ätzverfahren erzeugt werden. Zum Beispiel kann die Maskierungsschicht eine Hartmaske sein, die Siliziumnitrid aufweist, das mit einem Verfahren wie chemische Aufdampfung (CVD) abgeschieden wird, aber es können auch andere Materialien, wie etwa Oxide, Oxidnitride, Siliziumcarbid, Kombinationen davon oder dergleichen, und andere Verfahren verwendet werden, wie etwa plasmaunterstützte chemische Aufdampfung (PECVD), chemische Aufdampfung bei Tiefdruck (LPCVD) oder Siliziumoxid-Abscheidung mit anschließender Nitrierung. Nach ihrer Herstellung kann die Maskierungsschicht mit einem geeigneten fotolithografischen Verfahren strukturiert werden, um die Teile des Substrats 101 freizulegen, die entfernt werden sollen, um die ersten Gräben 103 zu erzeugen.
  • Nachdem eine Maskierungsschicht hergestellt und strukturiert worden ist, werden die ersten Gräben 103 in dem Substrat 101 erzeugt. Das freigelegte Substrat 101 kann mit einem geeigneten Verfahren wie reaktive Ionenätzung (RIE) entfernt werden, um die ersten Gräben 103 in dem Substrat 101 zu erzeugen, aber es kann jedes geeignete Verfahren verwendet werden. Bei einer Ausführungsform können die ersten Gräben 103 mit einer ersten Tiefe von weniger als etwa 5000 Å, z. B. etwa 2500 Å, von der Oberfläche des Substrats 101 erzeugt werden.
  • Wie ein Durchschnittsfachmann erkennen dürfte, ist jedoch das vorstehend beschriebene Verfahren zum Erzeugen der ersten Gräben 103 lediglich ein mögliches Verfahren, und es soll nicht die einzige Ausführungsform sein. Vielmehr kann jedes geeignete Verfahren, mit dem die ersten Gräben 103 erzeugt werden können, zum Einsatz kommen, und es kann jedes geeignete Verfahren mit jeder Anzahl von Maskierungs- und Entfernungsprozessen verwendet werden.
  • In dem Maskierungs- und Ätzprozess werden nicht nur die ersten Gräben 103 erzeugt, sondern es werden auch Finnen 107 aus den Teilen des Substrats 101 hergestellt, die nicht entfernt werden. Der Einfachheit halber sind die Finnen 107 in den Figuren als Finnen dargestellt, die durch eine Strichlinie von dem Substrat 101 getrennt sind, aber ein physischer Hinweis auf die Trennung kann vorhanden sein oder auch nicht. Diese Finnen 107 können zum Herstellen eines Kanalbereichs von Multi-Gate-FinFET-Transistoren verwendet werden, wie später dargelegt wird. In 1 sind zwar nur zwei Finnen 107 dargestellt, die aus dem Substrat 101 hergestellt werden, aber es kann jede Anzahl von Finnen 107 verwendet werden.
  • Die Finnen 107 können so hergestellt werden, dass sie eine Breite an der Oberfläche des Substrats 101 von etwa 5 nm bis etwa 80 nm, z. B. von etwa 30 nm, haben. Außerdem können die Finnen 107 mit einem Abstand von etwa 10 nm bis etwa 100 nm, z. B. von etwa 50 nm, voneinander beabstandet sein. Durch Beabstanden der Finnen 107 in dieser Weise können die Finnen 107 jeweils einen gesonderten Kanalbereich bilden und dabei immer noch so dicht beieinander sein, dass sie ein gemeinsames Gate nutzen können (wie später näher dargelegt wird).
  • Nachdem die ersten Gräben 103 und die Finnen 107 hergestellt worden sind, können die ersten Gräben 103 mit einem dielektrischen Material gefüllt werden, und das dielektrische Material kann in den ersten Gräben 103 ausgespart werden, um die ersten Isolationsbereiche 105 herzustellen. Das dielektrische Material kann ein Oxidmaterial, ein HDP-Oxid (HDP: Plasma hoher Dichte) oder dergleichen sein. Nach einer optionalen Reinigung und Auskleidung der ersten Gräben 103 kann das dielektrische Material durch chemische Aufdampfung (CVD) [z. B. mit einem Hohes-Seitenverhältnis-Prozess (HARP)], chemische Aufdampfung mit einem Plasma hoher Dichte (HDP-CVD) oder mit einem anderen geeigneten Herstellungsverfahren, das auf dem Fachgebiet bekannt ist, abgeschieden werden.
  • Die ersten Gräben 103 können dadurch gefüllt werden, dass sie und das Substrat 101 mit dem dielektrischen Material überfüllt werden und anschließend das überschüssige Material außerhalb der ersten Gräben 103 und der Finnen 107 mit einem geeigneten Verfahren wie chemisch-mechanische Polierung (CMP), Ätzung, einer Kombination davon oder dergleichen entfernt wird. Bei einer Ausführungsform wird bei dem Entfernungsprozess auch dielektrisches Material entfernt, das sich über den Finnen 107 befindet, sodass durch das Entfernen des dielektrischen Materials die Oberfläche der Finnen 107 für weitere Bearbeitungsschritte freigelegt wird.
  • Nachdem die ersten Gräben 103 mit dem dielektrischen Material gefüllt worden sind, kann das dielektrische Material von der Oberfläche der Finnen 107 her ausgespart werden. Das Aussparen kann so durchgeführt werden, dass zumindest ein Teil der Seitenwände der Finnen 107, der zu der Oberseite der Finnen 107 benachbart ist, freigelegt wird. Das dielektrische Material kann mit einer Nassätzung durch Tauchen der Oberseite der Finnen 107 in ein Ätzmittel wie HF ausgespart werden, aber es können auch andere Ätzmittel, wie etwa H2, und andere Verfahren verwendet werden, wie etwa eine reaktive Ionenätzung, eine Trockenätzung mit Ätzmitteln wie NH3/NF3, chemische Oxidentfernung oder chemische Trockenreinigung. Das dielektrische Material kann bis zu einem Abstand von der Oberfläche der Finnen 107 von etwa 50 Å bis etwa 500 Å, z. B. von etwa 400 Å, ausgespart werden. Außerdem kann bei dem Aussparen auch verbliebenes dielektrisches Material über den Finnen 107 entfernt werden, um sicherzustellen, dass die Finnen 107 für die weitere Bearbeitung freiliegen.
  • Wie ein Durchschnittsfachmann erkennen dürfte, sind die vorstehend beschriebenen Schritte nur ein Teil des gesamten Prozessablaufs, der zum Füllen und Aussparen des dielektrischen Materials verwendet wird. Zum Beispiel können auch Auskleidungs-, Reinigungs-, Temper- und Spaltfüllungsschritte, Kombinationen davon und dergleichen zum Erzeugen und Füllen der ersten Gräben 103 mit dem dielektrischen Material verwendet werden. Alle diese möglichen Schritte sollen vollständig innerhalb des Schutzumfangs der vorliegenden Ausführungsform liegen.
  • Nachdem die ersten Isolationsbereiche 105 hergestellt worden sind, können ein Dummy-Gatedielektrikum 109, eine Dummy-Gate-Elektrode 111 über dem Dummy-Gatedielektrikum 109 und erste Abstandshalter 113 jeweils über den Finnen 107 hergestellt werden. Bei einer Ausführungsform kann das Dummy-Gatedielektrikum 109 durch thermische Oxidation, chemische Aufdampfung, Sputtern oder mit anderen Verfahren hergestellt werden, die auf dem Fachgebiet zum Herstellen eines Gatedielektrikums bekannt sind und zum Einsatz kommen. In Abhängigkeit von dem Verfahren zur Herstellung des Gatedielektrikums kann die Dicke des Dummy-Gatedielektrikums 109 auf den Finnen 107 von der Dicke des Gatedielektrikums auf den Seitenwänden der Finnen 107 verschieden sein.
  • Das Dummy-Gatedielektrikum 109 kann ein Material wie Siliziumdioxid oder Siliziumoxidnitrid mit einer Dicke von etwa 3 Å bis etwa 100 Å, z. B. von etwa 10 Å, sein. Das Dummy-Gatedielektrikum 109 kann aus einem Material mit einer hohen Dielektrizitätskonstante (High-k-Material), das z. B. eine relative Permittivität von mehr als etwa 5 hat, wie etwa Lanthanoxid (La2O3), Aluminiumoxid (Al2O3), Hafniumoxid (Hf02), Hafniumoxidnitrid (HfON) oder Zirconiumoxid (Zr02) oder Kombinationen davon, mit einer äquivalenten Oxiddicke von etwa 0,5 Å bis etwa 100 Å, z. B. von etwa 10 Å oder weniger, hergestellt werden. Außerdem kann auch eine Kombination aus Siliziumdioxid, Siliziumoxidnitrid und/oder High-k-Materialien für das Dummy-Gatedielektrikum 109 verwendet werden.
  • Die Dummy-Gate-Elektrode 111 kann ein leitfähiges oder nicht-leitfähiges Material aufweisen, das aus der Gruppe Polysilizium, W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, Kombinationen davon oder dergleichen gewählt sein kann. Die Dummy-Gate-Elektrode 111 kann durch chemische Aufdampfung (CVD), Sputterdeposition oder mit anderen Verfahren abgeschieden werden, die auf dem Fachgebiet zum Abscheiden von leitfähigen Materialien bekannt sind und zum Einsatz kommen. Die Dicke der Dummy-Gate-Elektrode 111 kann etwa 5 Å bis etwa 200 Å betragen. Die Oberseite der Dummy-Gate-Elektrode 111 kann eine nicht-planare Oberseite sein, die vor der Strukturierung der Dummy-Gate-Elektrode 111 oder der Gate-Ätzung planarisiert werden kann. An dieser Stelle können Ionen in die Dummy-Gate-Elektrode 111 eingebracht werden oder auch nicht. Ionen können zum Beispiel mit Ionenimplantationsverfahren eingebracht werden.
  • Nach ihrer Herstellung können das Dummy-Gatedielektrikum 109 und die Dummy-Gate-Elektrode 111 strukturiert werden, um eine Reihe von Stapeln 115 über den Finnen 107 herzustellen. Die Stapel 115 definieren mehrere Kanalbereiche, die sich auf jeder Seite der Finnen 107 unter dem Dummy-Gatedielektrikum 109 befinden. Die Stapel 115 können auf der Dummy-Gate-Elektrode 111 durch Abscheiden und Strukturieren einer Gate-Maske (in 1 nicht einzeln dargestellt) zum Beispiel mit Abscheidungs- und fotolithografischen Verfahren, die auf dem Fachgebiet bekannt sind, hergestellt werden. Für die Gate-Maske können allgemein übliche Maskierungs- und Opfermaterialien, unter anderem Siliziumoxid, Siliziumoxidnitrid, SiCON, SiC, SiOC und/oder Siliziumnitrid, verwendet werden, die mit einer Dicke von etwa 5 Å bis etwa 200 Å abgeschieden werden können. Die Dummy-Gate-Elektrode 111 und das Dummy-Gatedielektrikum 109 können mit einem Trockenätzprozess geätzt werden, um strukturierte Stapel 115 herzustellen.
  • Nachdem die Stapel 115 strukturiert worden sind, können die ersten Abstandshalter 113 hergestellt werden. Die ersten Abstandshalter 113 können auf gegenüberliegenden Seiten der Stapel 115 hergestellt werden. Die ersten Abstandshalter 113 werden normalerweise durch Schutzabscheidung einer Abstandshalterschicht (in 1 nicht einzeln dargestellt) auf der zuvor hergestellten Struktur hergestellt. Die Abstandshalterschicht kann SIN, Oxidnitrid, SiC, SiON, SiOCN, SiOC, Oxid und dergleichen aufweisen und kann mit Verfahren hergestellt werden, die normalerweise zum Herstellen einer solchen Schicht verwendet werden, wie etwa chemische Aufdampfung (CVD), plasmaunterstützte CVD, Sputtern und anderen Verfahren, die auf dem Fachgebiet bekannt sind. Die Abstandshalterschicht kann ein anderes Material mit anderen Ätzeigenschaften als das dielektrische Material in den ersten Isolationsbereichen 105 aufweisen, oder sie kann das gleiche Material wie die ersten Isolationsbereiche 105 aufweisen. Die ersten Abstandshalter 113 können dann zum Beispiel mit einer oder mehreren Ätzungen strukturiert werden, um die Abstandshalterschicht von den horizontalen Flächen der Struktur zu entfernen, sodass die ersten Abstandshalter 113 entstehen.
  • Bei einer Ausführungsform können die ersten Abstandshalter 113 mit einer Dicke von etwa 5 Å bis etwa 500 Å hergestellt werden. Außerdem kann nach der Herstellung der ersten Abstandshalter 113 ein erster Abstandshalter 113, der zu einem Stapel 115 benachbart ist, durch einen ersten Abstand von etwa 5 nm bis etwa 200 nm, z. B. von etwa 20 nm, von einem ersten Abstandshalter 113, der zu einem anderen Stapel 115 benachbart ist, getrennt sein. Es können jedoch alle geeigneten Dicken und Abstände verwendet werden.
  • 2 zeigt ein Entfernen der Finnen 107 von den Bereichen, die nicht durch die Stapel 115 und die ersten Abstandshalter 113 geschützt sind, und ein erneutes Aufwachsen von Source-/Drain-Bereichen 201. Das Entfernen der Finnen 107 aus den Bereichen, die nicht durch die Stapel 115 und die ersten Abstandshalter 113 geschützt sind, kann durch eine reaktive Ionenätzung (RIE) unter Verwendung der Stapel 115 und der ersten Abstandshalter 113 als Hartmasken oder mit einem anderen geeigneten Entfernungsverfahren erfolgen. Das Entfernen kann so lange fortgesetzt werden, bis die Finnen 107 entweder planar mit der Oberfläche der ersten Isolationsbereiche 105 sind (wie dargestellt) oder sich unter der Oberfläche der ersten Isolationsbereiche 105 befinden.
  • Nachdem diese Teile der Finnen 107 entfernt worden sind, wird eine Hartmaske (nicht einzeln dargestellt) so platziert und strukturiert, dass sie die Dummy-Gate-Elektrode 111 bedeckt, um ein Aufwachsen darauf zu verhindern, und die Source-/Drain-Bereiche 201 können in Kontakt mit den einzelnen Finnen 107 erneut aufgewachsen werden. Bei einer Ausführungsform können die Source-/Drain-Bereiche 201 erneut aufgewachsen werden, und bei einigen Ausführungsformen können sie so aufgewachsen werden, dass ein Stressor entsteht, der den Kanalbereichen der Finnen 107, die sich unter den Stapeln 115 befinden, eine mechanische Spannung verleiht. Bei einer Ausführungsform, bei der die Finnen 107 Silizium aufweisen und der FinFET eine p-Vorrichtung ist, können die Source-/Drain-Bereiche 201 mit einem selektiven Epitaxieprozess mit einem Material wie Silizium oder andernfalls einem Material wie Siliziumgermanium, das eine andere Gitterkonstante als die Kanalbereiche hat, erneut aufgewachsen werden. Für den epitaxialen Aufwachsprozess können Vorläufer wie Silan, Dichlorsilan, Monogerman und dergleichen verwendet werden, und er kann etwa 5 min bis etwa 120 min, z. B. etwa 30 min, andauern.
  • Bei einer Ausführungsform können die Source-/Drain-Bereiche 201 so hergestellt werden, dass sie eine Dicke von etwa 5 Å bis etwa 1000 Å und eine Höhe über den ersten Isolationsbereichen 105 von etwa 10 Å bis etwa 500 Å, z. B. von etwa 200 Å, haben. Bei dieser Ausführungsform können die Source-/Drain-Bereiche 201 so hergestellt werden, dass sie eine Höhe über der Oberseite der ersten Isolationsbereiche 105 von etwa 5 nm bis etwa 250 nm, z. B. von etwa 100 nm, haben. Es kann jedoch jede geeignete Höhe verwendet werden.
  • Nachdem die Source-/Drain-Bereiche 201 hergestellt worden sind, können geeignete Dotanden, die Dotanden in den Finnen 107 komplementieren, in die Source-/Drain-Bereiche 201 implantiert werden. Zum Beispiel können p-Dotanden, wie etwa Bor, Gallium, Indium oder dergleichen, implantiert werden, um eine PMOS-Vorrichtung herzustellen. Alternativ können n-Dotanden, wie etwa Phosphor, Arsen, Antimon oder dergleichen, implantiert werden, um eine NMOS-Vorrichtung herzustellen. Diese Dotanden können unter Verwendung der Stapel 115 und der ersten Abstandshalter 113 als Masken implantiert werden. Ein Durchschnittsfachmann dürfte erkennen, dass zahlreiche andere Verfahren, Schritte oder dergleichen zum Implantieren der Dotanden verwendet werden können. Ein Durchschnittsfachmann dürfte zum Beispiel erkennen, dass eine Mehrzahl von Implantationsprozessen unter Verwendung verschiedener Kombinationen von Abstandshaltern und Belägen zum Herstellen von Source-/Drain-Bereichen mit einer speziellen Form oder mit speziellen Eigenschaften, die für einen bestimmten Zweck geeignet sind, durchgeführt werden kann. Jeder dieser Prozesse kann zum Implantieren der Dotanden verwendet werden, und die vorstehende Beschreibung soll die vorliegenden Ausführungsformen nicht auf die hier dargestellten Schritte beschränken.
  • An dieser Stelle wird die Hartmaske entfernt, die die Dummy-Gate-Elektrode 111 während der Herstellung der Source-/Drain-Bereiche 201 bedeckt hat. Bei einer Ausführungsform kann die Hartmaske z. B. mit einem Nass- oder Trockenätzprozess entfernt werden, der für das Material der Hartmaske selektiv ist. Es kann jedoch jedes geeignete Entfernungsverfahren verwendet werden.
  • 2 zeigt auch ein Herstellen einer Zwischenschichtdielektrikum-Schicht (ILD-Schicht) 203 (die in 2 mit Strichlinien dargestellt ist, um die darunter befindlichen Strukturen deutlicher zu zeigen) über den Stapeln 115 und den Source-/Drain-Bereichen 201. Die ILD-Schicht 203 kann ein Material wie Borphosphorsilicatglas (BPSG) aufweisen, aber es können alle geeigneten Dielektrika verwendet werden. Die ILD-Schicht 203 kann mit einem Verfahren wie PECVD hergestellt werden, aber alternativ können auch andere Verfahren, wie etwa LPCVD, verwendet werden. Die ILD-Schicht 203 kann mit einer Dicke von etwa 100 Å bis etwa 3000 Å hergestellt werden. Nach ihrer Herstellung kann die ILD-Schicht 203 mit den ersten Abstandshaltern 113 z. B. mit einem Planarisierungsprozess, wie etwa einer CMP, planarisiert werden, aber es kann jedes geeignete Verfahren verwendet werden.
  • 3 zeigt eine Schnittansicht von 2 entlang einer Linie 3 - 3', um ein Entfernen und Ersetzen des Materials der Dummy-Gate-Elektrode 111 und des Dummy-Gatedielektrikums 109 mit einer Mehrzahl von Schichten durch einen ersten Gatestapel 1002 (der in 3 nicht dargestellt ist, aber später in 10 dargestellt ist und unter Bezugnahme darauf beschrieben wird) besser zu erläutern. In 3 ist nicht nur der erste Gatestapel 1002 in einem ersten Bereich 302 des Substrats 101 gezeigt, sondern es ist auch einer zweiter Bereich 304 (für einen zweiten Gatestapel 1004) des Substrats 101 gezeigt, wobei der zweite Bereich 304 direkt zu dem ersten Bereich 302 benachbart sein kann oder andernfalls durch einen Abstand (der in 4 durch eine Strichlinie dargestellt ist) von dem ersten Bereich 302 getrennt sein kann. Bei einer Ausführungsform kann der erste Gatestapel 1002 ein Gatestapel für einen ersten Transistor (z. B. einen NMOS-FinFET-Transistor) sein, während der zweite Gatestapel 1004 für einen zweiten Transistor (z. B. einen ersten PMOS-FinFET-Transistor) sein kann. Es können jedoch alle geeigneten Vorrichtungen verwendet werden.
  • Bei einer Ausführungsform können die Dummy-Gate-Elektrode 111 und das Dummy-Gatedielektrikum 109 z. B. mit einem oder mehreren Nass- oder Trockenätzprozessen entfernt werden, für die Ätzmittel verwendet werden, die für das Material der Dummy-Gate-Elektrode 111 und des Dummy-Gatedielektrikums 109 selektiv sind. Es können jedoch alle geeigneten Entfernungsprozesse verwendet werden.
  • Nachdem die Dummy-Gate-Elektrode 111 und das Dummy-Gatedielektrikum 109 entfernt worden sind, kann ein Prozess zum Herstellen des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 durch Abscheiden einer Reihe von Schichten begonnen werden. Bei einer Ausführungsform kann die Reihe von Schichten eine optionale Grenzflächenschicht (nicht einzeln dargestellt), ein erstes dielektrisches Material 301, eine optionale erste n-Metall-Austrittsarbeitsschicht 303 und eine erste p-Metall-Austrittsarbeitsschicht 305 umfassen.
  • Die optionale Grenzflächenschicht kann vor der Abscheidung des ersten dielektrischen Materials 301 hergestellt werden. Bei einer Ausführungsform kann die Grenzflächenschicht ein Material wie Siliziumdioxid sein, das mit einem Verfahren wie In-situ-Dampferzeugung (ISSG) hergestellt wird. Bei einer anderen Ausführungsform kann die Grenzflächenschicht ein High-k-Material wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO oder Ta2O5 oder eine Kombination davon oder dergleichen sein, das mit einer Dicke von etwa 5 Å bis etwa 20 Å, z. B. von etwa 10 Å, abgeschieden wird. Es kann jedoch jedes geeignete Material oder jedes geeignete Verfahren verwendet werden.
  • Nachdem die Grenzflächenschicht hergestellt worden ist, kann das erste dielektrische Material 301 über der Grenzflächenschicht entfernt werden. Bei einer Ausführungsform ist das erste dielektrische Material 301 ein High-k-Material wie HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO oder Ta2O5 oder eine Kombination davon oder dergleichen, das mit einem Verfahren wie Atomlagenabscheidung, chemische Aufdampfung oder dergleichen abgeschieden wird. Das erste dielektrische Material 301 kann mit einer Dicke von etwa 5 Å bis etwa 200 Å, z. B. von etwa 12 Å, abgeschieden werden, aber es können jedes geeignete Material und jede geeignete Dicke verwendet werden.
  • Über dem ersten dielektrischen Material 301 kann die erste n-Metall-Austrittsarbeitsschicht 303 hergestellt werden. Bei einer Ausführungsform kann die erste n-Metall-Austrittsarbeitsschicht 303 ein Material wie W, Cu, AlCu, TiAlC, TiAlN, Ti, TiN, Ta, TaN, Co, Ni, Ag, Al, TaAl, TaAlC, TaC, TaCN, TaSiN, Mn, Zr, ein anderes geeignetes n-Austrittsarbeitsmaterial oder eine Kombination davon sein. Die erste n-Metall-Austrittsarbeitsschicht 303 kann zum Beispiel durch ALD, CVD oder dergleichen mit einer Dicke von etwa 5 Å bis etwa 5000 Å, z. B. von etwa 30 Å, abgeschieden werden. Es können jedoch alle geeigneten Materialien und Verfahren zum Herstellen der ersten n-Metall-Austrittsarbeitsschicht 303 verwendet werden.
  • Über der ersten n-Metall-Austrittsarbeitsschicht 303 (falls vorhanden) oder über dem ersten dielektrischen Material 301 (falls die erste n-Metall-Austrittsarbeitsschicht 303 nicht vorhanden ist) kann die erste p-Metall-Austrittsarbeitsschicht 305 hergestellt werden. Bei einer Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 aus einem metallischen Material wie LaO, TiN, Ti, TiAlN, TaC, TaCN, TaSiN, TaSi2, NiSi2, Mn, Zr, ZrSi2, TaN, Ru, Al, Mo, MoSi2, WN, anderen Metalloxiden, Metallnitriden, Metallsilicaten, Übergangsmetalloxiden, Übergangsmetallnitriden, Übergangsmetallsilicaten, Oxidnitriden von Metallen, Metallaluminaten, Zirconiumsilicat, Zirconiumaluminat, einer Kombination davon oder dergleichen hergestellt werden. Außerdem kann die erste p-Metall-Austrittsarbeitsschicht 305 mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern oder dergleichen mit einer Dicke von etwa 0,8 Å bis etwa 10 Å, z. B. von etwa 1,2 Å, abgeschieden werden, aber es kann jedes geeignete Abscheidungsverfahren oder jede geeignete Dicke verwendet werden.
  • 3 zeigt außerdem die Herstellung einer Hartmaskenschicht 307 sowie eine Platzierung und Strukturierung einer unteren Antireflexionsschicht 309 über dem ersten Bereich 302. Bei einer Ausführungsform kann die Hartmaskenschicht 307 ein Maskierungsmaterial wie Aluminiumoxid sein, aber es kann jedes geeignete Maskierungsmaterial, wie etwa Titannitrid (TiN), Wolframcarbid (WC) oder Silizium (Si), eine Kombination davon oder dergleichen verwendet werden. Die Hartmaskenschicht 307 kann mit einem Abscheidungsverfahren wie Atomlagenabscheidung, chemische Aufdampfung, Sputtern oder dergleichen mit einer Dicke von etwa 5 Å bis etwa 100 Å, z. B. von etwa 20 Å, hergestellt werden. Es können jedoch alle geeigneten Materialien, Herstellungsverfahren und Dicken verwendet werden.
  • Bei einer Ausführungsform hat die untere Antireflexionsschicht 309 andere optische Eigenschaften als ein später platziertes Fotoresist 313, und sie funktioniert so, dass sie eine unkontrollierte und unerwünschte Reflexion von Energie (z. B. Licht) zurück in das darüber befindliche Fotoresist 313 während einer Belichtung des Fotoresists 313 verhindert, wodurch vermieden wird, dass reflektiertes Licht zu Reaktionen in einem unerwünschten Bereich des Fotoresists 313 führt. Die untere Antireflexionsschicht 309 kann zum Beispiel eine andere Brechzahl (n), einen anderen Extinktionskoeffizienten (k) oder eine andere Dicke (T) als das Fotoresist 313 haben. Außerdem kann die untere Antireflexionsschicht 309 zum Bereitstellen einer planaren Oberfläche verwendet werden, was dazu beiträgt, die negativen Einflüsse der in einem Winkel einfallenden Energie zu reduzieren.
  • Bei einer Ausführungsform umfasst das Material, das zum Herstellen der unteren Antireflexionsschicht 309 verwendet wird, ein Polymerharz, einen Katalysator und ein Vernetzungsmittel, die alle in ein BARC-Lösungsmittel (BARC: unterer Antireflexbelag) zur Dispersion gegeben werden. Das Polymerharz kann ein Polymer umfassen, bei dem verschiedene Monomere zusammen mit einer chromophoren Gruppe durch das Vernetzungsmittel miteinander verbunden sind. Bei einer speziellen Ausführungsform kann das Vernetzungsmonomer eine Kohlenwasserstoffkette umfassen, die wiederum z. B. eine Hydroxylgruppe, eine Carbonsäuregruppe, eine Carbonestergruppe, Epoxidgruppen, Urethangruppen oder Amidgruppen oder eine Kombination davon oder dergleichen umfasst. Außerdem kann das Vernetzungsmittel Folgendes umfassen: ein Melamin-basiertes Mittel, ein Harnstoff-basiertes Mittel, ein Ethylenharnstoff-basiertes Mittel, ein Propylenharnstoffbasiertes Mittel, ein Glycoluril-basiertes Mittel, einen aliphatischen zyklischen Kohlenwasserstoff mit einer Hydroxylgruppe, einer Hydroxyalkylgruppe oder einer Kombination davon, sauerstoffhaltige Derivate von aliphatischen zyklischen Kohlenwasserstoffen, Glycoluril-Verbindungen, veretherte Aminoharze, ein Polyetherpolyol, einen Polyglycidilether, einen Vinylether, ein Triazin, eine Kombination davon oder dergleichen. Es können jedoch alle geeigneten Monomere, Polymere und Vernetzungsmittel verwendet werden.
  • Das Material für die untere Antireflexionsschicht 309 kann so aufgebracht werden, dass es eine obere freiliegende Fläche bedeckt, und es kann mit einem Verfahren wie Schleuderbeschichtung, Tauchbeschichtung, Luftrakelbeschichtung, Vorhangbeschichtung, Drahtbarrenbeschichtung, Gravurbeschichtung, Laminierung, Extruder-Beschichtung, einer Kombination davon oder dergleichen aufgebracht werden. Bei einer Ausführungsform kann das Material für die untere Antireflexionsschicht 309 zunächst mit einer Dicke von etwa 10 nm bis etwa 1000 nm, z. B. von etwa 100 nm, aufgebracht werden. Wenn das Material für die untere Antireflexionsschicht 309 an der richtigen Stelle ist, kann es mittels Wärme getrocknet werden, um das BARC-Lösungsmittel auszutreiben und die Vernetzungsmittel zur Reaktion zu bringen, um die Polymere miteinander zu vernetzen, sodass die untere Antireflexionsschicht 309 entsteht.
  • Nachdem die untere Antireflexionsschicht 309 platziert worden ist, kann das Material der unteren Antireflexionsschicht 309 physikalische Eigenschaften haben, durch die bei der Weiterbearbeitung bestimmte Defekte auftreten können. Zum Beispiel kann bei einigen Ausführungsformen die abgeschiedene untere Antireflexionsschicht 309 ein Diffusionsvermögen (d. h., die Geschwindigkeit, mit der eine Chemikalie durch das Material der Antireflexionsschicht 309 diffundieren kann) haben, das es Materialien von später aufgebrachten Chemikalien, wie etwa eines ersten Nassätzmittels 601 (das später unter Bezugnahme auf 6 näher beschrieben wird), nach einer bestimmten Einwirkdauer ermöglicht, durch die untere Antireflexionsschicht 309 zu diffundieren und mit darunter befindlichen Schichten zu reagieren.
  • 3 zeigt außerdem ein Strukturieren der unteren Antireflexionsschicht 309 unter Verwendung einer mittleren Schicht 311 und des Fotoresists 313. Bei einer Ausführungsform kann die mittlere Schicht 311 eine organische oder eine anorganische Schicht sein, die einen anderen Ätzwiderstand als das Fotoresist 313 hat. Bei einer speziellen Ausführungsform ist die mittlere Schicht 311 ein Hartmaskenmaterial, wie etwa ein Tieftemperaturoxid, Aluminiumoxid, Silizium, Siliziumnitrid, ein anderes Oxid, ein Oxidnitrid, Siliziumcarbid, eine Kombination davon oder dergleichen. Das Hartmaskenmaterial für die mittlere Schicht 311 kann mit einem Verfahren wie CVD abgeschieden werden, aber es können auch andere Verfahren, wie etwa PECVD, LPCVD, Schleuderbeschichtung oder sogar Siliziumoxidherstellung, verwendet werden, an die sich eine Nitrierung anschließt. Es kann jedes geeignete Verfahren oder jede geeignete Kombination von Verfahren zum Herstellen oder andernfalls Platzieren des Materials für die mittlere Schicht 311 verwendet werden, und alle diese Verfahren oder Kombinationen sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen. Die mittlere Schicht 311 kann mit einer Dicke von etwa 100 Å bis etwa 800 Å, z. B. von etwa 300 Å, hergestellt werden.
  • Das Fotoresist 313 wird über der mittleren Schicht 311 platziert, um eine strukturierte Maske bereitzustellen, sodass die mittlere Schicht 311 zu der gewünschten Struktur strukturiert werden kann. Bei einer Ausführungsform ist das Fotoresist 313 ein lichtempfindliches Material, das auf die mittlere Schicht 311 verteilt wird und dann mit einer strukturierten Energiequelle (z. B. Licht) belichtet wird, um eine chemische Reaktion in den Teilen des lichtempfindlichen Materials auszulösen, die freigelegt sind. Diese chemische Reaktion bewirkt eine Änderung von physikalischen Eigenschaften, die in einem Entwicklungsprozess verwendet werden können, um den belichteten Teil des lichtempfindlichen Materials von dem unbelichteten Teil des lichtempfindlichen Materials zu trennen, um ein strukturiertes Fotoresist zu erzeugen.
  • Nachdem das Fotoresist 313 zu der gewünschten Struktur strukturiert worden ist, kann es als eine Maske zum Strukturieren des Materials der mittleren Schicht 311 verwendet werden. Zum Beispiel kann die Struktur des Fotoresists 313 mit einem anisotropen Ätzprozess, wie etwa einer reaktiven Ionenätzung (RIE), auf die mittlere Schicht 311 übertragen werden, wobei Ionen eines geeigneten Ätzmittels, wie etwa CF4-O2, in einer Trockenätzung zum Entfernen von Teilen der mittleren Schicht 311 verwendet werden können, die von dem strukturierten Fotoresist 313 befreit sind. Alternativ kann jedoch auch ein anderes geeignetes Ätzmittel, wie etwa CHF3/O2, CH2F2, CH3F oder dergleichen, oder ein anderes geeignetes Verfahren, wie etwa Nass-Strippen, verwendet werden.
  • Nachdem die Struktur des Fotoresists 313 auf die mittlere Schicht 311 übertragen worden ist, kann die mittlere Schicht 311 zum Übertragen der Struktur des Fotoresists 313 auf die untere Antireflexionsschicht 309 verwendet werden, um die Hartmaskenschicht 307 in dem zweiten Bereich 304 freizulegen. Bei einer Ausführungsform kann die untere Antireflexionsschicht 309 mit einem Ätzprozess geätzt werden, in dem das Fotoresist 313 und die mittlere Schicht 311 (die nun strukturiert ist) als eine Maskierungsschicht verwendet werden. Der Ätzprozess kann ein Trockenätzprozess unter Verwendung eines Ätzmittels wie Sauerstoff, Stickstoff, Wasserstoff, Ammoniak, Schwefelhexafluorid, Difluormethan, Stickstofftrifluorid, Chlortrifluorid, Chlor, Kohlenmonoxid, Kohlendioxid, Helium, Bordichlorid, Argon, Fluor, Trifluormethan, Tetrafluormethan, Perfluorcyclobutan, Perfluorpropan, einer Kombination davon oder dergleichen sein. Es kann jedoch auch ein anderes geeignetes Ätzverfahren, wie etwa eine Nassätzung oder auch eine Nassätzung, die gleichzeitig mit der Ätzung der mittleren Schicht 311 durchgeführt wird, verwendet werden, und es können andere geeignete Ätzmittel zum Einsatz kommen.
  • 4 zeigt, dass nach dem Strukturieren der unteren Antireflexionsschicht 309 das Fotoresist 313 und die mittlere Schicht 311 entfernt werden können. Bei einer Ausführungsform kann das Fotoresist 313 zum Beispiel mit einem Ablösungsprozess entfernt werden, durch den die Temperatur des Fotoresists 313 bis zu einem Punkt erhöht wird, an dem das Fotoresist 313 thermisch zersetzt wird, und anschließend kann das zersetzte Fotoresist 313 problemlos entfernt werden.
  • Nachdem das Fotoresist 313 entfernt worden ist, kann auch die mittlere Schicht 311 entfernt werden. Bei einer Ausführungsform kann die mittlere Schicht 311 mit einem oder mehreren Ätzprozessen, wie etwa mit einem Nass- oder einem Trockenätzprozess, entfernt werden. Es kann jedoch jedes geeignete Entfernungsverfahren verwendet werden.
  • 5 zeigt einen Behandlungsprozess (der in 5 durch die mit 501 bezeichneten Pfeile dargestellt ist), der verwendet werden kann, um die untere Antireflexionsschicht 309 zu behandeln und zu schützen, das Diffusionsvermögen von Ätzmitteln durch die untere Antireflexionsschicht 309 zu reduzieren und ein unerwünschtes Durchdringen von später verwendeten Chemikalien durch die untere Antireflexionsschicht 309 zu verhindern. Bei der in 5 gezeigten Ausführungsform ist die Behandlung eine reaktionsunfähige physikalische Behandlung, die die Struktur der unteren Antireflexionsschicht 309 nicht chemisch modifiziert (weitere Ausführungsformen werden später unter Bezugnahme auf die 11 bis 13 näher erörtert). Bei einer speziellen Ausführungsform kann der Behandlungsprozess 501 eine Füllbehandlung sein, bei der Poren (z. B. durch Kapillarkräfte) und andere Öffnungen in der unteren Antireflexionsschicht 309 gefüllt werden, wodurch verhindert wird, dass später aufgebrachte Chemikalien in diese Poren und anderen Öffnungen eindringen, wenn die später aufgebrachten Chemikalien ihren Weg durch die untere Antireflexionsschicht 309 nehmen wollen.
  • Bei dieser Ausführungsform kann der Behandlungsprozess 501 durch Aufbringen einer ersten Behandlungschemikalie (die in 5 durch die mit 503 bezeichneten Symbole „X“ dargestellt ist) durchgeführt werden. Bei einer Ausführungsform kann die erste Behandlungschemikalie 503 eine Chemikalie mit physikalischen Eigenschaften sein, die es ihr gestatten, in die Poren der unteren Antireflexionsschicht 309 einzudringen. Zum Beispiel kann die erste Behandlungschemikalie 503 bei einigen Ausführungsformen eine Viskosität von weniger als 5 cp, z. B. von etwa 1 cp bis etwa 3 cp, haben, und sie kann außerdem eine Oberflächenspannung von weniger als etwa 40 mN/m, z. B. von etwa 10 mN/m bis etwa 30 mN/m, haben. Darüber hinaus kann die erste Behandlungschemikalie 503 eine relative Dichte von etwa 0,7 bis etwa 2, z. B. von etwa 0,79, haben. Schließlich kann die erste Behandlungschemikalie 503 eine Wasserlöslichkeit von etwa 10-1 g/ml bis etwa 10-4 g/ml haben, und sie reagiert nicht chemisch mit später aufgebrachten Chemikalien.
  • Bei einer speziellen Ausführungsform kann die erste Behandlungschemikalie 503 ein Kohlenwasserstoff, wie etwa Isopropylalkohol (IPA), Hexan, Aceton oder Benzen, eine Kombination davon oder dergleichen sein. Es können jedoch auch andere geeignete Chemikalien, wie etwa andere n-Alkane, andere Kohlenwasserstoffalkane oder dergleichen, verwendet werden. Es können alle geeigneten Chemikalien, die das Wandern von später aufgebrachten Chemikalien durch die untere Antireflexionsschicht 309 inhibieren können, verwendet werden, und alle diese Chemikalien sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.
  • Der Behandlungsprozess 501 kann durch Platzieren der ersten Behandlungschemikalie 503 in physischen Kontakt mit der unteren Antireflexionsschicht 309 begonnen werden. Bei einer Ausführungsform kann die erste Behandlungschemikalie 503 mit einem Verfahren wie Schleuderbeschichtung, Tauchbeschichtung, Luftrakelbeschichtung, Vorhangbeschichtung, Drahtbarrenbeschichtung, Gravurbeschichtung, Laminierung, Extruder-Beschichtung, einer Kombination davon oder dergleichen aufgebracht werden. Es kann jedoch jedes geeignete Verfahren zum Aufbringen der erste Behandlungschemikalie 503 zum Einsatz kommen.
  • Die erste Behandlungschemikalie 503 kann bei einer Temperatur von etwa 5 °C bis etwa 80 °C, z. B. von etwa 25 °C, aufgebracht werden. Außerdem kann der Behandlungsprozess 501 mit einer Dauer fortgesetzt werden, die ausreicht, um die Poren und Öffnungen in der unteren Antireflexionsschicht 309 zu füllen, z. B. mit einer Dauer von etwa 30 s bis etwa 240 s, z. B. von etwa 120 s. Es können jedoch alle geeigneten Dauern und Temperaturen verwendet werden.
  • Während des Behandlungsprozesses 501 gelangt die erste Behandlungschemikalie 503 in die Poren und anderen Öffnungen in der unteren Antireflexionsschicht 309, und sie durchtränkt die untere Antireflexionsschicht 309 durch Nutzung von Kapillarkräften. Dadurch, dass die erste Behandlungschemikalie 503 diese Poren und anderen Öffnungen füllt und darin verbleibt, bremst sie das Wandern von später aufgebrachten Chemikalien durch die untere Antireflexionsschicht 309 ab oder sie verhindert dieses Wandern völlig (was später näher erörtert wird). Durch Verlangsamen des Wanderns der später aufgebrachten Chemikalien sind diese nicht mehr in der Lage, die darunter befindliche Hartmaskenschicht 307 zu erreichen. Und durch Verhindern, dass die später aufgebrachten Chemikalien die darunter befindliche Hartmaskenschicht 307 erreichen, sind die später aufgebrachten Chemikalien nicht mehr in der Lage, mit der darunter befindlichen Hartmaskenschicht 307 zu reagieren, und ein Auftreten von Defekten, wie etwa Blasenbildung, wird verhindert. Dadurch werden eine Verlängerung von verfügbaren Reinigungszeiten und eine Vergrößerung eines Nassreinigungsfensters für kleine Prozessknoten (z. B. N5, N3 usw.) und kleinere Finnenabstände möglich.
  • 6 zeigt ein Entfernen der Hartmaskenschicht 307 von dem zweiten Bereich 304. Bei einer Ausführungsform kann die Hartmaskenschicht 307 mit einem Nassätzprozess unter Verwendung eines Nassätzmittels (das in 6 durch die mit 601 bezeichneten Symbole X dargestellt ist), das für das Entfernen des für die Hartmaskenschicht 307 gewählten Materials (z. B. Aluminiumoxid) selektiv ist, entfernt werden. Daher kann bei einer Ausführungsform, bei der die Hartmaskenschicht 307 Aluminiumoxid ist, das Entfernen der Hartmaskenschicht 307 mit einem ersten Nassätzmittel 601 erfolgen, wie etwa Ammoniumhydroxid (NH4OH), Phosphorsäure (H3PO4), Wasserstoffperoxid, einer Kombination davon oder dergleichen.
  • Bei einer speziellen Ausführungsform kann die Hartmaskenschicht 307 unter Verwendung einer verdünnten Ammoniumhydroxidlösung entfernt werden. Die Nassätzlösung kann zum Beispiel Ammoniumhydroxid und Wasser in einem Verhältnis von 1 : 20 enthalten. Bei einer anderen Ausführungsform kann die Hartmaskenschicht 307 unter Verwendung eines Gemisches aus Ammoniumhydroxid und Wasserstoffperoxid, das in ein Lösungsmittel wie Wasser gegeben wird, entfernt werden. Die Nassätzlösung kann zum Beispiel 29 % Ammoniumhydroxid und 31 % Wasserstoffperoxid enthalten, wobei der Rest der Lösung Wasser ist. Es können jedoch alle geeigneten Ätzmittel und Ätzlösungen zum Einsatz kommen.
  • Bei einer Ausführungsform kann der Nassätzprozess bei einer Temperatur durchgeführt werden, die ausreichend ist, um das erste Nassätzmittel 601 mit dem Material der Hartmaskenschicht 307 zur Reaktion zu bringen, wie etwa bei einer Temperatur von etwa 5 °C bis etwa 70 °C, z. B. von etwa 25 °C. Außerdem kann der Nassätzprozess für eine Dauer von etwa 20 s bis etwa 300 s, z. B. von etwa 144 s, durchgeführt werden. Es können jedoch alle geeigneten Dauern und Temperaturen verwendet werden.
  • Dadurch, dass sich die erste Behandlungschemikalie 503 noch immer in den Poren und Öffnungen der unteren Antireflexionsschicht 309 befindet, kann das Diffusionsvermögen (d. h., die Geschwindigkeit der Diffusion durch die untere Antireflexionsschicht 309) des ersten Nassätzmittels 601 reduziert werden, und daher wird eine Diffusion des ersten Nassätzmittels 601 in und/oder durch die untere Antireflexionsschicht 309 reduziert oder sogar verhindert. Daher durchdringt das erste Nassätzmittel 601 die untere Antireflexionsschicht 309 nicht, solange der Nassätzprozess kürzer als ein Zeitraum durchgeführt wird, der es dem ersten Nassätzmittel 601 gestattet, die untere Antireflexionsschicht 309 zu durchdringen, wodurch eine Reaktion zwischen der Hartmaskenschicht 307 und dem ersten Nassätzmittel 601 verhindert wird. Dadurch können Defekte vermieden werden, die durch eine solche Reaktion entstehen (z. B. Blasendefekte, die mit Ablösungsprozessen schwer zu entfernen sind und die zu einem Nichtbestehen von Wafer-Abnahmeprüfungen wegen einer Schwellenspannungs-Durchbruchspannung, Nicht-Einhalten einer Soll-Austrittsarbeit usw. führen können).
  • 7 zeigt ein Entfernen der ersten p-Metall-Austrittsarbeitsschicht 305 von dem zweiten Bereich 304. Bei einer Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 mit einem oder mehreren Ätzprozessen, wie etwa einem Nassätzprozess oder einem Trockenätzprozess, entfernt werden, der für das Material der ersten p-Metall-Austrittsarbeitsschicht 305 (z. B. Lanthanoxid) selektiv ist. Es kann jedoch jedes geeignete Entfernungsverfahren verwendet werden.
  • Bei einer Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 mit einem Nassätzprozess unter Verwendung eines zweiten Nassätzmittels (das in 7 durch die mit 701 bezeichneten Symbole X dargestellt ist), das für das Entfernen des für die erste p-Metall-Austrittsarbeitsschicht 305 gewählten Materials (z. B. Lanthanoxid) selektiv ist, entfernt werden. Daher kann bei einer Ausführungsform, bei der die p-Metall-Austrittsarbeitsschicht 305 Lanthanoxid ist, das Entfernen der ersten p-Metall-Austrittsarbeitsschicht 305 mit einem zweiten Nassätzmittel 701 erfolgen, wie etwa Chlorwasserstoffsäure, Phosphorsäure, Wasserstoffperoxid, einer Kombination davon oder dergleichen.
  • Bei einer speziellen Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 unter Verwendung eines Gemisches aus Chlorwasserstoffsäure und Wasser entfernt werden. Zum Beispiel kann bei dieser Ausführungsform das Gemisch Chlorwasserstoffsäure und Wasser in einem Verhältnis von 1 : 25 enthalten. Bei einer anderen Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 mit einem Gemisch aus Chlorwasserstoffsäure und Wasserstoffperoxid, das in ein Lösungsmittel wie Wasser gegeben wird, entfernt werden. Bei dieser Ausführungsform kann das zweite Nassätzmittel 701 37 % Chlorwasserstoffsäure und 31 % Wasserstoffperoxid enthalten, wobei der Rest der Lösung Wasser ist. Es können jedoch alle geeigneten Ätzmittel zum Einsatz kommen.
  • Bei einer Ausführungsform kann der Nassätzprozess zum Entfernen der ersten p-Metall-Austrittsarbeitsschicht 305 bei einer Temperatur durchgeführt werden, die ausreichend ist, um das zweite Nassätzmittel 701 mit dem Material der ersten p-Metall-Austrittsarbeitsschicht 305 zur Reaktion zu bringen, wie etwa bei einer Temperatur von etwa 5 °C bis etwa 70 °C, z. B. von etwa 50 °C. Außerdem kann der Nassätzprozess für eine Dauer von etwa 20 s bis etwa 280 s, z. B. von etwa 154 s, durchgeführt werden. Es können jedoch alle geeigneten Dauern und Temperaturen verwendet werden.
  • Dadurch, dass sich die erste Behandlungschemikalie 503 noch immer in den Poren und Öffnungen der unteren Antireflexionsschicht 309 befindet, wird eine Diffusion des zweiten Nassätzmittels 701 in und/oder durch die untere Antireflexionsschicht 309 reduziert oder sogar verhindert. Daher durchdringt das zweite Nassätzmittel 701 die untere Antireflexionsschicht 309 nicht, solange der Nassätzprozess kürzer als ein Zeitraum durchgeführt wird, der es dem zweiten Nassätzmittel 701 gestattet, die untere Antireflexionsschicht 309 zu durchdringen, wodurch eine Reaktion zwischen der Hartmaskenschicht 307 und dem zweiten Nassätzmittel 701 verhindert wird. Dadurch können Defekte vermieden werden, die durch eine solche Reaktion entstehen (z. B. Blasendefekte).
  • Wenn sich zum Beispiel die erste Behandlungschemikalie 503 nicht in den Poren der unteren Antireflexionsschicht 309 befindet, kann das zweite Nassätzmittel 701 (das z. B. Chlorwasserstoffsäure enthält) die Oberfläche der Hartmaskenschicht 307 (z. B. Aluminiumoxid) erreichen. Wenn das zweite Nassätzmittel 701 tatsächlich die Oberfläche erreicht, können die durch die folgenden Gleichungen 1 und 2 dargestellten Reaktionen ablaufen: Al2O3 + 3H2O → 2Al(OH)3 Gl. 1, Al(OH)3 + 3HCl → AlCl3 + 3H2O Gl. 2. Und da AlCl3 wasserlöslich ist, wirkt es so, dass es die darüber befindliche untere Antireflexionsschicht 309 anhebt und eine Blase verursacht. Wenn jedoch die erste Behandlungschemikalie 503 vorhanden ist, können diese Reaktionen dadurch verhindert werden, dass vermieden wird, dass das zweite Nassätzmittel 701 die Hartmaskenschicht 307 erreicht.
  • Zum Beispiel kann bei einer Ausführungsform, bei der das zweite Nassätzmittel 701 für 210 s aufgebracht wird und der Behandlungsprozess 501 für 60 s durchgeführt wird, die Anzahl von Blasendefekten von 182 (für eine Vorrichtung, für die der Behandlungsprozess 501 nicht durchgeführt wurde) auf 154 verringert werden. Außerdem kann bei Ausführungsformen, bei denen das zweite Nassätzmittel 701 für 154 s aufgebracht wird und der Behandlungsprozess 501 entweder für 30 s oder für 60 s durchgeführt wird, die Anzahl von Blasendefekten von 216 (für eine Vorrichtung, für die der Behandlungsprozess 501 nicht durchgeführt wurde) auf 2 (bei einem Behandlungsprozess 501 mit einer Dauer von 30 s) und sogar 0 (bei einem Behandlungsprozess 501 mit einer Dauer von 60 s) verringert werden.
  • Nachdem die erste p-Metall-Austrittsarbeitsschicht 305 entfernt worden ist, kann die Struktur gereinigt werden, und anschließend kann die untere Antireflexionsschicht 309 entfernt werden. Bei einer Ausführungsform kann die Struktur durch Aufbringen des ersten Nassätzmittels 601 für eine kurze Dauer von etwa 5 s bis etwa 120 s, z. B. von etwa 10 s, und anschließendes Abspülen der Struktur mit einer Spülflüssigkeit, wie etwa vollentsalztem Wasser, für eine Dauer von etwa 10 s bis etwa 120 s, z. B. von etwa 30 s, gereinigt werden. Es können jedoch alle geeigneten Reinigungsprozesse auf dieser Stufe verwendet werden.
  • Vorstehend ist zwar ein Verfahren beschrieben worden, bei dem der Behandlungsprozess 501 vor dem Entfernen der Hartmaskenschicht 307 durchgeführt wird, aber dies soll nur erläuternd sein und soll die Ausführungsformen nicht beschränken. Vielmehr kann die untere Antireflexionsschicht 309 jederzeit in dem Verfahren behandelt werden, was dazu beiträgt, eine unerwünschte Diffusion von losen Chemikalien durch die untere Antireflexionsschicht 309 zu verhindern. Zum Beispiel können bei einigen Ausführungsformen die mittlere Schicht 311 und die Hartmaskenschicht 307 das gleiche Material (z. B. Aluminiumoxid) aufweisen, und es wäre günstig, die Hartmaskenschicht 307 in dem gleichen Prozessschritt zu strukturieren, in dem die mittlere Schicht 311 entfernt wird. Bei dieser Ausführungsform kann der Behandlungsprozess 501 erst nach der Strukturierung der Hartmaskenschicht 307 und vor der Strukturierung der ersten p-Metall-Austrittsarbeitsschicht 305 erfolgen, da die mittlere Schicht 311 noch immer vorhanden ist, um die untere Antireflexionsschicht 309 zu Beginn zu schützen. Der Behandlungsprozess 501 kann zu jedem Zeitpunkt in das Verfahren integriert werden, und alle diese Zeitpunkte sollen vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.
  • Es sind hier zwar bestimmte chemische Ätzmittel zum Entfernen und/oder Strukturieren der Hartmaskenschicht 307 und der ersten p-Metall-Austrittsarbeitsschicht 305 genannt worden, aber der Behandlungsprozess für die untere Antireflexionsschicht 309 zum Vermeiden einer Blasenbildung soll nicht auf die vorgenannten losen Chemikalien beschränkt werden. Vielmehr kann der Behandlungsprozess zum Schützen von tieferliegenden Schichten für eine breite Palette von losen Chemikalien verwendet werden, die zum Entfernen und/oder Strukturieren vieler verschiedener Materialien während der Herstellung von Halbleitervorrichtungen verwendet werden können. Zum Beispiel werden lose Chemikalien wie Schwefelsäure (H2SO4), Phosphorsäure (H3PO4), Chlorwasserstoffsäure, Fluorwasserstoff (HF), Ammoniumhydroxid, Wasserstoffperoxid (H2O2), Aluminiumfluorid, Ozon, Kombinationen davon und dergleichen zum Herstellen einer breiten Palette von Ätzmitteln und Ätzlösungen verwendet. Für Verfahren, in denen diese losen Chemikalien verwendet werden, sind Vorteile durch die Nutzung der hier dargelegten Gedanken zu sehen, und die Verwendung aller dieser Chemikalien soll vollständig innerhalb des Schutzumfangs der Ausführungsformen liegen.
  • 8 zeigt, dass nach dem Reinigen der Struktur die untere Antireflexionsschicht 309 entfernt werden kann. Bei einer Ausführungsform kann die untere Antireflexionsschicht 309 mit einem Ätzprozesses oder einem Ablösungsprozess entfernt werden. Bei einer Ausführungsform, bei der die untere Antireflexionsschicht 309 in einem Ablösungsprozess entfernt wird, wird eine Temperatur der unteren Antireflexionsschicht 309 so lange erhöht, bis sich die untere Antireflexionsschicht 309 thermisch zersetzt, und sie kann dann entfernt werden. Es kann jedoch auch jedes andere geeignete Verfahren zum Entfernen der unteren Antireflexionsschicht 309 verwendet werden.
  • 9 zeigt, dass nach dem Entfernen der unteren Antireflexionsschicht 309 eine Klebstoffschicht (nicht einzeln dargestellt) und ein Füllmaterial 901 hergestellt werden können. Bei einer Ausführungsform kann die Klebstoffschicht hergestellt werden, um ein Anhaften des höherliegenden Füllmaterials 901 an den tieferliegenden Materialien zu unterstützen und um eine Keimbildungsschicht für die Herstellung des Füllmaterials 901 bereitzustellen. Bei einer Ausführungsform kann die Klebstoffschicht ein Material wie Titannidrid sein, und sie kann mit einem ähnlichen Verfahren wie ALD mit einer Dicke von etwa 10 Å bis etwa 100 Å, z. B. von etwa 50 Å, hergestellt werden. Es können jedoch alle geeigneten Materialien und Verfahren zum Einsatz kommen.
  • Nachdem die Klebstoffschicht hergestellt worden ist, wird das Füllmaterial 901 abgeschieden, um einen Rest der Öffnung mit der Klebstoffschicht zu füllen. Bei einer Ausführungsform kann das Füllmaterial 901 ein Material wie Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co oder Ni, eine Kombination davon oder dergleichen sein, und es kann mit einem Abscheidungsverfahren wie Plattierung, CVD, ALD, PVD, einer Kombination davon oder dergleichen abgeschieden werden. Außerdem kann das Füllmaterial 901 mit einer Dicke von etwa 1000 Å bis etwa 2000 Å, z. B. von etwa 1500 Å, abgeschieden werden. Es kann jedoch jedes geeignete Material verwendet werden.
  • 10 zeigt, dass nach dem Abscheiden des Füllmaterials 901 zum Füllen und Überfüllen der Öffnung die Materialien in jeder der Öffnungen des ersten Bereichs 302 und des zweiten Bereichs 304 planarisiert werden können, um einen ersten Gatestapel 1002 und einen zweiten Gatestapel 1004 herzustellen. Bei einer Ausführungsform können die Materialien mit den ersten Abstandshaltern 113 (siehe 1) z. B. mit einem CMP-Prozess planarisiert werden, aber es kann jedes geeignete Verfahren, wie etwa Schleifen oder Ätzen, verwendet werden.
  • Nachdem die Materialien des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 abgeschieden und planarisiert worden sind, können diese Materialien ausgespart werden und mit einer Verkappungsschicht 1001 verkappt werden. Bei einer Ausführungsform können die Materialien des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 z. B. mit einem Nass- oder einem Trockenätzprozess ausgespart werden, für den Ätzmittel verwendet werden, die für die Materialien des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 selektiv sind. Bei einer Ausführungsform können die Materialien des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 mit einer Tiefe von etwa 5 nm bis etwa 150 nm, z. B. von etwa 120 nm, ausgespart werden. Es können jedoch alle geeigneten Verfahren und Tiefen verwendet werden.
  • Nachdem die Materialien des ersten Gatestapels 1002 und des zweiten Gatestapels 1004 ausgespart worden sind, kann die Verkappungsschicht 1001 abgeschieden werden und mit den ersten Abstandshaltern 113 planarisiert werden. Bei einer Ausführungsform ist die Verkappungsschicht 1001 ein Material wie SiN, SiON, SiCON, SiC oder SiOC, eine Kombination davon oder dergleichen, das mit einem Abscheidungsverfahren wie ALD, CVD, Sputtern oder dergleichen abgeschieden wird. Die Verkappungsschicht 1001 kann mit einer Dicke von etwa 5 Ä bis etwa 200 Ä abgeschieden werden und kann dann mit einem Planarisierungsverfahren wie chemisch-mechanische Polierung planarisiert werden, sodass die Verkappungsschicht 1001 mit den ersten Abstandshaltern 113 koplanar ist.
  • 11 zeigt eine weitere Ausführungsform, bei der der Behandlungsprozess 501, statt einfach Poren zu füllen, um eine Diffusion zu verhindern, nun eine Schutzschicht 1101 dadurch erzeugt, dass er einen Teil der unteren Antireflexionsschicht 309 mit einem ersten Reaktionspartner (der in 11 durch die mit 1103 bezeichneten Symbole X dargestellt ist) zur Reaktion bringt. Bei einer Ausführungsform kann die Schutzschicht 1101 durch Einbringen des ersten Reaktionspartners 1103 in die untere Antireflexionsschicht 309 hergestellt werden.
  • Bei einer Ausführungsform kann der erste Reaktionspartner 1103 ein organischer Reaktionspartner sein, der zum Reagieren mit dem Material der unteren Antireflexionsschicht 309 und zum Herstellen der Schutzschicht 1101 geeignet ist. Obwohl der exakte Reaktionspartner, der zum Herstellen der Schutzschicht 1101 verwendet wird, zumindest teilweise von dem für die untere Antireflexionsschicht 309 gewählten Material abhängig ist, kann bei einigen Ausführungsformen der erste Reaktionspartner 1103 ein Material wie Hexamethyldisilazan (HMDS), Citronensäure oder Essigsäure, eine Kombination davon oder dergleichen sein. Es kann jedoch jedes geeignete Material verwendet werden.
  • Bei einer weiteren speziellen Ausführungsform kann der erste Reaktionspartner 1103 ein organischer Reaktionspartner sein, der zum Herstellen einer selbstjustierten Monolage (SAM) entlang einer Oberseite der unteren Antireflexionsschicht 309 geeignet ist. Bei dieser Ausführungsform reagiert der erste Reaktionspartner 1103 mit den freiliegenden Endgruppen der unteren Antireflexionsschicht 309 und bildet eine Einfachschicht aus der selbstjustierten Monolage mit den äußersten Endgruppen der unteren Antireflexionsschicht 309. Bei dieser Ausführungsform kann der erste Reaktionspartner 1103 ein organisches Molekül mit einer OH- oder Carbonsäuregruppe wie R-OH, R-COOH, einer Kombination davon oder dergleichen sein, wobei R eine Kohlenstoffkette mit einer geeigneten Anzahl von Kohlenstoffatomen darstellt. Es kann jedoch jeder geeignete Reaktionspartner zum Einsatz kommen.
  • Bei einer Ausführungsform kann der erste Reaktionspartner 1103 in Abhängigkeit von dem gewünschten Reaktionspartner mit einem Nass- oder einem Trockenätzprozess in die untere Antireflexionsschicht 309 eingebracht werden. Bei einer Ausführungsform, bei der der erste Reaktionspartner 1103 zum Beispiel HMDS ist, kann der erste Reaktionspartner 1103 in einer flüssigen Form mit einem Verfahren wie Schleuderbeschichtung, Tauchbeschichtung, Luftrakelbeschichtung, Vorhangbeschichtung, Drahtbarrenbeschichtung, Gravurbeschichtung, Laminierung, Extruder-Beschichtung, einer Kombination davon oder dergleichen eingebracht werden. Es kann jedoch jedes geeignete Verfahren verwendet werden.
  • Außerdem kann bei einer Ausführungsform, bei der der erste Reaktionspartner 1103 in einer flüssigen Form in Kontakt mit der unteren Antireflexionsschicht 309 gebracht wird, der Prozess bei einer Temperatur von etwa 5 °C bis etwa 80 °C, z. B. von etwa 25 °C, durchgeführt werden. Außerdem kann der Prozess für eine Dauer von etwa 5 s bis etwa 240 s, z. B. von etwa 60 s, durchgeführt werden. Es können jedoch alle geeigneten Prozessbedingungen verwendet werden.
  • Nachdem der erste Reaktionspartner 1103 in Kontakt mit der unteren Antireflexionsschicht 309 gebracht worden ist, diffundiert der erste Reaktionspartner 1103 in die untere Antireflexionsschicht 309 ein und reagiert außerdem mit deren Material, sodass die Schutzschicht 1101 entsteht. Bei einer speziellen Ausführungsform, bei der der erste Reaktionspartner 1103 HMDS ist, kann die Schutzschicht 1101 ein Reaktionsprodukt aus dem ersten Reaktionspartner 1103 und dem Material der unteren Antireflexionsschicht 309 sein. Es kann jedoch jedes geeignete Material für die Schutzschicht 1101 abgeschieden werden.
  • Außerdem kann die Schutzschicht 1101 bis zu einer Tiefe und mit einer Dicke hergestellt werden, die ausreichend sind, um eine Diffusion von später aufgebrachten Chemikalien zu reduzieren oder sogar zu verhindern. Daher kann bei einigen Ausführungsformen die Schutzschicht 1101 mit einer Dicke von etwa 5 Å bis etwa 100 Å, z. B. von etwa 10 Å, hergestellt werden, obwohl die Tiefe zumindest teilweise von den für die untere Antireflexionsschicht 309 gewählten Materialien und den Chemikalien abhängig sein kann. Es können jedoch alle geeigneten Tiefen und Dicken verwendet werden.
  • 12 zeigt ein Entfernen der Hartmaskenschicht 307 von dem zweiten Bereich 304, wenn die Schutzschicht 1101 an der richtigen Stelle ist. Bei einer Ausführungsform kann die Hartmaskenschicht 307 so entfernt werden, wie es vorstehend unter Bezugnahme auf 6 dargelegt worden ist (z. B. mit einem Nassätzprozess unter Verwendung des ersten Nassätzmittels 601, wie etwa Ammoniumhydroxid). Es kann jedoch jedes geeignete Entfernungsverfahren zum Entfernen der Hartmaskenschicht 307 von dem zweiten Bereich 304 verwendet werden.
  • Außerdem trägt die Schutzschicht 1101, die während des Entfernens der Hartmaskenschicht 307 vorhanden ist, dazu bei, eine Diffusion des ersten Nassätzmittels 601 in oder durch die untere Antireflexionsschicht 309 zu reduzieren oder zu verhindern. Daher ist die Wahrscheinlichkeit geringer, dass das erste Nassätzmittel 601 seinen Weg durch die untere Antireflexionsschicht 309 nimmt und in physischen Kontakt mit den tieferliegenden Schichten kommt, und die Wahrscheinlichkeit ist geringer, dass diese Chemikalien mit den tieferliegenden Schichten reagieren und Defekte verursachen.
  • 13 zeigt ein Entfernen der ersten p-Metall-Austrittsarbeitsschicht 305 von dem zweiten Bereich 304, wenn die Schutzschicht 1101 an der richtigen Stelle ist. Bei einer Ausführungsform kann die erste p-Metall-Austrittsarbeitsschicht 305 so entfernt werden, wie es vorstehend unter Bezugnahme auf 7 dargelegt worden ist (z. B. mit einem Nassätzprozess unter Verwendung des zweiten Nassätzmittels 701, wie etwa Chlorwasserstoffsäure). Es kann jedoch jedes geeignete Entfernungsverfahren zum Entfernen der ersten p-Metall-Austrittsarbeitsschicht 305 von dem zweiten Bereich 304 verwendet werden.
  • Außerdem trägt die Schutzschicht 1101, die während des Entfernens der ersten p-Metall-Austrittsarbeitsschicht 305 vorhanden ist, dazu bei, eine Diffusion des zweiten Nassätzmittels 701 in oder durch die untere Antireflexionsschicht 309 zu reduzieren oder zu verhindern. Daher ist die Wahrscheinlichkeit geringer, dass das zweite Nassätzmittel 701 seinen Weg durch die untere Antireflexionsschicht 309 nimmt und in physischen Kontakt mit den tieferliegenden Schichten kommt, und die Wahrscheinlichkeit ist geringer, dass diese Chemikalien mit den tieferliegenden Schichten reagieren und Defekte verursachen.
  • Nachdem die erste p-Metall-Austrittsarbeitsschicht 305 von dem zweiten Bereich 304 entfernt worden ist, kann die Weiterbearbeitung so erfolgen, wie es vorstehend unter Bezugnahme auf die 8 bis 10 dargelegt worden ist. Zum Beispiel kann die untere Antireflexionsschicht 309 (zusammen mit der Schutzschicht 1101) mit Ätz- und/oder Ablösungsprozessen entfernt werden, das Füllmaterial 901 wird abgeschieden, und die Verkappungsschicht 1001 wird hergestellt. Es können jedoch alle geeigneten Prozesse nacheinander durchgeführt werden.
  • Durch Verwenden der hier beschriebenen Verfahren können unerwünschte Defekte während des Strukturierens der Hartmaskenschicht 307 und/oder während des Strukturierens der ersten p-Metall-Austrittsarbeitsschicht 305 verhindert werden. Insbesondere sind durch Inhibieren oder Verhindern das Wandern der Ätzchemikalien durch die untere Antireflexionsschicht 309 diese Chemikalien nicht mehr in der Lage, mit den tieferliegenden Schichten zu reagieren und ein Auftreten von Blasen zu verursachen. Daher kann, wenn keine Blasen vorhanden sind, ein Gesamtschaden verringert werden, insbesondere da die Größe der Vorrichtungen zu immer kleineren Prozessknoten reduziert wird.
  • Bei einer Ausführungsform weist ein Verfahren zum Herstellen einer Halbleitervorrichtung die folgenden Schritte auf: Herstellen einer ersten Schicht über einem erhabenen Halbleiterbereich; Aufbringen einer unteren Antireflexionsschicht über der ersten Schicht, wobei die untere Antireflexionsschicht ein erstes Diffusionsvermögen für eine erste Chemikalie hat; Strukturieren der unteren Antireflexionsschicht; Reduzieren des ersten Diffusionsvermögens auf ein zweites Diffusionsvermögen für die erste Chemikalie; und Entfernen eines Teils der ersten Schicht unter Verwendung der ersten Chemikalie, während die untere Antireflexionsschicht vorhanden ist. Bei einer Ausführungsform erfolgt das Reduzieren des ersten Diffusionsvermögens zumindest teilweise mit einem physikalischen Prozess. Bei einer Ausführungsform werden mit dem physikalischen Prozess Poren der unteren Antireflexionsschicht mit einer zweiten Chemikalie gefüllt. Bei einer Ausführungsform umfasst die zweite Chemikalie Isopropylalkohol. Bei einer Ausführungsform erfolgt das Reduzieren des ersten Diffusionsvermögens zumindest teilweise mit einem chemischen Prozess. Bei einer Ausführungsform wird durch den chemischen Prozess ein Teil der unteren Antireflexionsschicht mit einem ersten Reaktionspartner zur Reaktion gebracht. Bei einer Ausführungsform ist der erste Reaktionspartner Hexamethyldisilazan.
  • Bei einer weiteren Ausführungsform weist ein Verfahren zum Herstellen einer Halbleitervorrichtung die folgenden Schritte auf: Abscheiden einer Hartmaskenschicht über einer Austrittsarbeitsschicht über einer Halbleiterfinne; Abscheiden einer unteren Antireflexionsschicht über der Hartmaskenschicht; Strukturieren der unteren Antireflexionsschicht; Behandeln der unteren Antireflexionsschicht, wobei durch das Behandeln der unteren Antireflexionsschicht ein Diffusionsvermögen eines ersten Ätzmittels durch die untere Antireflexionsschicht reduziert wird; und Entfernen eines Teils der Hartmaskenschicht mit dem ersten Ätzmittel, während die untere Antireflexionsschicht vorhanden ist. Bei einer Ausführungsform weist die Hartmaskenschicht Aluminiumoxid auf. Bei einer Ausführungsform weist die Austrittsarbeitsschicht Lanthanoxid auf. Bei einer Ausführungsform ist die Behandlung der unteren Antireflexionsschicht eine physikalische Behandlung. Bei einer Ausführungsform wird bei der physikalischen Behandlung Isopropylalkohol auf die untere Antireflexionsschicht aufgebracht. Bei einer Ausführungsform ist die Behandlung der unteren Antireflexionsschicht eine chemische Behandlung. Bei einer Ausführungsform entsteht durch die chemische Behandlung eine Schutzschicht zumindest teilweise in der unteren Antireflexionsschicht.
  • Bei einer noch weiteren Ausführungsform weist ein Verfahren zum Herstellen einer Halbleitervorrichtung die folgenden Schritte auf: Abscheiden einer Schicht aus Lanthanoxid über einer Halbleiterfinne; Abscheiden einer Schicht aus Aluminiumoxid über der Schicht aus Lanthanoxid; Platzieren einer unteren Antireflexionsschicht über der Schicht aus Aluminiumoxid; Strukturieren der unteren Antireflexionsschicht; Einbringen eines Materials in die untere Antireflexionsschicht nach dem Strukturieren der unteren Antireflexionsschicht; Ätzen eines Teils der Schicht aus Aluminiumoxid, während die untere Antireflexionsschicht vorhanden ist; und Ätzen eines Teils der Schicht aus Lanthanoxid, während die untere Antireflexionsschicht vorhanden ist. Bei einer Ausführungsform wird das Ätzen des Teils der Schicht aus Aluminiumoxid zumindest teilweise mit Ammoniumhydroxid durchgeführt. Bei einer Ausführungsform wird das Ätzen des Teils der Schicht aus Lanthanoxid zumindest teilweise mit Chlorwasserstoffsäure durchgeführt. Bei einer Ausführungsform ist das eingebrachte Material Isopropylalkohol. Bei einer Ausführungsform bringt das eingebrachte Material die untere Antireflexionsschicht mit einem ersten Reaktionspartner zur Reaktion. Bei einer Ausführungsform ist der erste Reaktionspartner Hexamethyldisilazan.
  • Vorstehend sind Merkmale verschiedener Ausführungsformen beschrieben worden, sodass Fachleute die Aspekte der vorliegenden Erfindung besser verstehen können. Fachleuten dürfte klar sein, dass sie die vorliegende Erfindung ohne Weiteres als eine Grundlage zum Gestalten oder Modifizieren anderer Verfahren und Strukturen zum Erreichen der gleichen Ziele und/oder zum Erzielen der gleichen Vorzüge wie bei den hier vorgestellten Ausführungsformen verwenden können. Fachleute dürften ebenfalls erkennen, dass solche äquivalenten Auslegungen nicht von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abweichen und dass sie hier verschiedene Änderungen, Ersetzungen und Abwandlungen vornehmen können, ohne von dem Grundgedanken und Schutzumfang der vorliegenden Erfindung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/927461 [0001]

Claims (20)

  1. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Herstellen einer ersten Schicht über einem erhabenen Halbleiterbereich; Aufbringen einer unteren Antireflexionsschicht über der ersten Schicht, wobei die untere Antireflexionsschicht ein erstes Diffusionsvermögen für eine erste Chemikalie hat; Strukturieren der unteren Antireflexionsschicht; Reduzieren des ersten Diffusionsvermögens auf ein zweites Diffusionsvermögen für die erste Chemikalie; und Entfernen eines Teils der ersten Schicht unter Verwendung der ersten Chemikalie, während die untere Antireflexionsschicht vorhanden ist.
  2. Verfahren nach Anspruch 1, wobei das Reduzieren des ersten Diffusionsvermögens zumindest teilweise mit einem physikalischen Prozess erfolgt.
  3. Verfahren nach Anspruch 2, wobei durch den physikalischen Prozess Poren der unteren Antireflexionsschicht mit einer zweiten Chemikalie gefüllt werden.
  4. Verfahren nach Anspruch 3, wobei die zweite Chemikalie Isopropylalkohol umfasst.
  5. Verfahren nach einem der vorhergehenden Ansprüche, wobei das Reduzieren des ersten Diffusionsvermögens zumindest teilweise mit einem chemischen Prozess erfolgt.
  6. Verfahren nach Anspruch 5, wobei durch den chemischen Prozess ein Teil der unteren Antireflexionsschicht mit einem ersten Reaktionspartner zur Reaktion gebracht wird.
  7. Verfahren nach Anspruch 6, wobei der erste Reaktionspartner Hexamethyldisilazan ist.
  8. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Abscheiden einer Hartmaskenschicht über einer Austrittsarbeitsschicht über einer Halbleiterfinne; Abscheiden einer unteren Antireflexionsschicht über der Hartmaskenschicht; Strukturieren der unteren Antireflexionsschicht; Behandeln der unteren Antireflexionsschicht, wobei durch das Behandeln der unteren Antireflexionsschicht ein Diffusionsvermögen eines ersten Ätzmittels durch die untere Antireflexionsschicht reduziert wird; und Entfernen eines Teils der Hartmaskenschicht mit dem ersten Ätzmittel, während die untere Antireflexionsschicht vorhanden ist.
  9. Verfahren nach Anspruch 8, wobei die Hartmaskenschicht Aluminiumoxid aufweist.
  10. Verfahren nach Anspruch 8 oder 9, wobei die Austrittsarbeitsschicht Lanthanoxid aufweist.
  11. Verfahren nach einem der Ansprüche 8 bis 10, wobei das Behandeln der unteren Antireflexionsschicht eine physikalische Behandlung umfasst.
  12. Verfahren nach Anspruch 11, wobei bei der physikalischen Behandlung Isopropylalkohol auf die untere Antireflexionsschicht aufgebracht wird.
  13. Verfahren nach einem der Ansprüche 8 bis 10, wobei das Behandeln der unteren Antireflexionsschicht eine chemische Behandlung umfasst.
  14. Verfahren nach Anspruch 13, wobei durch die chemische Behandlung eine Schutzschicht zumindest teilweise in der unteren Antireflexionsschicht entsteht.
  15. Verfahren zum Herstellen einer Halbleitervorrichtung mit den folgenden Schritten: Abscheiden einer Schicht aus Lanthanoxid über einer Halbleiterfinne; Abscheiden einer Schicht aus Aluminiumoxid über der Schicht aus Lanthanoxid; Platzieren einer unteren Antireflexionsschicht über der Schicht aus Aluminiumoxid; Strukturieren der unteren Antireflexionsschicht; Einbringen eines Materials in die untere Antireflexionsschicht nach dem Strukturieren der unteren Antireflexionsschicht; Ätzen eines Teils der Schicht aus Aluminiumoxid, während die untere Antireflexionsschicht vorhanden ist; und Ätzen eines Teils der Schicht aus Lanthanoxid, während die untere Antireflexionsschicht vorhanden ist.
  16. Verfahren nach Anspruch 15, wobei das Ätzen des Teils der Schicht aus Aluminiumoxid zumindest teilweise mit Ammoniumhydroxid durchgeführt wird.
  17. Verfahren nach Anspruch 15 oder 16, wobei das Ätzen des Teils der Schicht aus Lanthanoxid zumindest teilweise mit Chlorwasserstoffsäure durchgeführt wird.
  18. Verfahren nach einem der Ansprüche 15 bis 17, wobei das Einbringen des Materials Isopropylalkohol hinzufügt.
  19. Verfahren nach einem der Ansprüche 15 bis 18, wobei das Einbringen des Materials die untere Antireflexionsschicht mit einem ersten Reaktionspartner zur Reaktion bringt.
  20. Verfahren nach Anspruch 19, wobei der erste Reaktionspartner Hexamethyldisilazan ist.
DE102020115368.6A 2019-10-29 2020-06-10 Halbleitervorrichtung und herstellungsverfahren Pending DE102020115368A1 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927461P 2019-10-29 2019-10-29
US62/927,461 2019-10-29
US16/889,160 2020-06-01
US16/889,160 US11362006B2 (en) 2019-10-29 2020-06-01 Semiconductor device and method of manufacture

Publications (1)

Publication Number Publication Date
DE102020115368A1 true DE102020115368A1 (de) 2021-04-29

Family

ID=75378896

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102020115368.6A Pending DE102020115368A1 (de) 2019-10-29 2020-06-10 Halbleitervorrichtung und herstellungsverfahren

Country Status (3)

Country Link
US (2) US11887896B2 (de)
DE (1) DE102020115368A1 (de)
TW (1) TWI755900B (de)

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI240302B (en) 2003-04-08 2005-09-21 Nanya Technology Corp Method for increasing adhesion of rework photoresist on oxynitride film
US8980706B2 (en) 2008-09-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Double treatment on hard mask for gate N/P patterning
US8659115B2 (en) 2009-06-17 2014-02-25 International Business Machines Corporation Airgap-containing interconnect structure with improved patternable low-K material and method of fabricating
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
JP5656192B2 (ja) 2011-03-28 2015-01-21 株式会社Nttドコモ ソフトマテリアルのマイクロアレイ作製方法
US20120305525A1 (en) 2011-05-31 2012-12-06 Hsiu-Chun Lee Method of reducing striation on a sidewall of a recess
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9502231B2 (en) * 2013-03-12 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist layer and method
US8999840B2 (en) 2013-07-11 2015-04-07 Samsung Electronics Co., Ltd. Method of forming fine patterns of semiconductor device
DE102014114176B4 (de) * 2013-10-17 2023-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Verfahren zur Herstellung einer Halbleitereinrichtung
US10170373B2 (en) * 2014-09-24 2019-01-01 Globalfoundries Inc. Methods for making robust replacement metal gates and multi-threshold devices in a soft mask integration scheme
US9659873B2 (en) 2015-08-26 2017-05-23 United Microelectronics Corp. Semiconductor structure with aligning mark and method of forming the same
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10790142B2 (en) 2017-11-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Selective capping processes and structures formed thereby

Also Published As

Publication number Publication date
TWI755900B (zh) 2022-02-21
US20240153826A1 (en) 2024-05-09
US11887896B2 (en) 2024-01-30
TW202117817A (zh) 2021-05-01
US20220319933A1 (en) 2022-10-06

Similar Documents

Publication Publication Date Title
DE102017126236B3 (de) Verfahren zum Bilden einer Halbleitervorrichtung für Metallgates mit aufgerauter Barrierenschicht
DE102013106621B3 (de) Finnenform für Feldeffekttransistoren und Verfahren zu deren Bildung
DE102014119221B4 (de) FinFET-Vorrichtung und Verfahren zu ihrer Herstellung
DE102017114973A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE102019112394B4 (de) Selektive Ätzung zum Verbessern der Schwellenspannungsverteilung
DE102020101271B4 (de) Verfahren zur bottom-up-bildung einer vorrichtung mit kontaktsteckern und vorrichtung mit kontaktsteckern
DE102019125922B3 (de) Verfahren zum dotieren von high-k/metall-gates zum einstellen von schwellspannungen
DE102017118345B4 (de) Kontaktöffnungen und verfahren zu deren herstellung
DE102018108163B4 (de) Auf high-k-dielektrikumsschichten mit verschiedenen materialien ausgebildete selbstschützende schicht
DE102017127692B4 (de) Verfahren zur herstellung einer halbleitervorrichtung
DE102019127997B4 (de) Verfahren zum herstellen von halbleitervorrichtungen mit gate-trenn-plugs und halbleitervorrichtungen
DE102019117322A1 (de) Silizium-mischschicht zur blockierung von diffusion
DE102020131030A1 (de) Siliziumkanal-anlassen
DE102019129773B4 (de) Transistoren mit reduzierten defekten und verfahren zu deren herstellung
DE102016100008B4 (de) Halbleiterstruktur mit Einfügeschicht und Verfahren für deren Herstellung
DE102018127722A1 (de) Halbleitervorrichtung und Verfahren zu ihrer Herstellung
DE102021107846A1 (de) Halbleitervorrichtung und verfahren
DE102021104817A1 (de) Halbleitervorrichtung und verfahren
DE102021114139B4 (de) Verfahren zum bilden von mehrschichtigen photoätzmasken mit organischen undanorganischen materialien
DE102019110533B4 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020115368A1 (de) Halbleitervorrichtung und herstellungsverfahren
DE102020131319A1 (de) Ausbildung einer zusammengesetzten Austrittsarbeitsschicht unter Verwendung des gleichen Austrittsarbeitsmaterials
DE102019129768B4 (de) Verfahren zur herstellung von halbleitervorrichtungen und halbleitervorrichtung
DE102020114991A1 (de) In-situ-ausbilden von metallgate-modulatoren
DE102020120658A1 (de) Transistorgates und Verfahren zu deren Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication